OSDN Git Service

V308
authorJean-Michel THOORENS <jeanmichel.thoorens@gmail.com>
Sat, 12 Dec 2020 08:13:27 +0000 (09:13 +0100)
committerJean-Michel THOORENS <jeanmichel.thoorens@gmail.com>
Sat, 12 Dec 2020 08:13:27 +0000 (09:13 +0100)
134 files changed:
MSP430-FORTH/BOOT.f [moved from MSP430-FORTH/BOOT.4th with 68% similarity]
MSP430-FORTH/CHNGBAUD.f
MSP430-FORTH/CORDIC.f
MSP430-FORTH/CORETEST.4TH
MSP430-FORTH/CORE_ANS.f
MSP430-FORTH/DOUBLE.f
MSP430-FORTH/FF_SPECS.f
MSP430-FORTH/FixPoint.f
MSP430-FORTH/LAST.4TH
MSP430-FORTH/MSP_EXP430FR5994/BOOT.4th [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/CHNGBAUD.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/CORDIC.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/CORETEST.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/CORE_ANS.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/DOUBLE.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/FF_SPECS.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/FIXPOINT.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/LAST.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/PROG100k.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/RC5toLCD.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/RTC.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/SD_TEST.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/SD_TOOLS.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/TESTASM.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/TESTXASM.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/TSTWORDS.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/UARTI2CS.4TH [new file with mode: 0644]
MSP430-FORTH/MSP_EXP430FR5994/UTILITY.4TH [new file with mode: 0644]
MSP430-FORTH/PROG100k.f
MSP430-FORTH/RC5toLCD.f
MSP430-FORTH/RTC.f
MSP430-FORTH/SD_TEST.f
MSP430-FORTH/SD_TOOLS.f
MSP430-FORTH/TESTASM.F
MSP430-FORTH/TESTXASM.F
MSP430-FORTH/UARTI2CS.f
MSP430-FORTH/UTILITY.f
README.md
SciTEDirectory.properties
binaries/CHIPSTICK_FR2433_16MHz_I2C.txt
binaries/CHIPSTICK_FR2433_16MHz_UART.txt
binaries/CHIPSTICK_FR2433_1MHz_I2C.txt
binaries/CHIPSTICK_FR2433_1MHz_UART.txt
binaries/CHIPSTICK_FR2433_8MHz_I2C.txt
binaries/CHIPSTICK_FR2433_8MHz_UART.txt
binaries/LP_MSP430FR2476_16MHz_I2C.txt
binaries/LP_MSP430FR2476_16MHz_UART.txt
binaries/LP_MSP430FR2476_1MHz_I2C.txt
binaries/LP_MSP430FR2476_1MHz_UART.txt
binaries/LP_MSP430FR2476_8MHz_I2C.txt
binaries/LP_MSP430FR2476_8MHz_UART.txt
binaries/Log/log.txt [new file with mode: 0644]
binaries/MSP430FRerase_total.bat [new file with mode: 0644]
binaries/MSP430read.bat [new file with mode: 0644]
binaries/MSP430reset.bat [new file with mode: 0644]
binaries/MSP_EXP430FR2355_16MHz_I2C.txt
binaries/MSP_EXP430FR2355_16MHz_UART.txt
binaries/MSP_EXP430FR2355_1MHz_I2C.txt
binaries/MSP_EXP430FR2355_1MHz_UART.txt
binaries/MSP_EXP430FR2355_24MHz_I2C.txt
binaries/MSP_EXP430FR2355_24MHz_UART.txt
binaries/MSP_EXP430FR2355_8MHz_I2C.txt
binaries/MSP_EXP430FR2355_8MHz_UART.txt
binaries/MSP_EXP430FR2433_16MHz_I2C.txt
binaries/MSP_EXP430FR2433_16MHz_UART.txt
binaries/MSP_EXP430FR2433_1MHz_I2C.txt
binaries/MSP_EXP430FR2433_1MHz_UART.txt
binaries/MSP_EXP430FR2433_8MHz_I2C.txt
binaries/MSP_EXP430FR2433_8MHz_UART.txt
binaries/MSP_EXP430FR4133_16MHz_I2C.txt
binaries/MSP_EXP430FR4133_16MHz_UART.txt
binaries/MSP_EXP430FR4133_1MHz_I2C.txt
binaries/MSP_EXP430FR4133_1MHz_UART.txt
binaries/MSP_EXP430FR4133_8MHz_I2C.txt
binaries/MSP_EXP430FR4133_8MHz_UART.txt
binaries/MSP_EXP430FR5739_16MHz_I2C.txt
binaries/MSP_EXP430FR5739_16MHz_UART.txt
binaries/MSP_EXP430FR5739_1MHz_I2C.txt
binaries/MSP_EXP430FR5739_1MHz_UART.txt
binaries/MSP_EXP430FR5739_24MHz_I2C.txt
binaries/MSP_EXP430FR5739_24MHz_UART.txt
binaries/MSP_EXP430FR5739_8MHz_I2C.txt
binaries/MSP_EXP430FR5739_8MHz_UART.txt
binaries/MSP_EXP430FR5969_16MHz_I2C.txt
binaries/MSP_EXP430FR5969_16MHz_UART.txt
binaries/MSP_EXP430FR5969_1MHz_I2C.txt
binaries/MSP_EXP430FR5969_1MHz_UART.txt
binaries/MSP_EXP430FR5969_8MHz_I2C.txt
binaries/MSP_EXP430FR5969_8MHz_UART.txt
binaries/MSP_EXP430FR5994_16MHz_I2C.txt
binaries/MSP_EXP430FR5994_16MHz_UART.txt
binaries/MSP_EXP430FR5994_1MHz_I2C.txt
binaries/MSP_EXP430FR5994_1MHz_UART.txt
binaries/MSP_EXP430FR5994_8MHz_I2C.txt
binaries/MSP_EXP430FR5994_8MHz_UART.txt
binaries/MSP_EXP430FR6989_16MHz_I2C.txt
binaries/MSP_EXP430FR6989_16MHz_UART.txt
binaries/MSP_EXP430FR6989_1MHz_I2C.txt
binaries/MSP_EXP430FR6989_1MHz_UART.txt
binaries/MSP_EXP430FR6989_8MHz_I2C.txt
binaries/MSP_EXP430FR6989_8MHz_UART.txt
config/BSL_prog.bat
config/FET_prog.bat
config/Preprocess
config/Select.bat
config/SendSource
config/SendToSD.ttl
config/asm.properties
config/build
config/forth.properties
config/prog
forthMSP430FR.asm
forthMSP430FR_SD_INIT.asm
forthMSP430FR_SD_LOAD.asm
forthMSP430FR_SD_RW.asm
forthMSP430FR_TERM_HALF.asm
forthMSP430FR_TERM_I2C.asm
forthMSP430FR_TERM_UART.asm
inc/FastForthREGtoTI.pat
inc/MSP430FR2355.pat
inc/MSP430FR2433.pat
inc/MSP430FR2476.pat
inc/MSP430FR2633.pat
inc/MSP430FR4133.pat
inc/MSP430FR5738.pat
inc/MSP430FR5739.pat
inc/MSP430FR5948.pat
inc/MSP430FR5969.pat
inc/MSP430FR5972.pat
inc/MSP430FR5994.pat
inc/MSP430FR6989.pat
inc/MSP_EXP430FR5739.pat
inc/MSP_EXP430FR6989.asm
inc/ThingsInFirst.inc

similarity index 68%
rename from MSP430-FORTH/BOOT.4th
rename to MSP430-FORTH/BOOT.f
index 578ce46..c981bd8 100644 (file)
@@ -1,6 +1,6 @@
 
 ; --------
-; BOOT.4th
+; BOOT.f
 ; --------
 \
 \ to see kernel options, download FastForthSpecs.f
 \ #42 $2A MPUSEG1IFG segment 1 memory violation (PUC)               
 \ #44 $2C MPUSEG2IFG segment 2 memory violation (PUC)               
 \ #46 $2E MPUSEG3IFG segment 3 memory violation (PUC)   
-
-
-
-
-
-\ SYSRSTIV values added by FAST FORTH 
-\ -----------------------------------
-\ 05 reset after compilation of FAST FORTH kernel
-\ -1 hardware DEEP RESET: restores state of the lastest FastForth flashed   
-             
-
+\
+\ SYSRSTIV values added by FastForth 
+\ ----------------------------------
+\ -3 reset after FastForth "flashing".
+\ -1 Deep Reset: restores FastForth as it was "flashed".   
+\
 \ note
-\ Origin of reset is kept in SYSRSTIV register. Their values are device specific.
+\ --------------------------------------------------------------------------------
+\ any reset event is kept in SYSRSTIV register. Their values are device specific.
 \ WARM displays the content of SYSRSTIV register.
-\ When BOOT.4TH is called by the FastForth bootstrap, the SYSRSTIV value is on
-\ the paramater stack, ready to test
-
 \ --------------------------------------------------------------------------------
-\ WARNING !
+\ When BOOT.4TH is called by the FastForth bootstrap, the SYSRSTIV value is on
+\ the Top Of paramater Stack -TOS- ready to test.
 \ --------------------------------------------------------------------------------
-\ it is not recommended to compile then execute a word to perform the bootstrap 
-\ because the risk of crushing thereafter. Interpreting mode as below is required: 
+\ to enable bootstrap: ' BOOT IS WARM
+\ to disable bootstrap: ' BOOT [PFA] IS WARM
 \ --------------------------------------------------------------------------------
+\
+\ first, we test for downloading driver only if good FastForth version
+
+CODE ABORT_BOOTSTRAP
+SUB #2,PSP
+MOV TOS,0(PSP)      \
+MOV &VERSION,TOS    \ -- sys_event version
+SUB #308,TOS        \                   FastForth V3.8
+COLON
+'CR' EMIT            \ return to column 1 without 'LF'
+ABORT" FastForth V3.8 please!"
+PWR_STATE           \ remove ABORT_BOOTSTRAP definition before resuming
+;
 
+ABORT_BOOTSTRAP
 
 [UNDEFINED] = [IF]
 \ https://forth-standard.org/standard/core/Equal
@@ -75,8 +83,16 @@ MOV @IP+,PC     \ 4
 ENDCODE
 [THEN]
 
+\ --------------------------------------------------------------------------------
+\ WARNING !
+\ --------------------------------------------------------------------------------
+\ it is not recommended here to compile then execute a word 
+\ because the risk of crushing thereafter. 
+\ Interpreting mode as below is required: 
+\ --------------------------------------------------------------------------------
+
 \ it's an example:
 
-$04 = [IF]      \ if origin of SYSRST is <reset>
+$04 = [IF]              \ if PUC event is <reset>
     LOAD" SD_TEST.4TH"
 [THEN]
index 8880529..a27a2f3 100644 (file)
@@ -22,10 +22,10 @@ BIT #$7800,TOS
 0<> IF MOV #0,TOS THEN  \ if TOS <> 0 (UART TERMINAL), set TOS = 0
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS            \ FastForth V3.7
+SUB #308,TOS            \ FastForth V3.8
 COLON
 $0D EMIT            \ return to column 1 without CR
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 ABORT" <-- Ouch! unexpected I2C_FastForth target!"
 PWR_STATE           \ remove ABORT_UARTI2CS definition before resuming
 ;
index 0ee4d3e..a764d61 100644 (file)
@@ -42,10 +42,10 @@ BIT #BIT10,TOS
 0<> IF MOV #0,TOS THEN  \ if TOS <> 0 (FIXPOINT input), set TOS = 0  
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS            \ FastForth V3.7
+SUB #308,TOS            \ FastForth V3.8
 COLON
 $0D EMIT    \ return to column 1 without CR
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 ABORT" build FastForth with FIXPOINT_INPUT addon !"
 PWR_STATE           \ if no abort remove this word
 ;
@@ -219,7 +219,7 @@ U>= UNTIL   POPM #3,IP          \                       S=Qhi, T=len
 ENDCODE
 [THEN]
 
-ASM XSCALE              \ X --> X*Cordic_Gain
+HDNCODE XSCALE              \ X --> X*Cordic_Gain
 \ T.I. UNSIGNED MULTIPLY SUBROUTINE: U1 x U2 -> Ud
 \ https://forth-standard.org/standard/core/UMTimes
 \ UM*     u1 u2 -- ud   unsigned 16x16->32 mult.
@@ -238,7 +238,7 @@ U>= UNTIL                           \ S = RESlo, T=REShi
             MOV T,X                 \ 2 IF BIT IN CARRY: FINISHED    10~ loop
             MOV #XDOCON,rDOCON      \ restore rDOCON
             MOV @RSP+,PC            \ RET
-ENDASM
+ENDCODE
 
 [ELSE] ; hardware multiplier
 
@@ -268,13 +268,13 @@ BEGIN       MOV @PSP,&MPY       \                   Load 1st operand
 ENDCODE
 [THEN]
 
-ASM XSCALE                  \ X = X*Cordic_Gain
+HDNCODE XSCALE              \ X = X*Cordic_Gain
 MOV T_SCALE(W),&MPYS32L     \ 3     CORDIC Gain * 65536
 MOV #0,&MPYS32H
 MOV X,&OP2                  \ 3     Load 1st operand
 MOV &RES1,X                 \ 3     hi result
 MOV @RSP+,PC                \ RET
-ENDASM
+ENDCODE
 
 [THEN]  ; end of hardware multiplier
 
index ce4261b..b358899 100644 (file)
@@ -1,20 +1,25 @@
 
-; -------------------------------------
-; CORETEST.4TH for any FastForth target
-; -------------------------------------
+\ ; -------------------------------------
+\ ; CORETEST.4TH for any FastForth target
+\ ; -------------------------------------
+
+[DEFINED] {CORETEST} [IF] {CORETEST} [THEN]
+
+MARKER {CORETEST}
 
 : ABORT_TEST
 $0D EMIT   \ return to column 1
+POSTPONE {CORETEST} \ that remove all test words 
 ABORT" {CORE_ANS} word set not found !"
 ;
 
-[UNDEFINED] {CORE_ANS}
-ABORT_TEST
+[UNDEFINED] {CORE_ANS} ABORT_TEST
 
 : CORETESTSUCCESS
 $0A BASE !
 $0D EMIT   \ return to column 1
-1 ABORT" CORE tests success!" \ that remove all test words 
+{CORETEST} \ that remove all test words 
+1 ABORT" CORE tests success!"
 ;
 
 \ From: John Hayes S1I
@@ -642,6 +647,12 @@ T{ 1STA 2NDA U< -> <TRUE> }T        \ HERE MUST GROW WITH ALLOT
 T{ 1STA 1+ -> 2NDA }T           \ ... BY ONE ADDRESS UNIT
 ( MISSING TEST: NEGATIVE ALLOT )
 
+\ Added by GWJ so that ALIGN can be used before , (comma) is tested
+1 ALIGNED CONSTANT ALMNT   \ -- 1|2|4|8 for 8|16|32|64 bit alignment
+ALIGN
+T{ HERE 1 ALLOT ALIGN HERE SWAP - ALMNT = -> <TRUE> }T
+\ End of extra test
+
 HERE 1 ,
 HERE 2 ,
 CONSTANT 2ND
@@ -976,7 +987,8 @@ CREATE GN-BUF 0 C,
 T{ 0 0 GN' 0' >NUMBER -> 0 0 GN-CONSUMED }T
 T{ 0 0 GN' 1' >NUMBER -> 1 0 GN-CONSUMED }T
 T{ 1 0 GN' 1' >NUMBER -> BASE @ 1+ 0 GN-CONSUMED }T
-T{ 0 0 GN' -' >NUMBER -> 0 0 GN-STRING }T   \ SHOULD FAIL TO CONVERT THESE
+\ FOLLOWING SHOULD FAIL TO CONVERT
+T{ 0 0 GN' -' >NUMBER -> 0 0 GN-STRING }T
 T{ 0 0 GN' +' >NUMBER -> 0 0 GN-STRING }T
 T{ 0 0 GN' .' >NUMBER -> 0 0 GN-STRING }T
 
@@ -990,7 +1002,8 @@ T{ 0 0 GN' G' 10 >NUMBER-BASED -> 0 0 GN-STRING }T
 T{ 0 0 GN' G' MAX-BASE >NUMBER-BASED -> 10 0 GN-CONSUMED }T
 T{ 0 0 GN' Z' MAX-BASE >NUMBER-BASED -> 23 0 GN-CONSUMED }T
 
-: GN1   \ ( UD BASE -- UD' LEN ) UD SHOULD EQUAL UD' AND LEN SHOULD BE ZERO.
+: GN1   \ ( UD BASE -- UD' LEN )
+\ UD SHOULD EQUAL UD' AND LEN SHOULD BE ZERO.
     BASE @ >R BASE !
     <# #S #>
     0 0 2SWAP >NUMBER SWAP DROP      \ RETURN LENGTH ONLY
@@ -1107,12 +1120,64 @@ T{ v1 ->  222 }T
 [THEN]
 
 [DEFINED] :NONAME [IF]
+
+[UNDEFINED] CASE [IF]
+\ https://forth-standard.org/standard/core/CASE
+: CASE 0 ; IMMEDIATE \ -- #of-1 
+
+\ https://forth-standard.org/standard/core/OF
+: OF \ #of-1 -- orgOF #of 
+1+                         \ count OFs 
+>R                         \ move off the stack in case the control-flow stack is the data stack. 
+POSTPONE OVER POSTPONE = \ copy and test case value
+POSTPONE IF                \ add orig to control flow stack 
+POSTPONE DROP          \ discards case value if = 
+R>                         \ we can bring count back now 
+; IMMEDIATE 
+
+\ https://forth-standard.org/standard/core/ENDOF
+: ENDOF \ orgOF #of -- orgENDOF #of 
+>R                         \ move off the stack in case the control-flow stack is the data stack. 
+POSTPONE ELSE 
+R>                         \ we can bring count back now 
+; IMMEDIATE 
+
+\ https://forth-standard.org/standard/core/ENDCASE
+: ENDCASE \ orgENDOF1..orgENDOFn #of -- 
+POSTPONE DROP
+0 DO 
+    POSTPONE THEN 
+LOOP 
+; IMMEDIATE 
+[THEN]
+
 VARIABLE nn1
 VARIABLE nn2
 T{ :NONAME 1234 ; nn1 ! -> }T
 T{ :NONAME 9876 ; nn2 ! -> }T
 T{ nn1 @ EXECUTE -> 1234 }T
 T{ nn2 @ EXECUTE -> 9876 }T
+
+T{ :NONAME ( n -- 0,1,..n ) DUP IF DUP >R 1- RECURSE R> THEN ;
+   CONSTANT RN1 -> }T
+T{ 0 RN1 EXECUTE -> 0 }T
+T{ 4 RN1 EXECUTE -> 0 1 2 3 4 }T
+
+:NONAME  ( n -- n1 )    \ Multiple RECURSEs in one definition
+   1- DUP
+   CASE 0 OF EXIT ENDOF
+        1 OF 11 SWAP RECURSE ENDOF
+        2 OF 22 SWAP RECURSE ENDOF
+        3 OF 33 SWAP RECURSE ENDOF
+        DROP ABS RECURSE EXIT
+   ENDCASE
+; CONSTANT RN2
+
+T{  1 RN2 EXECUTE -> 0 }T
+T{  2 RN2 EXECUTE -> 11 0 }T
+T{  4 RN2 EXECUTE -> 33 22 11 0 }T
+T{ 25 RN2 EXECUTE -> 33 22 11 0 }T
+
 [THEN]
 
 [DEFINED] IS [IF]
@@ -1124,6 +1189,345 @@ T{ ' + is-defer5 -> }T
 T{ 1 2 defer5 -> 3 }T
 [THEN]
 
+\ ==============================================================================
+\ COREPLUSTEST
+\ ==============================================================================
+\ Additional tests on the the ANS Forth Core word set
+\ ------------------------------------------------------------------------------
+\ https://raw.githubusercontent.com/gerryjackson/forth2012-test-suite/master/src/coreplustest.fth
+
+\ This program was written by Gerry Jackson in 2007, with contributions from
+\ others where indicated, and is in the public domain - it can be distributed
+\ and/or modified in any way but please retain this notice.
+
+\ This program is distributed in the hope that it will be useful,
+\ but WITHOUT ANY WARRANTY; without even the implied warranty of
+\ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
+
+\ The tests are not claimed to be comprehensive or correct 
+
+\ ------------------------------------------------------------------------------
+\ The tests are based on John Hayes test program for the core word set
+\
+\ This file provides some more tests on Core words where the original Hayes
+\ tests are thought to be incomplete
+\
+\ Words tested in this file are:
+\     DO I +LOOP RECURSE ELSE >IN IMMEDIATE FIND IF...BEGIN...REPEAT ALLOT DOES>
+\ and
+\     Parsing behaviour
+\     Number prefixes # $ % and 'A' character input
+\     Definition names
+\ ------------------------------------------------------------------------------
+\ Assumptions and dependencies:
+\     - tester.fr or ttester.fs has been loaded prior to this file
+\     - core.fr has been loaded so that constants <TRUE> MAX-INT, MIN-INT and
+\       MAX-UINT are defined
+\ ------------------------------------------------------------------------------
+
+DECIMAL
+
+TESTING DO +LOOP with run-time increment, negative increment, infinite loop
+\ Contributed by Reinhold Straub
+
+VARIABLE ITERATIONS
+VARIABLE INCREMENT
+: GD7 ( LIMIT START INCREMENT -- )
+   INCREMENT !
+   0 ITERATIONS !
+   DO
+      1 ITERATIONS +!
+      I
+      ITERATIONS @  6 = IF LEAVE THEN
+      INCREMENT @
+   +LOOP ITERATIONS @
+;
+
+T{  4  4 -1 GD7 -> 4 1 }T
+T{  1  4 -1 GD7 -> 4 3 2 1 4 }T
+T{  4  1 -1 GD7 -> 1 0 -1 -2 -3 -4 6 }T
+T{  4  1  0 GD7 -> 1 1 1 1 1 1 6 }T
+T{  0  0  0 GD7 -> 0 0 0 0 0 0 6 }T
+T{  1  4  0 GD7 -> 4 4 4 4 4 4 6 }T
+T{  1  4  1 GD7 -> 4 5 6 7 8 9 6 }T
+T{  4  1  1 GD7 -> 1 2 3 3 }T
+T{  4  4  1 GD7 -> 4 5 6 7 8 9 6 }T
+T{  2 -1 -1 GD7 -> -1 -2 -3 -4 -5 -6 6 }T
+T{ -1  2 -1 GD7 -> 2 1 0 -1 4 }T
+T{  2 -1  0 GD7 -> -1 -1 -1 -1 -1 -1 6 }T
+T{ -1  2  0 GD7 -> 2 2 2 2 2 2 6 }T
+T{ -1  2  1 GD7 -> 2 3 4 5 6 7 6 }T
+T{  2 -1  1 GD7 -> -1 0 1 3 }T
+T{ -20 30 -10 GD7 -> 30 20 10 0 -10 -20 6 }T
+T{ -20 31 -10 GD7 -> 31 21 11 1 -9 -19 6 }T
+T{ -20 29 -10 GD7 -> 29 19 9 -1 -11 5 }T
+
+\ ------------------------------------------------------------------------------
+TESTING DO +LOOP with large and small increments
+
+\ Contributed by Andrew Haley
+
+MAX-UINT 8 RSHIFT 1+ CONSTANT USTEP
+USTEP NEGATE CONSTANT -USTEP
+MAX-INT 7 RSHIFT 1+ CONSTANT STEP
+STEP NEGATE CONSTANT -STEP
+
+VARIABLE BUMP
+
+T{ : GD8 BUMP ! DO 1+ BUMP @ +LOOP ; -> }T
+
+T{ 0 MAX-UINT 0 USTEP GD8 -> 256 }T
+T{ 0 0 MAX-UINT -USTEP GD8 -> 256 }T
+
+T{ 0 MAX-INT MIN-INT STEP GD8 -> 256 }T
+T{ 0 MIN-INT MAX-INT -STEP GD8 -> 256 }T
+
+\ Two's complement arithmetic, wraps around modulo wordsize
+\ Only tested if the Forth system does wrap around, use of conditional
+\ compilation deliberately avoided
+
+MAX-INT 1+ MIN-INT = CONSTANT +WRAP?
+MIN-INT 1- MAX-INT = CONSTANT -WRAP?
+MAX-UINT 1+ 0=       CONSTANT +UWRAP?
+0 1- MAX-UINT =      CONSTANT -UWRAP?
+
+: GD9  ( n limit start step f result -- )
+   >R IF GD8 ELSE 2DROP 2DROP R@ THEN -> R> }T
+;
+
+T{ 0 0 0  USTEP +UWRAP? 256 GD9
+T{ 0 0 0 -USTEP -UWRAP?   1 GD9
+T{ 0 MIN-INT MAX-INT  STEP +WRAP? 1 GD9
+T{ 0 MAX-INT MIN-INT -STEP -WRAP? 1 GD9
+
+\ ------------------------------------------------------------------------------
+TESTING DO +LOOP with maximum and minimum increments
+
+: (-MI) MAX-INT DUP NEGATE + 0= IF MAX-INT NEGATE ELSE -32767 THEN ;
+(-MI) CONSTANT -MAX-INT
+
+T{ 0 1 0 MAX-INT GD8  -> 1 }T
+T{ 0 -MAX-INT NEGATE -MAX-INT OVER GD8  -> 2 }T
+
+T{ 0 MAX-INT  0 MAX-INT GD8  -> 1 }T
+T{ 0 MAX-INT  1 MAX-INT GD8  -> 1 }T
+T{ 0 MAX-INT -1 MAX-INT GD8  -> 2 }T
+T{ 0 MAX-INT DUP 1- MAX-INT GD8  -> 1 }T
+
+T{ 0 MIN-INT 1+   0 MIN-INT GD8  -> 1 }T
+T{ 0 MIN-INT 1+  -1 MIN-INT GD8  -> 1 }T
+T{ 0 MIN-INT 1+   1 MIN-INT GD8  -> 2 }T
+T{ 0 MIN-INT 1+ DUP MIN-INT GD8  -> 1 }T
+
+\ ------------------------------------------------------------------------------
+\ TESTING +LOOP setting I to an arbitrary value
+
+\ The specification for +LOOP permits the loop index I to be set to any value
+\ including a value outside the range given to the corresponding  DO.
+
+\ SET-I is a helper to set I in a DO ... +LOOP to a given value
+\ n2 is the value of I in a DO ... +LOOP
+\ n3 is a test value
+\ If n2=n3 then return n1-n2 else return 1
+: SET-I  ( n1 n2 n3 -- n1-n2 | 1 ) 
+   OVER = IF - ELSE 2DROP 1 THEN
+;
+
+: -SET-I ( n1 n2 n3 -- n1-n2 | -1 )
+   SET-I DUP 1 = IF NEGATE THEN
+;
+
+: PL1 20 1 DO I 18 I 3 SET-I +LOOP ;
+T{ PL1 -> 1 2 3 18 19 }T
+: PL2 20 1 DO I 20 I 2 SET-I +LOOP ;
+T{ PL2 -> 1 2 }T
+: PL3 20 5 DO I 19 I 2 SET-I DUP 1 = IF DROP 0 I 6 SET-I THEN +LOOP ;
+T{ PL3 -> 5 6 0 1 2 19 }T
+: PL4 20 1 DO I MAX-INT I 4 SET-I +LOOP ;
+T{ PL4 -> 1 2 3 4 }T
+: PL5 -20 -1 DO I -19 I -3 -SET-I +LOOP ;
+T{ PL5 -> -1 -2 -3 -19 -20 }T
+: PL6 -20 -1 DO I -21 I -4 -SET-I +LOOP ;
+T{ PL6 -> -1 -2 -3 -4 }T
+: PL7 -20 -1 DO I MIN-INT I -5 -SET-I +LOOP ;
+T{ PL7 -> -1 -2 -3 -4 -5 }T
+: PL8 -20 -5 DO I -20 I -2 -SET-I DUP -1 = IF DROP 0 I -6 -SET-I THEN +LOOP ;
+T{ PL8 -> -5 -6 0 -1 -2 -20 }T
+
+\ ------------------------------------------------------------------------------
+TESTING multiple RECURSEs in one colon definition
+
+: ACK ( m n -- u )    \ Ackermann function, from Rosetta Code
+   OVER 0= IF  NIP 1+ EXIT  THEN       \ ack(0, n) = n+1
+   SWAP 1- SWAP                        ( -- m-1 n )
+   DUP  0= IF  1+  RECURSE EXIT  THEN  \ ack(m, 0) = ack(m-1, 1)
+   1- OVER 1+ SWAP RECURSE RECURSE     \ ack(m, n) = ack(m-1, ack(m,n-1))
+;
+
+T{ 0 0 ACK ->  1 }T
+T{ 3 0 ACK ->  5 }T
+T{ 2 4 ACK -> 11 }T
+
+\ ------------------------------------------------------------------------------
+TESTING multiple ELSE's in an IF statement
+\ Discussed on comp.lang.forth and accepted as valid ANS Forth
+
+: MELSE IF 1 ELSE 2 ELSE 3 ELSE 4 ELSE 5 THEN ;
+T{ 0 MELSE -> 2 4 }T
+T{ -1 MELSE -> 1 3 5 }T
+
+\ ------------------------------------------------------------------------------
+TESTING manipulation of >IN in interpreter mode
+
+T{ 12345 DEPTH OVER 9 < 34 AND + 3 + >IN ! -> 12345 2345 345 45 5 }T
+T{ 14145 8115 ?DUP 0= 34 AND >IN +! TUCK MOD 14 >IN ! GCD CALCULATION -> 15 }T
+
+\ ------------------------------------------------------------------------------
+TESTING IMMEDIATE with CONSTANT  VARIABLE and CREATE [ ... DOES> ]
+
+T{ 123 CONSTANT IW1 IMMEDIATE IW1 -> 123 }T
+T{ : IW2 IW1 LITERAL ; IW2 -> 123 }T
+T{ VARIABLE IW3 IMMEDIATE 234 IW3 ! IW3 @ -> 234 }T
+T{ : IW4 IW3 [ @ ] LITERAL ; IW4 -> 234 }T
+\ T{ :NONAME [ 345 ] IW3 [ ! ] ; DROP IW3 @ -> 345 }T
+T{ CREATE IW5 456 , IMMEDIATE -> }T
+\ T{ :NONAME IW5 [ @ IW3 ! ] ; DROP IW3 @ -> 456 }T
+T{ : IW6 CREATE , IMMEDIATE DOES> @ 1+ ; -> }T
+T{ 111 IW6 IW7 IW7 -> 112 }T
+T{ : IW8 IW7 LITERAL 1+ ; IW8 -> 113 }T
+T{ : IW9 CREATE , DOES> @ 2 + IMMEDIATE ; -> }T
+: FIND-IW BL WORD FIND NIP ;  ( -- 0 | 1 | -1 )
+T{ 222 IW9 IW10 FIND-IW IW10 -> -1 }T   \ IW10 is not immediate
+T{ IW10 FIND-IW IW10 -> 224 1 }T        \ IW10 becomes immediate
+
+[DEFINED] :NONAME [IF]
+T{ :NONAME [ 345 ] IW3 [ ! ] ; DROP IW3 @ -> 345 }T
+T{ :NONAME IW5 [ @ IW3 ! ] ; DROP IW3 @ -> 456 }T
+[THEN]
+
+\ ------------------------------------------------------------------------------
+TESTING that IMMEDIATE doesn't toggle a flag
+
+VARIABLE IT1 0 IT1 !
+: IT2 1234 IT1 ! ; IMMEDIATE IMMEDIATE
+T{ : IT3 IT2 ; IT1 @ -> 1234 }T
+
+\ ------------------------------------------------------------------------------
+TESTING parsing behaviour of S" ." and (
+\ which should parse to just beyond the terminating character no space needed
+
+T{ : GC5 S" A string"2DROP ; GC5 -> }T
+T{ ( A comment)1234 -> 1234 }T
+T{ : PB1 CR ." You should see 2345: "." 2345"( A comment) CR ; PB1 -> }T
+\ ------------------------------------------------------------------------------
+TESTING number prefixes # $ % and 'c' character input
+\ Adapted from the Forth 200X Draft 14.5 document
+
+VARIABLE OLD-BASE
+DECIMAL BASE @ OLD-BASE !
+T{ #1289 -> 1289 }T
+T{ #-1289 -> -1289 }T
+T{ $12eF -> 4847 }T
+T{ $-12eF -> -4847 }T
+T{ %10010110 -> 150 }T
+T{ %-10010110 -> -150 }T
+T{ 'z' -> 122 }T
+T{ 'Z' -> 90 }T
+\ Check BASE is unchanged
+T{ BASE @ OLD-BASE @ = -> <TRUE> }T
+
+\ Repeat in Hex mode
+16 OLD-BASE ! 16 BASE !
+T{ #1289 -> 509 }T
+T{ #-1289 -> -509 }T
+T{ $12eF -> 12EF }T
+T{ $-12eF -> -12EF }T
+T{ %10010110 -> 96 }T
+T{ %-10010110 -> -96 }T
+T{ 'z' -> 7a }T
+T{ 'Z' -> 5a }T
+\ Check BASE is unchanged
+T{ BASE @ OLD-BASE @ = -> <TRUE> }T   \ 2
+
+DECIMAL
+\ Check number prefixes in compile mode
+T{ : nmp  #8327 $-2cbe %011010111 ''' ; nmp -> 8327 -11454 215 39 }T
+
+\ ------------------------------------------------------------------------------
+TESTING definition names
+\ should support {1..31} graphical characters
+: !"#$%&'()*+,-./0123456789:;<=>? 1 ;
+T{ !"#$%&'()*+,-./0123456789:;<=>? -> 1 }T
+: @ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^ 2 ;
+T{ @ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^ -> 2 }T
+: _`abcdefghijklmnopqrstuvwxyz{|} 3 ;
+T{ _`abcdefghijklmnopqrstuvwxyz{|} -> 3 }T
+: _`abcdefghijklmnopqrstuvwxyz{|~ 4 ;     \ Last character different
+T{ _`abcdefghijklmnopqrstuvwxyz{|~ -> 4 }T
+T{ _`abcdefghijklmnopqrstuvwxyz{|} -> 3 }T
+
+\ ------------------------------------------------------------------------------
+TESTING FIND with a zero length string and a non-existent word
+
+CREATE EMPTYSTRING 0 C,
+: EMPTYSTRING-FIND-CHECK ( c-addr 0 | xt 1 | xt -1 -- t|f )
+    DUP IF ." FIND returns a TRUE value for an empty string!" CR THEN
+    0= SWAP EMPTYSTRING = = ;
+T{ EMPTYSTRING FIND EMPTYSTRING-FIND-CHECK -> <TRUE> }T
+
+CREATE NON-EXISTENT-WORD   \ Same as in exceptiontest.fth
+       15 C, CHAR $ C, CHAR $ C, CHAR Q C, CHAR W C, CHAR E C, CHAR Q C,
+   CHAR W C, CHAR E C, CHAR Q C, CHAR W C, CHAR E C, CHAR R C, CHAR T C,
+   CHAR $ C, CHAR $ C,
+T{ NON-EXISTENT-WORD FIND -> NON-EXISTENT-WORD 0 }T
+
+\ ------------------------------------------------------------------------------
+TESTING IF ... BEGIN ... REPEAT (unstructured)
+
+T{ : UNS1 DUP 0 > IF 9 SWAP BEGIN 1+ DUP 3 > IF EXIT THEN REPEAT ; -> }T
+T{ -6 UNS1 -> -6 }T
+T{  1 UNS1 -> 9 4 }T
+
+\ ------------------------------------------------------------------------------
+TESTING DOES> doesn't cause a problem with a CREATEd address
+
+: MAKE-2CONST DOES> 2@ ;
+T{ CREATE 2K 3 , 2K , MAKE-2CONST 2K -> ' 2K >BODY 3 }T
+
+\ ------------------------------------------------------------------------------
+TESTING ALLOT ( n -- ) where n <= 0
+
+T{ HERE 5 ALLOT -5 ALLOT HERE = -> <TRUE> }T
+T{ HERE 0 ALLOT HERE = -> <TRUE> }T
+\ -----------------------------------------------------------------------------
+TESTING MARKER   (contributed by James Bowman)
+
+[DEFINED] MARKER [IF]
+
+    [UNDEFINED] 0<> [IF]
+    CODE 0<>
+    CMP #0,R14
+    0<> IF MOV #-1,R14 THEN
+    MOV @R13+,R0
+    ENDCODE
+    [THEN]
+
+T{ : MA? BL WORD FIND NIP 0<> ; -> }T
+T{ MARKER MA0 -> }T
+T{ : MA1 111 ; -> }T
+T{ MARKER MA2 -> }T
+T{ : MA1 222 ; -> }T
+T{ MA? MA0 MA? MA1 MA? MA2 -> TRUE TRUE TRUE }T
+T{ MA1 MA2 MA1 -> 222 111 }T
+T{ MA? MA0 MA? MA1 MA? MA2 -> TRUE TRUE FALSE }T
+T{ MA0 -> }T
+T{ MA? MA0 MA? MA1 MA? MA2 -> FALSE FALSE FALSE }T
+
+[THEN]
+
+
 CR .( End of Core word set tests)
 
 CORETESTSUCCESS
index f4a2a3f..57013a6 100644 (file)
@@ -36,10 +36,10 @@ CODE ABORT_CORE_ANS
 SUB #2,PSP
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
+SUB #308,TOS        \ FastForth V3.8
 COLON
 $0D EMIT            \ return to column 1 without CR
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE           \ remove ABORT_UARTI2CS before CORE_ANS downloading
 ;
 
@@ -646,46 +646,8 @@ ENDCODE
 \ --------------------
 \ ARITHMETIC OPERATORS
 \ --------------------
-TLV_ORG 4 + @ $81F3 U<
-$81EF TLV_ORG 4 + @ U< 
-= [IF]   ; MSP430FR2xxx|MSP430FR4xxx subfamilies without hardware_MPY
-
-    [UNDEFINED] M* [IF]
-    
-    \ https://forth-standard.org/standard/core/MTimes
-    \ M*     n1 n2 -- dlo dhi  signed 16*16->32 multiply
-    CODE M*
-    MOV @PSP,S          \ S= n1
-    CMP #0,S            \ n1 > -1 ?
-    S< IF
-        XOR #-1,0(PSP)  \ n1 --> u1
-        ADD #1,0(PSP)   \
-    THEN
-    XOR TOS,S           \ S contains sign of result
-    CMP #0,TOS          \ n2 > -1 ?
-    S< IF
-        XOR #-1,TOS     \ n2 --> u2 
-        ADD #1,TOS      \
-    THEN
-    PUSHM #2,IP         \ UMSTAR use S,T,W,X,Y
-    LO2HI               \ -- ud1 u2
-    UM*       
-    HI2LO
-    POPM #2,IP           \ pop S,IP
-    CMP #0,S            \ sign of result > -1 ?
-    S< IF
-        XOR #-1,0(PSP)  \ ud --> d
-        XOR #-1,TOS
-        ADD #1,0(PSP)
-        ADDC #0,TOS
-    THEN
-    MOV @IP+,PC
-    ENDCODE
-    [THEN]
-
-[ELSE]  ; MSP430FRxxxx with hardware_MPY
+[UNDEFINED] UM* [IF]    ; case of hardware_MPY
 
-[UNDEFINED] UM* [IF]
 \ https://forth-standard.org/standard/core/UMTimes
 \ UM*     u1 u2 -- udlo udhi   unsigned 16x16->32 mult.
 CODE UM*
@@ -695,15 +657,47 @@ BW1 MOV TOS,&OP2        \ Load 2nd operand
     MOV &RES1,TOS       \ high result in TOS
     MOV @IP+,PC
 ENDCODE
-[THEN]
 
-[UNDEFINED] M* [IF]
 \ https://forth-standard.org/standard/core/MTimes
 \ M*     n1 n2 -- dlo dhi  signed 16*16->32 multiply
 CODE M*
     MOV @PSP,&MPYS      \ Load 1st operand for signed multiplication
     GOTO BW1
 ENDCODE
+
+[ELSE]  ; MSP430FRxxxx without hardware_MPY
+
+[UNDEFINED] M* [IF]
+
+\ https://forth-standard.org/standard/core/MTimes
+\ M*     n1 n2 -- dlo dhi  signed 16*16->32 multiply
+CODE M*
+MOV @PSP,S          \ S= n1
+CMP #0,S            \ n1 > -1 ?
+S< IF
+    XOR #-1,0(PSP)  \ n1 --> u1
+    ADD #1,0(PSP)   \
+THEN
+XOR TOS,S           \ S contains sign of result
+CMP #0,TOS          \ n2 > -1 ?
+S< IF
+    XOR #-1,TOS     \ n2 --> u2 
+    ADD #1,TOS      \
+THEN
+PUSHM #2,IP         \ UMSTAR use S,T,W,X,Y
+LO2HI               \ -- ud1 u2
+UM*       
+HI2LO
+POPM #2,IP           \ pop S,IP
+CMP #0,S            \ sign of result > -1 ?
+S< IF
+    XOR #-1,0(PSP)  \ ud --> d
+    XOR #-1,TOS
+    ADD #1,0(PSP)
+    ADDC #0,TOS
+THEN
+MOV @IP+,PC
+ENDCODE
 [THEN]
 
 [THEN]  \  endof hardware MPY
@@ -859,6 +853,12 @@ MOV @IP+,PC
 ENDCODE
 [THEN]
 
+[UNDEFINED] TUCK [IF]
+\ https://forth-standard.org/standard/core/TUCK
+\ TUCK  ( x1 x2 -- x2 x1 x2 )
+: TUCK SWAP OVER ;
+[THEN]
+
 \ ----------------------------------------------------------------------
 \ DOUBLE OPERATORS
 \ ----------------------------------------------------------------------
@@ -1251,7 +1251,7 @@ PAD_ORG CONSTANT PAD
 [UNDEFINED] BL [IF]
 \ https://forth-standard.org/standard/core/BL
 \ BL      -- char            an ASCII space
-$20 CONSTANT BL
+'SP' CONSTANT BL
 [THEN]
 
 [UNDEFINED] SPACE [IF]
index 65560be..d565105 100644 (file)
@@ -36,10 +36,10 @@ BIT #BIT9,TOS
 0<> IF MOV #0,TOS THEN  \ if TOS <> 0 (DOUBLE input), set TOS = 0  
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS            \ FastForth V3.7
+SUB #308,TOS            \ FastForth V3.8
 COLON
 $0D EMIT    \ return to column 1 without CR
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 ABORT" build FastForth with DOUBLE_INPUT addon !"
 PWR_STATE           \ if no abort remove this word
 ;
@@ -446,7 +446,7 @@ S< IF               \ 2
 THEN
 0= IF               \ 2
     CMP @PSP,4(PSP) \ 4 d1L - d2L
-    S< IF           \ 2
+    U< IF           \ 2
         MOV #-1,TOS \ 1
     THEN
 THEN
@@ -589,12 +589,7 @@ CMP #0,0(PSP)               \ n1 < 0 ?
 S< IF
     XOR #-1,0(PSP)
     ADD #1,0(PSP)           \ u1
-    BIT #UF9,SR
-    0= IF 
-        BIS #UF9,SR
-    ELSE
-        BIC #UF9,SR
-    THEN
+    XOR #UF9,SR
 THEN                        \ let's process UM*     -- ud1lo ud1hi u1 +n2
             MOV 4(PSP),Y            \ 3 uMDlo
             MOV 2(PSP),T            \ 3 uMDhi
@@ -620,14 +615,15 @@ U>= UNTIL                           \ 1 IF BIT IN CARRY: FINISHED   W=uREShi
 MOV TOS,T
 MOV @PSP,TOS
 MOV 2(PSP),S
-\ reg     division     output     
-\ --------------------------
+\ process division
+\ reg     input         output     
+\ ----------------------------
 \ S     = DVD(15-0)         
 \ TOS   = DVD(31-16)        
+\ W     = DVD(47-32)    REM    
 \ T     = DIV(15-0)         
-\ W     = 0|DVD(47-32)  REM    
-\ X     = 0             QUOTlo            
-\ Y     = 0             QUOThi 
+\ X     = Don't care    QUOTlo            
+\ Y     = Don't care    QUOThi 
 \ rDODOES = count
 \ 2(PSP)                REM
 \ 0(PSP)                QUOTlo
@@ -642,7 +638,7 @@ ELSE
 THEN
 MOV @PSP+,0(PSP)        \ -- ud2lo ud2hi
 BIT #UF9,SR             \ sign is set ?
-0<> IF                  \ DNEGATE
+0<> IF                  \ DNEGATE Quot
     XOR #-1,0(PSP)
     XOR #-1,TOS
     ADD #1,0(PSP)
@@ -674,7 +670,7 @@ MOV &RES1,TOS           \ 3 TOS = RESmi
 MOV &RES2,W             \ 3 W = REShi
 BIC #UF9,SR             \ clear sign flag
 CMP #0,W                \ negative product ?
-S< IF                   \ DABS if yes
+S< IF                   \ compute ABS value if yes
     XOR #-1,S
     XOR #-1,TOS
     XOR #-1,W
@@ -683,14 +679,15 @@ S< IF                   \ DABS if yes
     ADDC #0,W
     BIS #UF9,SR         \ set sign flag
 THEN
-\ reg     division     output     
-\ --------------------------
+\ process division
+\ reg     input         output     
+\ ----------------------------
 \ S     = DVD(15-0)         
 \ TOS   = DVD(31-16)        
+\ W     = DVD(47-32)    REM    
 \ T     = DIV(15-0)         
-\ W     = 0|DVD(47-32)  REM    
-\ X     = 0             QUOTlo            
-\ Y     = 0             QUOThi 
+\ X     = Don't care    QUOTlo            
+\ Y     = Don't care    QUOThi 
 \ rDODOES = count
 \ 2(PSP)                REM
 \ 0(PSP)                QUOTlo
@@ -778,16 +775,12 @@ R> OVER - SPACES TYPE
 ;
 [THEN]
 
-[THEN] \ end of {DOUBLE}
-
 RST_HERE
 
-\ ------------------------------------------------------------------------------
-\ ------------------------------------------------------------------------------
-\ Complement to test DOUBLE
-\ ------------------------------------------------------------------------------
-\ ------------------------------------------------------------------------------
-
+\ ==============================================================================
+\ Complement to pass DOUBLETEST.4TH
+\ ==============================================================================
+\
 [UNDEFINED] VARIABLE [IF]
 \ https://forth-standard.org/standard/core/VARIABLE
 : VARIABLE \  --
@@ -1218,6 +1211,59 @@ MOV @IP+,PC \ out 2 of MOVE ====>
 ENDCODE
 [THEN]
 
+[UNDEFINED] DECIMAL [IF]
+\ https://forth-standard.org/standard/core/DECIMAL
+CODE DECIMAL
+MOV #$0A,&BASEADR
+MOV @IP+,PC
+ENDCODE
+[THEN]
+
+[UNDEFINED] BASE [IF]
+\ https://forth-standard.org/standard/core/BASE
+\ BASE    -- a-addr       holds conversion radix
+BASEADR CONSTANT BASE
+[THEN]
+
+[UNDEFINED] ( [IF]
+\ https://forth-standard.org/standard/core/p
+\ (         --          skip input until char ) or EOL
+: ( 
+')' WORD DROP
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] .( [IF] \ "
+\ https://forth-standard.org/standard/core/Dotp
+\ .(        --          type comment immediatly.
+CODE .(         \ "
+MOV #0,&CAPS    \ CAPS OFF
+COLON
+')' WORD
+COUNT TYPE
+$20 CAPS !       \ CAPS ON
+; IMMEDIATE
+[THEN]
+
+
+\ ==============================================================================
+\ TESTER
+\ ==============================================================================
+
+\ From: John Hayes S1I
+\ Subject: tester.fr
+\ Date: Mon, 27 Nov 95 13:10:09 PST
+
+\ (C) 1995 JOHNS HOPKINS UNIVERSITY / APPLIED PHYSICS LABORATORY
+\ MAY BE DISTRIBUTED FREELY AS LONG AS THIS COPYRIGHT NOTICE REMAINS.
+\ VERSION 1.1
+
+\ 22/1/09 The words { and } have been changed to T{ and }T respectively to
+\ agree with the Forth 200X file ttester.fs. This avoids clashes with
+\ locals using { ... } and the FSL use of }
+
+
+\ 13/05/14 jmt. added colorised error messages.
  0 CONSTANT FALSE
 -1 CONSTANT TRUE
 
@@ -1274,330 +1320,451 @@ CREATE ACTUAL-RESULTS 20 CELLS ALLOT
     ELSE >IN ! DROP [CHAR] * EMIT
     THEN ;
 
--1 CONSTANT 1S
-0 CONSTANT <FALSE>
--1 CONSTANT <TRUE>
-0 INVERT 1 RSHIFT           CONSTANT MAX-INT    ; %011...1
-0 INVERT 1 RSHIFT INVERT    CONSTANT MIN-INT    ; %100...0
-MAX-INT 2/                  CONSTANT HI-INT     ; %001...1 
-MIN-INT 2/                  CONSTANT LO-INT     ; %110...0
--1 MAX-INT                  2CONSTANT MAX-2INT  ; %.011...1 
-0 MIN-INT                   2CONSTANT MIN-2INT  ; %.100...0 
-MAX-2INT 2/                 2CONSTANT HI-2INT   ; %.001...1
-MIN-2INT 2/                 2CONSTANT LO-2INT   ; %.110...0
-
 ECHO
 
-; -----------------------------------------------------------------------------
-; DOUBLE tests
-; -----------------------------------------------------------------------------
-
-\ MAX-INT .
-\ MIN-INT .
-\ HI-INT .
-\ LO-INT .
-\ MAX-2INT D.
-\ MIN-2INT D.
-\ HI-2INT D.
-\ LO-2INT D.
-\ 
-\ 2CONSTANT
-T{ 1 2 2CONSTANT 2c1 -> }T 
-T{ 2c1 -> 1 2 }T
-T{ : cd1 2c1 ; -> }T 
-T{ cd1 -> 1 2 }T
-
-T{ : cd2 2CONSTANT ; -> }T 
-T{ -1 -2 cd2 2c2 -> }T 
-T{ 2c2 -> -1 -2 }T
-
-T{ 4 5 2CONSTANT 2c3 IMMEDIATE 2c3 -> 4 5 }T 
-T{ : cd6 2c3 2LITERAL ; cd6 -> 4 5 }T
-
-\ 2VARIABLE
-T{ 2VARIABLE 2v1 -> }T 
-T{ 0. 2v1 2! ->    }T 
-T{    2v1 2@ -> 0. }T 
-T{ -1 -2 2v1 2! ->       }T 
-T{       2v1 2@ -> -1 -2 }T
-T{ : cd2 2VARIABLE ; -> }T 
-T{ cd2 2v2 -> }T 
-T{ : cd3 2v2 2! ; -> }T 
-T{ -2 -1 cd3 -> }T 
-T{ 2v2 2@ -> -2 -1 }T
-
-T{ 2VARIABLE 2v3 IMMEDIATE 5 6 2v3 2! -> }T 
-T{ 2v3 2@ -> 5 6 }T
-
-\ 2LITERAL
-T{ : cd1 [ MAX-2INT ] 2LITERAL ; -> }T
-T{ cd1 -> MAX-2INT }T
-T{ 2VARIABLE 2v4 IMMEDIATE 5 6 2v4 2! -> }T 
-T{ : cd7 2v4 [ 2@ ] 2LITERAL ; cd7 -> 5 6 }T 
-T{ : cd8 [ 6 7 ] 2v4 [ 2! ] ; 2v4 2@ -> 6 7 }T
-
-\ 2VALUE
-T{ 1 2 2VALUE t2val -> }T 
-T{ t2val -> 1 2 }T 
-T{ 3 4 TO t2val -> }T 
-T{ t2val -> 3 4 }T 
-: sett2val t2val 2SWAP TO t2val ; 
-T{ 5 6 sett2val t2val -> 3 4 5 6 }T
-
-\ D+
-T{  0.  5. D+ ->  5. }T                         \ small integers 
-T{ -5.  0. D+ -> -5. }T 
-T{  1.  2. D+ ->  3. }T 
-T{  1. -2. D+ -> -1. }T 
-T{ -1.  2. D+ ->  1. }T 
-T{ -1. -2. D+ -> -3. }T 
+\ ==============================================================================
+\ DOUBLE TEST
+\ ==============================================================================
+\ https://raw.githubusercontent.com/gerryjackson/forth2012-test-suite/master/src/doubletest.fth
+\
+\ To test the ANS Forth Double-Number word set and double number extensions
+\
+\ This program was written by Gerry Jackson in 2006, with contributions from
+\ others where indicated, and is in the public domain - it can be distributed
+\ and/or modified in any way but please retain this notice.
+\
+\ This program is distributed in the hope that it will be useful,
+\ but WITHOUT ANY WARRANTY; without even the implied warranty of
+\ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
+\
+\ The tests are not claimed to be comprehensive or correct 
+\ ------------------------------------------------------------------------------
+\ Version 0.13  Assumptions and dependencies changed
+\         0.12  1 August 2015 test D< acts on MS cells of double word
+\         0.11  7 April 2015 2VALUE tested
+\         0.6   1 April 2012 Tests placed in the public domain.
+\               Immediate 2CONSTANTs and 2VARIABLEs tested
+\         0.5   20 November 2009 Various constants renamed to avoid
+\               redefinition warnings. <TRUE> and <FALSE> replaced
+\               with TRUE and FALSE
+\         0.4   6 March 2009 { and } replaced with T{ and }T
+\               Tests rewritten to be independent of word size and
+\               tests re-ordered
+\         0.3   20 April 2007 ANS Forth words changed to upper case
+\         0.2   30 Oct 2006 Updated following GForth test to include
+\               various constants from core.fr
+\         0.1   Oct 2006 First version released
+\ ------------------------------------------------------------------------------
+\ The tests are based on John Hayes test program for the core word set
+\
+\ Words tested in this file are:
+\     2CONSTANT 2LITERAL 2VARIABLE D+ D- D. D.R D0< D0= D2* D2/
+\     D< D= D>S DABS DMAX DMIN DNEGATE M*/ M+ 2ROT DU<
+\ Also tests the interpreter and compiler reading a double number
+\ ------------------------------------------------------------------------------
+\ Assumptions and dependencies:
+\     - tester.fr (or ttester.fs), errorreport.fth and utilities.fth have been
+\       included prior to this file
+\     - the Core word set is available and tested
+\ ------------------------------------------------------------------------------
+\ Constant definitions
+
+DECIMAL
+
+0 INVERT        CONSTANT 1SD
+1SD 1 RSHIFT    CONSTANT MAX-INTD   \ 01...1
+MAX-INTD INVERT CONSTANT MIN-INTD   \ 10...0
+MAX-INTD 2/     CONSTANT HI-INT     \ 001...1
+MIN-INTD 2/     CONSTANT LO-INT     \ 110...1
+
+\ 1SD .
+\ MAX-INTD .
+\ MIN-INTD .
+\ HI-INT .  
+\ LO-INT .  
+
+\ ------------------------------------------------------------------------------
+TESTING interpreter and compiler reading double numbers, with/without prefixes
+
+T{ 1. -> 1 0 }T
+T{ -2. -> -2 -1 }T
+T{ : RDL1 3. ; RDL1 -> 3 0 }T
+T{ : RDL2 -4. ; RDL2 -> -4 -1 }T
+
+VARIABLE OLD-DBASE
+DECIMAL BASE @ OLD-DBASE !
+T{ #12346789. -> 12346789. }T
+T{ #-12346789. -> -12346789. }T
+T{ $12aBcDeF. -> 313249263. }T
+T{ $-12AbCdEf. -> -313249263. }T
+T{ %10010110. -> 150. }T
+T{ %-10010110. -> -150. }T
+\ Check BASE is unchanged
+T{ BASE @ OLD-DBASE @ = -> TRUE }T
+
+\ Repeat in Hex mode
+16 OLD-DBASE ! 16 BASE !
+T{ #12346789. -> BC65A5. }T
+T{ #-12346789. -> -BC65A5. }T
+T{ $12aBcDeF. -> 12AbCdeF. }T
+T{ $-12AbCdEf. -> -12ABCDef. }T
+T{ %10010110. -> 96. }T
+T{ %-10010110. -> -96. }T
+\ Check BASE is unchanged
+T{ BASE @ OLD-DBASE @ = -> TRUE }T   \ 2
+
+DECIMAL
+\ Check number prefixes in compile mode
+T{ : dnmp  #8327. $-2cbe. %011010111. ; dnmp -> 8327. -11454. 215. }T
+
+\ ------------------------------------------------------------------------------
+TESTING 2CONSTANT
+
+T{ 1 2 2CONSTANT 2C1 -> }T
+T{ 2C1 -> 1 2 }T
+T{ : CD1 2C1 ; -> }T
+T{ CD1 -> 1 2 }T
+T{ : CD2 2CONSTANT ; -> }T
+T{ -1 -2 CD2 2C2 -> }T
+T{ 2C2 -> -1 -2 }T
+T{ 4 5 2CONSTANT 2C3 IMMEDIATE 2C3 -> 4 5 }T
+T{ : CD6 2C3 2LITERAL ; CD6 -> 4 5 }T
+
+\ ------------------------------------------------------------------------------
+\ Some 2CONSTANTs for the following tests
+
+1SD MAX-INTD 2CONSTANT MAX-2INT  \ 01...1
+0   MIN-INTD 2CONSTANT MIN-2INT  \ 10...0
+MAX-2INT 2/  2CONSTANT HI-2INT   \ 001...1
+MIN-2INT 2/  2CONSTANT LO-2INT   \ 110...0
+
+\ ------------------------------------------------------------------------------
+TESTING DNEGATE
+
+T{ 0. DNEGATE -> 0. }T
+T{ 1. DNEGATE -> -1. }T
+T{ -1. DNEGATE -> 1. }T
+T{ MAX-2INT DNEGATE -> MIN-2INT SWAP 1+ SWAP }T
+T{ MIN-2INT SWAP 1+ SWAP DNEGATE -> MAX-2INT }T
+
+\ ------------------------------------------------------------------------------
+TESTING D+ with small integers
+
+T{  0.  5. D+ ->  5. }T
+T{ -5.  0. D+ -> -5. }T
+T{  1.  2. D+ ->  3. }T
+T{  1. -2. D+ -> -1. }T
+T{ -1.  2. D+ ->  1. }T
+T{ -1. -2. D+ -> -3. }T
 T{ -1.  1. D+ ->  0. }T
-T{  0  0  0  5 D+ ->  0  5 }T                  \ mid range integers 
-T{ -1  5  0  0 D+ -> -1  5 }T 
-T{  0  0  0 -5 D+ ->  0 -5 }T 
-T{  0 -5 -1  0 D+ -> -1 -5 }T 
-T{  0  1  0  2 D+ ->  0  3 }T 
-T{ -1  1  0 -2 D+ -> -1 -1 }T 
-T{  0 -1  0  2 D+ ->  0  1 }T 
-T{  0 -1 -1 -2 D+ -> -1 -3 }T 
+
+TESTING D+ with mid range integers
+
+T{  0  0  0  5 D+ ->  0  5 }T
+T{ -1  5  0  0 D+ -> -1  5 }T
+T{  0  0  0 -5 D+ ->  0 -5 }T
+T{  0 -5 -1  0 D+ -> -1 -5 }T
+T{  0  1  0  2 D+ ->  0  3 }T
+T{ -1  1  0 -2 D+ -> -1 -1 }T
+T{  0 -1  0  2 D+ ->  0  1 }T
+T{  0 -1 -1 -2 D+ -> -1 -3 }T
 T{ -1 -1  0  1 D+ -> -1  0 }T
+T{ MIN-INTD 0 2DUP D+ -> 0 1 }T
+T{ MIN-INTD S>D MIN-INTD 0 D+ -> 0 0 }T
+
+TESTING D+ with large double integers
+
+T{ HI-2INT 1. D+ -> 0 HI-INT 1+ }T
+T{ HI-2INT 2DUP D+ -> 1SD 1- MAX-INTD }T
+T{ MAX-2INT MIN-2INT D+ -> -1. }T
+T{ MAX-2INT LO-2INT D+ -> HI-2INT }T
+T{ HI-2INT MIN-2INT D+ 1. D+ -> LO-2INT }T
+T{ LO-2INT 2DUP D+ -> MIN-2INT }T
 
-T{ MIN-INT 0 2DUP D+ -> 0 1 }T 
-T{ MIN-INT S>D MIN-INT 0 D+ -> 0 0 }T
-
-T{  HI-2INT       1. D+ -> 0 HI-INT 1+ }T    \ large double integers 
-T{  HI-2INT     2DUP D+ -> 1S 1- MAX-INT }T 
-T{ MAX-2INT MIN-2INT D+ -> -1. }T 
-T{ MAX-2INT  LO-2INT D+ -> HI-2INT }T 
-T{  LO-2INT     2DUP D+ -> MIN-2INT }T 
-T{  HI-2INT MIN-2INT D+ 1. D+ -> LO-2INT }T
-
-\ D-
-T{  0.  5. D- -> -5. }T              \ small integers 
-T{  5.  0. D- ->  5. }T 
-T{  0. -5. D- ->  5. }T 
-T{  1.  2. D- -> -1. }T 
-T{  1. -2. D- ->  3. }T 
-T{ -1.  2. D- -> -3. }T 
-T{ -1. -2. D- ->  1. }T 
-T{ -1. -1. D- ->  0. }T 
-T{  0  0  0  5 D- ->  0 -5 }T       \ mid-range integers 
-T{ -1  5  0  0 D- -> -1  5 }T 
-T{  0  0 -1 -5 D- ->  1  4 }T 
-T{  0 -5  0  0 D- ->  0 -5 }T 
-T{ -1  1  0  2 D- -> -1 -1 }T 
-T{  0  1 -1 -2 D- ->  1  2 }T 
-T{  0 -1  0  2 D- ->  0 -3 }T 
-T{  0 -1  0 -2 D- ->  0  1 }T 
+\ ------------------------------------------------------------------------------
+TESTING D- with small integers
+
+T{  0.  5. D- -> -5. }T
+T{  5.  0. D- ->  5. }T
+T{  0. -5. D- ->  5. }T
+T{  1.  2. D- -> -1. }T
+T{  1. -2. D- ->  3. }T
+T{ -1.  2. D- -> -3. }T
+T{ -1. -2. D- ->  1. }T
+T{ -1. -1. D- ->  0. }T
+
+TESTING D- with mid-range integers
+
+T{  0  0  0  5 D- ->  0 -5 }T
+T{ -1  5  0  0 D- -> -1  5 }T
+T{  0  0 -1 -5 D- ->  1  4 }T
+T{  0 -5  0  0 D- ->  0 -5 }T
+T{ -1  1  0  2 D- -> -1 -1 }T
+T{  0  1 -1 -2 D- ->  1  2 }T
+T{  0 -1  0  2 D- ->  0 -3 }T
+T{  0 -1  0 -2 D- ->  0  1 }T
 T{  0  0  0  1 D- ->  0 -1 }T
-T{ MIN-INT 0 2DUP D- -> 0. }T 
-T{ MIN-INT S>D MAX-INT 0 D- -> 1 1S }T 
-T{ MAX-2INT max-2INT D- -> 0. }T    \ large integers 
-T{ MIN-2INT min-2INT D- -> 0. }T 
-T{ MAX-2INT  hi-2INT D- -> lo-2INT DNEGATE }T 
-T{  HI-2INT  lo-2INT D- -> max-2INT }T 
-T{  LO-2INT  hi-2INT D- -> min-2INT 1. D+ }T 
-T{ MIN-2INT min-2INT D- -> 0. }T 
-T{ MIN-2INT  lo-2INT D- -> lo-2INT }T
-
-\ D0<
-T{                0. D0< -> <FALSE> }T 
-T{                1. D0< -> <FALSE> }T 
-T{  MIN-INT        0 D0< -> <FALSE> }T 
-T{        0  MAX-INT D0< -> <FALSE> }T 
-T{          MAX-2INT D0< -> <FALSE> }T 
-T{               -1. D0< -> <TRUE>  }T 
-T{          MIN-2INT D0< -> <TRUE>  }T
-
-\ D0=
-T{               1. D0= -> <FALSE> }T 
-T{ MIN-INT        0 D0= -> <FALSE> }T 
-T{         MAX-2INT D0= -> <FALSE> }T 
-T{      -1  MAX-INT D0= -> <FALSE> }T 
-T{               0. D0= -> <TRUE>  }T 
-T{              -1. D0= -> <FALSE> }T 
-T{       0  MIN-INT D0= -> <FALSE> }T
-
-\ D2*
-T{              0. D2* -> 0. D2* }T 
-T{ MIN-INT       0 D2* -> 0 1 }T 
-T{         HI-2INT D2* -> MAX-2INT 1. D- }T 
-T{         LO-2INT D2* -> MIN-2INT }T
-
-\ D2/
-T{       0. D2/ -> 0.        }T 
-T{       1. D2/ -> 0.        }T 
-T{      0 1 D2/ -> MIN-INT 0 }T 
-T{ MAX-2INT D2/ -> HI-2INT   }T 
-T{      -1. D2/ -> -1.       }T 
-T{ MIN-2INT D2/ -> LO-2INT   }T
-
-\ D<
-T{       0.       1. D< -> <TRUE>  }T 
-T{       0.       0. D< -> <FALSE> }T 
-T{       1.       0. D< -> <FALSE> }T 
-T{      -1.       1. D< -> <TRUE>  }T 
-T{      -1.       0. D< -> <TRUE>  }T 
-T{      -2.      -1. D< -> <TRUE>  }T 
-T{      -1.      -2. D< -> <FALSE> }T 
-T{      -1. MAX-2INT D< -> <TRUE>  }T 
-T{ MIN-2INT MAX-2INT D< -> <TRUE>  }T 
-T{ MAX-2INT      -1. D< -> <FALSE> }T 
-T{ MAX-2INT MIN-2INT D< -> <FALSE> }T
-T{ MAX-2INT 2DUP -1. D+ D< -> <FALSE> }T 
-T{ MIN-2INT 2DUP  1. D+ D< -> <TRUE>  }T
-
-\ D=
-T{      -1.      -1. D= -> <TRUE>  }T 
-T{      -1.       0. D= -> <FALSE> }T 
-T{      -1.       1. D= -> <FALSE> }T 
-T{       0.      -1. D= -> <FALSE> }T 
-T{       0.       0. D= -> <TRUE>  }T 
-T{       0.       1. D= -> <FALSE> }T 
-T{       1.      -1. D= -> <FALSE> }T 
-T{       1.       0. D= -> <FALSE> }T 
-T{       1.       1. D= -> <TRUE>  }T
-T{   0   -1    0  -1 D= -> <TRUE>  }T 
-T{   0   -1    0   0 D= -> <FALSE> }T 
-T{   0   -1    0   1 D= -> <FALSE> }T 
-T{   0    0    0  -1 D= -> <FALSE> }T 
-T{   0    0    0   0 D= -> <TRUE>  }T 
-T{   0    0    0   1 D= -> <FALSE> }T 
-T{   0    1    0  -1 D= -> <FALSE> }T 
-T{   0    1    0   0 D= -> <FALSE> }T 
-T{   0    1    0   1 D= -> <TRUE>  }T
-
-T{ MAX-2INT MIN-2INT D= -> <FALSE> }T 
-T{ MAX-2INT       0. D= -> <FALSE> }T 
-T{ MAX-2INT MAX-2INT D= -> <TRUE>  }T 
-T{ MAX-2INT HI-2INT  D= -> <FALSE> }T 
-T{ MAX-2INT MIN-2INT D= -> <FALSE> }T 
-T{ MIN-2INT MIN-2INT D= -> <TRUE>  }T 
-T{ MIN-2INT LO-2INT  D= -> <FALSE> }T 
-T{ MIN-2INT MAX-2INT D= -> <FALSE> }T
-
-\ D>S
-T{    1234  0 D>S ->  1234   }T 
-T{   -1234 -1 D>S -> -1234   }T 
-T{ MAX-INT  0 D>S -> MAX-INT }T 
-T{ MIN-INT -1 D>S -> MIN-INT }T
-
-
-\ DABS
-T{       1. DABS -> 1.       }T 
-T{      -1. DABS -> 1.       }T 
-T{ MAX-2INT DABS -> MAX-2INT }T 
+T{ MIN-INTD 0 2DUP D- -> 0. }T
+T{ MIN-INTD S>D MAX-INTD 0 D- -> 1 1SD }T
+
+TESTING D- with large integers
+
+T{ MAX-2INT MAX-2INT D- -> 0. }T
+T{ MIN-2INT MIN-2INT D- -> 0. }T
+T{ MAX-2INT HI-2INT  D- -> LO-2INT DNEGATE }T
+T{ HI-2INT  LO-2INT  D- -> MAX-2INT }T
+T{ LO-2INT  HI-2INT  D- -> MIN-2INT 1. D+ }T
+T{ MIN-2INT MIN-2INT D- -> 0. }T
+T{ MIN-2INT LO-2INT  D- -> LO-2INT }T
+
+\ ------------------------------------------------------------------------------
+TESTING D0< D0=
+
+T{ 0. D0< -> FALSE }T
+T{ 1. D0< -> FALSE }T
+T{ MIN-INTD 0 D0< -> FALSE }T
+T{ 0 MAX-INTD D0< -> FALSE }T
+T{ MAX-2INT  D0< -> FALSE }T
+T{ -1. D0< -> TRUE }T
+T{ MIN-2INT D0< -> TRUE }T
+
+T{ 1. D0= -> FALSE }T
+T{ MIN-INTD 0 D0= -> FALSE }T
+T{ MAX-2INT  D0= -> FALSE }T
+T{ -1 MAX-INTD D0= -> FALSE }T
+T{ 0. D0= -> TRUE }T
+T{ -1. D0= -> FALSE }T
+T{ 0 MIN-INTD D0= -> FALSE }T
+
+\ ------------------------------------------------------------------------------
+TESTING D2* D2/
+
+T{ 0. D2* -> 0. D2* }T
+T{ MIN-INTD 0 D2* -> 0 1 }T
+T{ HI-2INT D2* -> MAX-2INT 1. D- }T
+T{ LO-2INT D2* -> MIN-2INT }T
+
+T{ 0. D2/ -> 0. }T
+T{ 1. D2/ -> 0. }T
+T{ 0 1 D2/ -> MIN-INTD 0 }T
+T{ MAX-2INT D2/ -> HI-2INT }T
+T{ -1. D2/ -> -1. }T
+T{ MIN-2INT D2/ -> LO-2INT }T
+
+\ ------------------------------------------------------------------------------
+TESTING D< D=
+
+T{  0.  1. D< -> TRUE  }T
+T{  0.  0. D< -> FALSE }T
+T{  1.  0. D< -> FALSE }T
+T{ -1.  1. D< -> TRUE  }T
+T{ -1.  0. D< -> TRUE  }T
+T{ -2. -1. D< -> TRUE  }T
+T{ -1. -2. D< -> FALSE }T
+T{ 0 1   1. D< -> FALSE }T  \ Suggested by Helmut Eller
+T{ 1.  0 1  D< -> TRUE  }T
+T{ 0 -1 1 -2 D< -> FALSE }T
+T{ 1 -2 0 -1 D< -> TRUE  }T
+T{ -1. MAX-2INT D< -> TRUE }T
+T{ MIN-2INT MAX-2INT D< -> TRUE }T
+T{ MAX-2INT -1. D< -> FALSE }T
+T{ MAX-2INT MIN-2INT D< -> FALSE }T
+T{ MAX-2INT 2DUP -1. D+ D< -> FALSE }T
+T{ MIN-2INT 2DUP  1. D+ D< -> TRUE  }T
+T{ MAX-INTD S>D 2DUP 1. D+ D< -> TRUE }T \ Ensure D< acts on MS cells 
+
+T{ -1. -1. D= -> TRUE  }T
+T{ -1.  0. D= -> FALSE }T
+T{ -1.  1. D= -> FALSE }T
+T{  0. -1. D= -> FALSE }T
+T{  0.  0. D= -> TRUE  }T
+T{  0.  1. D= -> FALSE }T
+T{  1. -1. D= -> FALSE }T
+T{  1.  0. D= -> FALSE }T
+T{  1.  1. D= -> TRUE  }T
+
+T{ 0 -1 0 -1 D= -> TRUE  }T
+T{ 0 -1 0  0 D= -> FALSE }T
+T{ 0 -1 0  1 D= -> FALSE }T
+T{ 0  0 0 -1 D= -> FALSE }T
+T{ 0  0 0  0 D= -> TRUE  }T
+T{ 0  0 0  1 D= -> FALSE }T
+T{ 0  1 0 -1 D= -> FALSE }T
+T{ 0  1 0  0 D= -> FALSE }T
+T{ 0  1 0  1 D= -> TRUE  }T
+
+T{ MAX-2INT MIN-2INT D= -> FALSE }T
+T{ MAX-2INT 0. D= -> FALSE }T
+T{ MAX-2INT MAX-2INT D= -> TRUE }T
+T{ MAX-2INT HI-2INT  D= -> FALSE }T
+T{ MAX-2INT MIN-2INT D= -> FALSE }T
+T{ MIN-2INT MIN-2INT D= -> TRUE }T
+T{ MIN-2INT LO-2INT  D=  -> FALSE }T
+T{ MIN-2INT MAX-2INT D= -> FALSE }T
+
+\ ------------------------------------------------------------------------------
+TESTING 2LITERAL 2VARIABLE
+
+T{ : CD3 [ MAX-2INT ] 2LITERAL ; -> }T
+T{ CD3 -> MAX-2INT }T
+T{ 2VARIABLE 2V1 -> }T
+T{ 0. 2V1 2! -> }T
+T{ 2V1 2@ -> 0. }T
+T{ -1 -2 2V1 2! -> }T
+T{ 2V1 2@ -> -1 -2 }T
+T{ : CD4 2VARIABLE ; -> }T
+T{ CD4 2V2 -> }T
+T{ : CD5 2V2 2! ; -> }T
+T{ -2 -1 CD5 -> }T
+T{ 2V2 2@ -> -2 -1 }T
+T{ 2VARIABLE 2V3 IMMEDIATE 5 6 2V3 2! -> }T
+T{ 2V3 2@ -> 5 6 }T
+T{ : CD7 2V3 [ 2@ ] 2LITERAL ; CD7 -> 5 6 }T
+T{ : CD8 [ 6 7 ] 2V3 [ 2! ] ; 2V3 2@ -> 6 7 }T
+
+\ ------------------------------------------------------------------------------
+TESTING DMAX DMIN
+
+T{  1.  2. DMAX -> 2. }T
+T{  1.  0. DMAX -> 1. }T
+T{  1. -1. DMAX -> 1. }T
+T{  1.  1. DMAX -> 1. }T
+T{  0.  1. DMAX -> 1. }T
+T{  0. -1. DMAX -> 0. }T
+T{ -1.  1. DMAX -> 1. }T
+T{ -1. -2. DMAX -> -1. }T
+
+T{ MAX-2INT HI-2INT  DMAX -> MAX-2INT }T
+T{ MAX-2INT MIN-2INT DMAX -> MAX-2INT }T
+T{ MIN-2INT MAX-2INT DMAX -> MAX-2INT }T
+T{ MIN-2INT LO-2INT  DMAX -> LO-2INT  }T
+
+T{ MAX-2INT  1. DMAX -> MAX-2INT }T
+T{ MAX-2INT -1. DMAX -> MAX-2INT }T
+T{ MIN-2INT  1. DMAX ->  1. }T
+T{ MIN-2INT -1. DMAX -> -1. }T
+
+
+T{  1.  2. DMIN ->  1. }T
+T{  1.  0. DMIN ->  0. }T
+T{  1. -1. DMIN -> -1. }T
+T{  1.  1. DMIN ->  1. }T
+T{  0.  1. DMIN ->  0. }T
+T{  0. -1. DMIN -> -1. }T
+T{ -1.  1. DMIN -> -1. }T
+T{ -1. -2. DMIN -> -2. }T
+
+T{ MAX-2INT HI-2INT  DMIN -> HI-2INT  }T
+T{ MAX-2INT MIN-2INT DMIN -> MIN-2INT }T
+T{ MIN-2INT MAX-2INT DMIN -> MIN-2INT }T
+T{ MIN-2INT LO-2INT  DMIN -> MIN-2INT }T
+
+T{ MAX-2INT  1. DMIN ->  1. }T
+T{ MAX-2INT -1. DMIN -> -1. }T
+T{ MIN-2INT  1. DMIN -> MIN-2INT }T
+T{ MIN-2INT -1. DMIN -> MIN-2INT }T
+
+\ ------------------------------------------------------------------------------
+TESTING D>S DABS
+
+T{  1234  0 D>S ->  1234 }T
+T{ -1234 -1 D>S -> -1234 }T
+T{ MAX-INTD  0 D>S -> MAX-INTD }T
+T{ MIN-INTD -1 D>S -> MIN-INTD }T
+
+T{  1. DABS -> 1. }T
+T{ -1. DABS -> 1. }T
+T{ MAX-2INT DABS -> MAX-2INT }T
 T{ MIN-2INT 1. D+ DABS -> MAX-2INT }T
 
-\ DMAX
-T{       1.       2. DMAX ->  2.      }T 
-T{       1.       0. DMAX ->  1.      }T 
-T{       1.      -1. DMAX ->  1.      }T 
-T{       1.       1. DMAX ->  1.      }T 
-T{       0.       1. DMAX ->  1.      }T 
-T{       0.      -1. DMAX ->  0.      }T 
-T{      -1.       1. DMAX ->  1.      }T 
-T{      -1.      -2. DMAX -> -1.      }T
-T{ MAX-2INT  HI-2INT DMAX -> MAX-2INT }T 
-T{ MAX-2INT MIN-2INT DMAX -> MAX-2INT }T 
-T{ MIN-2INT MAX-2INT DMAX -> MAX-2INT }T 
-T{ MIN-2INT  LO-2INT DMAX -> LO-2INT  }T
-
-T{ MAX-2INT       1. DMAX -> MAX-2INT }T 
-T{ MAX-2INT      -1. DMAX -> MAX-2INT }T 
-T{ MIN-2INT       1. DMAX ->  1.      }T 
-T{ MIN-2INT      -1. DMAX -> -1.      }T
-
-\ DMIN
-T{       1.       2. DMIN ->  1.      }T 
-T{       1.       0. DMIN ->  0.      }T 
-T{       1.      -1. DMIN -> -1.      }T 
-T{       1.       1. DMIN ->  1.      }T 
-T{       0.       1. DMIN ->  0.      }T 
-T{       0.      -1. DMIN -> -1.      }T 
-T{      -1.       1. DMIN -> -1.      }T 
-T{      -1.      -2. DMIN -> -2.      }T
-T{ MAX-2INT  HI-2INT DMIN -> HI-2INT  }T 
-T{ MAX-2INT MIN-2INT DMIN -> MIN-2INT }T 
-T{ MIN-2INT MAX-2INT DMIN -> MIN-2INT }T 
-T{ MIN-2INT  LO-2INT DMIN -> MIN-2INT }T
-
-T{ MAX-2INT       1. DMIN ->  1.      }T 
-T{ MAX-2INT      -1. DMIN -> -1.      }T 
-T{ MIN-2INT       1. DMIN -> MIN-2INT }T 
-T{ MIN-2INT      -1. DMIN -> MIN-2INT }T
-
-\ DNEGATE
-T{   0. DNEGATE ->  0. }T 
-T{   1. DNEGATE -> -1. }T 
-T{  -1. DNEGATE ->  1. }T 
-T{ max-2int DNEGATE -> min-2int SWAP 1+ SWAP }T 
-T{ min-2int SWAP 1+ SWAP DNEGATE -> max-2int }T
-
-\ 2ROT
-T{       1.       2. 3. 2ROT ->       2. 3.       1. }T 
-T{ MAX-2INT MIN-2INT 1. 2ROT -> MIN-2INT 1. MAX-2INT }T
+\ ------------------------------------------------------------------------------
+TESTING M+ M*/
 
-\ DU<
-T{       1.       1. DU< -> <FALSE> }T 
-T{       1.      -1. DU< -> <TRUE>  }T 
-T{      -1.       1. DU< -> <FALSE> }T 
-T{      -1.      -2. DU< -> <FALSE> }T
-T{ MAX-2INT  HI-2INT DU< -> <FALSE> }T 
-T{  HI-2INT MAX-2INT DU< -> <TRUE>  }T 
-T{ MAX-2INT MIN-2INT DU< -> <TRUE>  }T 
-T{ MIN-2INT MAX-2INT DU< -> <FALSE> }T 
-T{ MIN-2INT  LO-2INT DU< -> <TRUE>  }T
-
-\ M+
-T{ HI-2INT   1 M+ -> HI-2INT   1. D+ }T 
-T{ MAX-2INT -1 M+ -> MAX-2INT -1. D+ }T 
-T{ MIN-2INT  1 M+ -> MIN-2INT  1. D+ }T 
+T{ HI-2INT   1 M+ -> HI-2INT   1. D+ }T
+T{ MAX-2INT -1 M+ -> MAX-2INT -1. D+ }T
+T{ MIN-2INT  1 M+ -> MIN-2INT  1. D+ }T
 T{ LO-2INT  -1 M+ -> LO-2INT  -1. D+ }T
 
-\ M*/
-: ?floored [ -3 2 / -2 = ] LITERAL IF 1. D- THEN ;
-
-T{       5.       7             11 M*/ ->  3. }T 
-T{       5.      -7             11 M*/ -> -3. ?floored }T 
-T{      -5.       7             11 M*/ -> -3. ?floored }T 
-T{      -5.      -7             11 M*/ ->  3. }T 
-
-T{ MAX-2INT       8             16 M*/ -> HI-2INT }T 
-T{ MAX-2INT      -8             16 M*/ -> HI-2INT DNEGATE ?floored }T
-T{ MIN-2INT       8             16 M*/ -> LO-2INT }T 
-T{ MIN-2INT      -8             16 M*/ -> LO-2INT DNEGATE }T
-
-T{ MAX-2INT MAX-INT        MAX-INT M*/ -> MAX-2INT }T 
-T{ MAX-2INT MAX-INT 2/     MAX-INT M*/ -> MAX-INT 1- HI-2INT NIP }T 
-T{ MIN-2INT LO-2INT NIP DUP NEGATE M*/ -> MIN-2INT }T 
-T{ MIN-2INT LO-2INT NIP 1- MAX-INT M*/ -> MIN-INT 3 + HI-2INT NIP 2 + }T 
-T{ MAX-2INT LO-2INT NIP DUP NEGATE M*/ -> MAX-2INT DNEGATE }T 
-T{ MIN-2INT MAX-INT            DUP M*/ -> MIN-2INT }T
-
-\ D.R
-MAX-2INT 71 73 M*/ 2CONSTANT dbl1 
-MIN-2INT 73 79 M*/ 2CONSTANT dbl2
-: d>ascii \ ( d -- caddr u ) 
-   DUP >R <# DABS #S R> SIGN #>  \  ( -- caddr1 u ) 
-   HERE SWAP 2DUP 2>R CHARS DUP ALLOT MOVE 2R> 
+\ To correct the result if the division is floored, only used when
+\ necessary i.e. negative quotient and remainder <> 0
+
+: ?FLOORED [ -3 2 / -2 = ] LITERAL IF 1. D- THEN ;
+
+T{  5.  7 11 M*/ ->  3. }T
+T{  5. -7 11 M*/ -> -3. ?FLOORED }T    \ FLOORED -4.
+T{ -5.  7 11 M*/ -> -3. ?FLOORED }T    \ FLOORED -4.
+T{ -5. -7 11 M*/ ->  3. }T
+T{ MAX-2INT  8 16 M*/ -> HI-2INT }T
+T{ MAX-2INT -8 16 M*/ -> HI-2INT DNEGATE ?FLOORED }T  \ FLOORED SUBTRACT 1
+T{ MIN-2INT  8 16 M*/ -> LO-2INT }T
+T{ MIN-2INT -8 16 M*/ -> LO-2INT DNEGATE }T
+T{ MAX-2INT MAX-INTD MAX-INTD M*/ -> MAX-2INT }T
+T{ MAX-2INT MAX-INTD 2/ MAX-INTD M*/ -> MAX-INTD 1- HI-2INT NIP }T
+T{ MIN-2INT LO-2INT NIP 1+ DUP 1- NEGATE M*/ -> 0 MAX-INTD 1- }T
+T{ MIN-2INT LO-2INT NIP 1- MAX-INTD M*/ -> MIN-INTD 3 + HI-2INT NIP 2 + }T
+T{ MAX-2INT LO-2INT NIP DUP NEGATE M*/ -> MAX-2INT DNEGATE }T
+T{ MIN-2INT MAX-INTD DUP M*/ -> MIN-2INT }T
+
+\ ------------------------------------------------------------------------------
+TESTING D. D.R
+
+\ Create some large double numbers
+MAX-2INT 71 73 M*/ 2CONSTANT DBL1
+MIN-2INT 73 79 M*/ 2CONSTANT DBL2
+
+: D>ASCII  ( D -- CADDR U )
+   DUP >R <# DABS #S R> SIGN #>    ( -- CADDR1 U )
+   HERE SWAP 2DUP 2>R CHARS DUP ALLOT MOVE 2R>
 ;
 
-dbl1 d>ascii 2CONSTANT "dbl1" 
-dbl2 d>ascii 2CONSTANT "dbl2"
-
-: DoubleOutput 
-   CR ." You should see lines duplicated:" CR 
-   5 SPACES "dbl1" TYPE CR 
-   5 SPACES dbl1 D. CR 
-   8 SPACES "dbl1" DUP >R TYPE CR 
-   5 SPACES dbl1 R> 3 + D.R CR 
-   5 SPACES "dbl2" TYPE CR 
-   5 SPACES dbl2 D. CR 
-   10 SPACES "dbl2" DUP >R TYPE CR 
-   5 SPACES dbl2 R> 5 + D.R CR 
+DBL1 D>ASCII 2CONSTANT "DBL1"
+DBL2 D>ASCII 2CONSTANT "DBL2"
+
+: DOUBLEOUTPUT
+   CR ." You should see lines duplicated:" CR
+   5 SPACES "DBL1" TYPE CR
+   5 SPACES DBL1 D. CR
+   8 SPACES "DBL1" DUP >R TYPE CR
+   5 SPACES DBL1 R> 3 + D.R CR
+   5 SPACES "DBL2" TYPE CR
+   5 SPACES DBL2 D. CR
+   10 SPACES "DBL2" DUP >R TYPE CR
+   5 SPACES DBL2 R> 5 + D.R CR
 ;
 
-T{ DoubleOutput -> }T
+T{ DOUBLEOUTPUT -> }T
+
+\ ------------------------------------------------------------------------------
+TESTING 2ROT DU< (Double Number extension words)
+
+T{ 1. 2. 3. 2ROT -> 2. 3. 1. }T
+T{ MAX-2INT MIN-2INT 1. 2ROT -> MIN-2INT 1. MAX-2INT }T
+
+T{  1.  1. DU< -> FALSE }T
+T{  1. -1. DU< -> TRUE  }T
+T{ -1.  1. DU< -> FALSE }T
+T{ -1. -2. DU< -> FALSE }T
+T{ 0 1   1. DU< -> FALSE }T
+T{ 1.  0 1  DU< -> TRUE  }T
+T{ 0 -1 1 -2 DU< -> FALSE }T
+T{ 1 -2 0 -1 DU< -> TRUE  }T
+
+T{ MAX-2INT HI-2INT  DU< -> FALSE }T
+T{ HI-2INT  MAX-2INT DU< -> TRUE  }T
+T{ MAX-2INT MIN-2INT DU< -> TRUE }T
+T{ MIN-2INT MAX-2INT DU< -> FALSE }T
+T{ MIN-2INT LO-2INT  DU< -> TRUE }T
+
+\ ------------------------------------------------------------------------------
+TESTING 2VALUE
+
+T{ 1111 2222 2VALUE 2VAL -> }T
+T{ 2VAL -> 1111 2222 }T
+T{ 3333 4444 TO 2VAL -> }T
+T{ 2VAL -> 3333 4444 }T
+T{ : TO-2VAL TO 2VAL ; 5555 6666 TO-2VAL -> }T
+T{ 2VAL -> 5555 6666 }T
+
+\ ------------------------------------------------------------------------------
 
-RST_STATE
+CR .( End of Double-Number word tests) CR
index fcf2c5f..36bc3e3 100644 (file)
@@ -3,12 +3,15 @@
 \ displays all FastForth specifications
 \ 3 kb free mandatory.
 \
-\ TARGET ( = the name of \INC\target.pat file without the extension):
+\ FastForth kernel compilation minimal options:
+\ TERMINAL3WIRES, TERMINAL4WIRES
+\ MSP430ASSEMBLER, CONDCOMP
+
+\ TARGET ( = the name of \INC\target.pat file without extension):
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
 \ MSP_EXP430FR4133  CHIPSTICK_FR2433    MSP_EXP430FR2433    MSP_EXP430FR2355
 \ LP_MSP430FR2476
 \ MY_MSP430FR5738_2
-\ COMPLEMENT: I2C
 \
 \ from scite editor : copy your TARGET selection in (shift+F8) parameter 1:
 \                     copy COMPLEMENT if used in (shift+F8) parameter 2:
 \ from file explorer :  drag and drop this file onto SendSourceFileToTarget.bat
 \                       then select your TARGET + COMPLEMENT when asked.
 \
-\ if you choice a bad target, you will obtain an error during downloading: "Device's ID mismatch!"
-\
-\ COLD            \ uncomment for this TEST which must not disrupt the downloading process
-
 ; ---------------------------------
 ; FF_SPECS.f
 ; ---------------------------------
 
-\ first, we test for downloading driver only if UART TERMINAL target
+\ first, we test for downloading driver only if good FastForth version
 CODE ABORT_FF_SPECS
 SUB #2,PSP
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
+SUB #308,TOS        \ FastForth V3.8
 COLON
 'CR' EMIT            \ return to column 1 without 'LF'
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE           \ remove ABORT_FF_SPECS definition before resuming
 ;
 
@@ -579,7 +578,7 @@ ELSE 2 + @ $1284 =          \
     THEN
 THEN
 $20 EMIT 
-THREADS @ U. 'BS' EMIT ." -Entry word sets, "   \ number of Entry word sets,
+THREADS @ U. 'BS' EMIT ." -Entry word set, "   \ number of Entry word set,
 FREQ_KHZ @ 0 1000 UM/MOD U.                     \ frequency,
 ?DUP IF 'BS' EMIT ',' EMIT U.   \ if remainder
 THEN ." MHz, "                  \ MCLK
@@ -598,38 +597,41 @@ CR
 ." MAX-UD            = 4294967295" CR
 ." STACK-CELLS       = 48" CR
 ." RETURN-STACK-CELLS= 48" CR
-." WoRdS aRe CaSe-InSeNsItIvE" CR
+." DeFiNiTiOnS aRe CaSe-InSeNsItIvE" CR
 CR 
 ESC [7m ." KERNEL SPECS" ESC [0m   \ subtitle in reverse video
 CR
 KERNEL_ADDON @
-    DUP 0< IF ." 32.768kHz XTAL" CR THEN
-2*  DUP 0< IF ." (RTS/CTS) UART TERMINAL" CR 2*
-        ELSE  2* DUP
+    DUP 0< IF ." 32.768kHz XTAL" CR THEN            \ BIT15
+2*  DUP 0< IF ." (RTS/CTS) UART TERMINAL" CR 2*     \ BIT14 BIT13
+        ELSE  2* DUP                                \       BIT13
             0< IF ." (RTS) UART TERMINAL" CR
             THEN
         THEN
-2*  DUP 0< IF ." (XON/XOFF) UART TERMINAL" CR
-        ELSE  ." I2C_Master TERMINAL" CR
+2*  DUP 0< IF ." (XON/XOFF) UART TERMINAL" CR       \ BIT12
         THEN
-2*  DUP 0< IF ." Half-Duplex TERMINAL" CR THEN
-2*  DUP 0< IF ." Q15.16 input" CR THEN
-2*  DUP 0< IF ." DOUBLE input" CR THEN
-2*  DUP 0< IF ." MSP430_X assembler" CR 2* 2* 
-        ELSE 2*  DUP
+2*  DUP 0< IF ." Half-Duplex TERMINAL" CR THEN      \ BIT11
+2*  DUP 0< IF ." I2C_Master TERMINAL" CR THEN       \ BIT10
+2*  DUP 0< IF ." Q15.16 input" CR THEN              \ BIT9
+2*  DUP 0< IF ." DOUBLE input" CR THEN              \ BIT8
+2*  DUP 0< IF ." MSP430_X assembler" CR 2* 2*       \ BIT7 BIT6 BIT5
+        ELSE 2*  DUP                                \      BIT6
             0< IF ." MSP430 Assembler"
-                2*  DUP 0< IF ."  with 20bits address" THEN
-                CR
-            ELSE 2*
+                2*  DUP 0< IF ."  with 20bits address"  \       BIT5
+                    THEN CR
+            ELSE 2*                                     \       BIT5
             THEN
         THEN
-2* 2* 2* 2* 2*  \ 5 free flags
-2* 0< IF        \ true if COND. COMPILATION
+2*              \ BIT4 free flags
+2*              \ BIT3 free flags
+2*              \ BIT2 free flags
+2*              \ BIT1 free flags
+2* 0< IF        \ BIT0 true if COND. COMPILATION
     [DEFINED] DEFER [IF] ." DEFER word set" CR [THEN]
     [DEFINED] ALSO  [IF] ." VOCABULARY word set" CR [THEN]
+    [DEFINED] LOAD" [IF] ." SD_CARD Loader" CR [THEN]
     [DEFINED] BOOT  [IF] ." bootloader" CR [THEN]
     [DEFINED] READ" [IF] ." SD_CARD Read/Write" CR [THEN]
-    [DEFINED] LOAD" [IF] ." SD_CARD Loader" CR [THEN]
     CR 
     ESC [7m ." OPTIONS" ESC [0m \ subtitle in reverse video
     CR
@@ -654,7 +656,7 @@ ESC [7m ." FORTH word set"  ESC [0m \ subtitle in reverse video
 WORDS                               \ type FORTH word set 
 CR
 HI2LO
-MOV #WARM+4,PC  \ type count of bytes free without re-executing INI_APP
+MOV #WARM_DISPLAY,PC  \ type count of bytes free without re-executing INI_APP, no return
 ENDCODE
 
-SPECS \ here FastForth displays a (volatile) message with some informations
+SPECS \ here FastForth displays a message with some informations
index b6632e4..a8a3956 100644 (file)
@@ -45,10 +45,10 @@ BIT #BIT10,TOS
 0<> IF MOV #0,TOS THEN  \ if TOS <> 0 (FIXPOINT input), set TOS = 0  
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS            \ FastForth V3.7
+SUB #308,TOS            \ FastForth V3.8
 COLON
 $0D EMIT    \ return to column 1 without CR
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 ABORT" buil FastForth with FIXPOINT_INPUT addon !"
 PWR_STATE           \ if no abort remove this word
 $1B EMIT $63 EMIT   \ send 'ESC c' (clear screen)
index 8ac5b90..358d913 100644 (file)
@@ -7,10 +7,10 @@ CODE ABORT_FF_SPECS
 SUB #2,R15
 MOV R14,0(R15)
 MOV &$180E,R14
-SUB #307,R14
+SUB #308,R14
 COLON
 $0D EMIT
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE
 ;
 
@@ -478,7 +478,7 @@ ELSE 2 + @ $1284 =
     THEN
 THEN
 $20 EMIT 
-$1810 @ U. $08 EMIT ." -Entry word sets, "
+$1810 @ U. $08 EMIT ." -Entry word set, "
 $1800 @ 0 1000 UM/MOD U.
 ?DUP IF $08 EMIT ',' EMIT U.
 THEN ." MHz, "
@@ -497,7 +497,7 @@ CR
 ." MAX-UD            = 4294967295" CR
 ." STACK-CELLS       = 48" CR
 ." RETURN-STACK-CELLS= 48" CR
-." WoRdS aRe CaSe-InSeNsItIvE" CR
+." DeFiNiTiOnS aRe CaSe-InSeNsItIvE" CR
 CR 
 ESC [7m ." KERNEL SPECS" ESC [0m
 CR
@@ -509,26 +509,29 @@ $1812 @
             THEN
         THEN
 2*  DUP 0< IF ." (XON/XOFF) UART TERMINAL" CR
-        ELSE  ." I2C_Master TERMINAL" CR
         THEN
 2*  DUP 0< IF ." Half-Duplex TERMINAL" CR THEN
+2*  DUP 0< IF ." I2C_Master TERMINAL" CR THEN
 2*  DUP 0< IF ." Q15.16 input" CR THEN
 2*  DUP 0< IF ." DOUBLE input" CR THEN
-2*  DUP 0< IF ." MSP430_X assembler" CR 2* 2* 
+2*  DUP 0< IF ." MSP430_X assembler" CR 2* 2*
         ELSE 2*  DUP
             0< IF ." MSP430 Assembler"
-                2*  DUP 0< IF ."  with 20bits address" THEN
-                CR
+                2*  DUP 0< IF ."  with 20bits address"
+                    THEN CR
             ELSE 2*
             THEN
         THEN
-2* 2* 2* 2* 2*
+2*
+2*
+2*
+2*
 2* 0< IF
     [DEFINED] DEFER [IF] ." DEFER word set" CR [THEN]
     [DEFINED] ALSO  [IF] ." VOCABULARY word set" CR [THEN]
+    [DEFINED] LOAD" [IF] ." SD_CARD Loader" CR [THEN]
     [DEFINED] BOOT  [IF] ." bootloader" CR [THEN]
     [DEFINED] READ" [IF] ." SD_CARD Read/Write" CR [THEN]
-    [DEFINED] LOAD" [IF] ." SD_CARD Loader" CR [THEN]
     CR 
     ESC [7m ." OPTIONS" ESC [0m
     CR
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/BOOT.4th b/MSP430-FORTH/MSP_EXP430FR5994/BOOT.4th
new file mode 100644 (file)
index 0000000..62208c3
--- /dev/null
@@ -0,0 +1,41 @@
+
+; --------
+; BOOT.4th for MSP_EXP430FR5994
+; --------
+
+CODE ABORT_BOOTSTRAP
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_BOOTSTRAP
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+$04 = [IF]
+    LOAD" SD_TEST.4TH"
+[THEN]
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/CHNGBAUD.4TH b/MSP430-FORTH/MSP_EXP430FR5994/CHNGBAUD.4TH
new file mode 100644 (file)
index 0000000..e519753
--- /dev/null
@@ -0,0 +1,429 @@
+
+CODE I2CTERM_ABORT
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1812,R14
+BIT #$7800,R14
+0<> IF MOV #0,R14 THEN
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+ABORT" <-- Ouch! unexpected I2C_FastForth target!"
+PWR_STATE
+;
+
+I2CTERM_ABORT
+
+; ------------
+; CHNGBAUD.4th for MSP_EXP430FR5994
+; ------------
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DROP [IF]
+CODE DROP
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1+ [IF]
+CODE 1+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U/ [IF]
+CODE U/
+SUB #2,R15
+MOV #0,0(R15)
+CALL #$4066
+MOV @R15,R14
+ADD #4,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+ELSE
+    XOR #-1,R14
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] < [IF]
+CODE <
+    SUB @R15+,R14
+    S< ?GOTO FW1
+    0<> IF
+BW1     MOV #-1,R14
+    THEN
+    MOV @R13+,R0
+ENDCODE
+
+CODE >
+    SUB @R15+,R14
+    S< ?GOTO BW1
+FW1 AND #0,R14
+    MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] CASE [IF]
+: CASE 0 ; IMMEDIATE
+
+: OF
+1+     
+>R     
+POSTPONE OVER POSTPONE =
+POSTPONE IF    
+POSTPONE DROP  
+R>     
+; IMMEDIATE 
+
+: ENDOF
+>R     
+POSTPONE ELSE 
+R>     
+; IMMEDIATE 
+
+: ENDCASE
+POSTPONE DROP
+0 DO 
+    POSTPONE THEN 
+LOOP 
+; IMMEDIATE 
+[THEN]
+
+[UNDEFINED] S_ [IF]
+CODE S_
+MOV #0,&$1DB4
+COLON
+$4014 ,
+$20 WORD
+HI2LO
+MOV.B @R14,R14
+ADD #1,R14
+BIT #1,R14
+ADDC R14,&$1DC6
+MOV @R15+,R14
+MOV @R1+,R13
+MOV #$20,&$1DB4
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ESC [IF]
+CODE ESC
+CMP #0,&$1DBE
+0= IF MOV @R13+,R0
+THEN
+COLON          
+$1B
+POSTPONE LITERAL
+POSTPONE EMIT
+POSTPONE S_
+POSTPONE TYPE
+; IMMEDIATE
+[THEN]
+
+: BAD_MHz
+$20 DUP EMIT 
+        ABORT" only for 1,4,8,16,24 MHz MCLK!"
+;
+
+: OVR_BAUDS
+$20 DUP EMIT ESC [7m
+        ." with MCLK = " $1800 @ 1000 U/ .
+        ABORT" MHz? don't dream!"
+;
+
+: CHNGBAUD
+PWR_STATE
+ECHO
+ESC [8;42;128t
+41 0 DO CR LOOP
+ESC [H
+
+$1800 @ DUP >R
+." target MCLK = " 1000 U/ . ." MHz" CR
+." choose your baudrate:" CR
+."  0 --> 6 MBds" CR
+."  1 --> 5 MBds" CR
+."  2 --> 4 MBds" CR
+."  3 --> 3 MBds" CR
+."  4 --> 1843200 Bds" CR
+."  5 --> 921600 Bds" CR
+."  6 --> 460800 Bds" CR
+."  7 --> 230400 Bds" CR
+."  8 --> 115200 Bds" CR
+."  9 --> 38400 Bds" CR
+."  A --> 19200 Bds" CR
+."  B --> 9600 Bds" CR
+." other --> abort" CR
+." your choice: "
+KEY
+
+CASE
+#48 OF  ." 6 MBds"
+        R> CASE
+            #24000 OF $4 $0
+                   ENDOF
+            24000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#49 OF  ." 5 MBds"
+        R> CASE
+            #24000 OF $4 $EE00  ENDOF
+            #20000 OF $4 $0     ENDOF
+            20000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#50 OF  ." 4 MBds"
+        R> CASE
+            #24000 OF $6 $0     ENDOF
+            #20000 OF $5 $0     ENDOF
+            #16000 OF $4 $0     ENDOF
+            16000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#51 OF  ." 3 MBds"
+        R> CASE
+            #24000 OF $8 $0     ENDOF
+            #20000 OF $6 $D600  ENDOF
+            #16000 OF $5 $4900  ENDOF
+            #12000 OF $4 $0     ENDOF
+            12000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#52 OF  ." 1843200 Bds"
+        R> CASE
+            #24000 OF $0D $0200 ENDOF
+            #20000 OF $0A $DF00 ENDOF
+            #16000 OF $8 $D600  ENDOF
+            #12000 OF $6 $AA00  ENDOF
+            #8000  OF $5 $9200  ENDOF
+            8000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#53 OF  ." 921600 Bds"
+        R> CASE
+            #24000 OF $1 $00A1  ENDOF
+            #20000 OF $1 $B751  ENDOF
+            #16000 OF $11 $4A00 ENDOF
+            #12000 OF $0D $0200  ENDOF
+            #8000  OF $8 $D600  ENDOF
+            #4000  OF $4 $4900  ENDOF
+            4000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#54 OF  ." 460800 Bds"
+        R> CASE
+            #24000 OF $3 $0241  ENDOF
+            #20000 OF $2 $92B1  ENDOF
+            #16000 OF $2 $BB21  ENDOF
+            #12000 OF $1 $00A1  ENDOF
+            #8000  OF $11 $4A00 ENDOF
+            #4000  OF $8 $D600  ENDOF
+            #2000  OF $4 $4900  ENDOF
+            2000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#55 OF  ." 230400 Bds"
+        R> CASE
+            #24000 OF $6 $2081  ENDOF
+            #20000 OF $5 $EE61  ENDOF
+            #16000 OF $4 $5551  ENDOF
+            #12000 OF $3 $0241  ENDOF
+            #8000  OF $2 $BB21  ENDOF
+            #4000  OF $11 $4A00 ENDOF
+            #2000  OF $8 $D600  ENDOF
+            #1000  OF $4 $4900  ENDOF
+            1000 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#56 OF  ." 115200 Bds"
+        R> CASE
+            #24000 OF $0D $4901 ENDOF
+            #20000 OF $0A $AD01 ENDOF
+            #16000 OF $8 $F7A1  ENDOF
+            #12000 OF $6 $2081  ENDOF
+            #8000  OF $4 $5551  ENDOF
+            #4000  OF $2 $BB21  ENDOF
+            #2000  OF $11 $4A00 ENDOF
+            #1000  OF $8 $D600  ENDOF
+            #500   OF $4 $4900  ENDOF
+            500 <   
+            IF OVR_BAUDS
+            THEN BAD_MHz
+        ENDCASE
+    ENDOF
+#57 OF  ." 38400 Bds"
+        R> CASE
+            #24000  OF $27 $0011    ENDOF
+            #16000  OF $1A $D601    ENDOF
+            #8000   OF $0D $4901    ENDOF
+            #4000   OF $6 $2081     ENDOF
+            #1000   OF $1 $00A1     ENDOF
+            BAD_MHz
+        ENDCASE
+    ENDOF
+#65 OF  ." 19200 Bds"
+        R> CASE
+            #24000  OF $4E $0021    ENDOF
+            #16000  OF $34 $4911    ENDOF
+            #8000   OF $1A $D601    ENDOF
+            #4000   OF $0D $4901    ENDOF
+            #1000   OF $3 $0241     ENDOF
+            BAD_MHz
+        ENDCASE
+    ENDOF
+#66 OF  ." 9600 Bds"
+        R> CASE
+            #24000  OF $9C $0041    ENDOF
+            #16000  OF $68 $D621    ENDOF
+            #8000   OF $34 $4911    ENDOF
+            #4000   OF $1A $D601    ENDOF
+            #1000   OF $6 $2081     ENDOF
+            BAD_MHz
+        ENDCASE
+    ENDOF
+    ." abort" ABORT" "
+ENDCASE
+$1804 !
+$1802 !
+CR ESC [7m
+." Change baudrate in Teraterm, save its setup, then reset target."
+;
+
+CHNGBAUD 
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/CORDIC.4TH b/MSP430-FORTH/MSP_EXP430FR5994/CORDIC.4TH
new file mode 100644 (file)
index 0000000..8c042b2
--- /dev/null
@@ -0,0 +1,505 @@
+
+CODE ABORT_CORDIC
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1812,R14
+BIT #$400,R14
+0<> IF MOV #0,R14 THEN
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+ABORT" build FastForth with FIXPOINT_INPUT addon !"
+PWR_STATE
+;
+
+ABORT_CORDIC
+
+; ----------
+; CORDIC.4th for MSP_EXP430FR5994
+; ----------
+
+[DEFINED] {CORDIC} [IF] {CORDIC} [THEN]
+
+MARKER {CORDIC}
+
+
+
+CREATE T_ARCTAN
+12870 ,
+7598 ,
+4014 ,
+2038 ,
+1023 ,
+512 ,
+256 ,
+128 ,
+64 ,
+32 ,
+16 ,
+8 ,
+4 ,
+2 ,
+1 ,
+
+CREATE T_SCALE
+46340 ,
+41448 ,
+40211 ,
+39900 ,
+39822 ,
+39803 ,
+39798 ,
+39797 ,
+39797 ,
+39797 ,
+39797 ,
+39797 ,
+39797 ,
+39797 ,
+39797 ,
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U< [IF]
+CODE U<
+SUB @R15+,R14
+0<> IF
+    MOV #-1,R14
+    U< IF
+        AND #0,R14
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DABS [IF]
+CODE DABS
+AND #-1,R14
+S< IF
+    XOR #-1,0(R15)
+    XOR #-1,R14
+    ADD #1,0(R15)
+    ADDC #0,R14
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] HOLDS [IF]
+CODE HOLDS
+            MOV @R15+,R9
+BW3         ADD R14,R9
+            MOV &$1DB2,R8
+BEGIN       SUB #1,R9
+            SUB #1,R14
+U>= WHILE   SUB #1,R8
+            MOV.B @R9,0(R8)
+REPEAT      MOV R8,&$1DB2
+            MOV @R15+,R14
+            MOV @R13+,R0
+ENDCODE
+[THEN]
+
+$81EF $1A04 @ U< 
+$1A04 @ $81F3 U<
+= [IF]   ; MSP430FR413x subfamily without hardware_MPY
+
+[UNDEFINED] F#S [IF]
+CODE F#S
+            MOV @R15,R12
+            MOV #0,R11
+            PUSHM #3,R13
+            MOV 2(R15),0(R15)
+            MOV R14,2(R15)
+BEGIN       MOV &$1DDC,R14
+            LO2HI
+            UM*
+            HI2LO
+            CMP #10,R14
+    U>= IF  ADD #7,R14
+    THEN    ADD #$30,R14
+            MOV @R1,R11
+            MOV.B R14,$1D90(R11)
+            ADD #1,R11
+            MOV R11,0(R1)
+            CMP 2(R15),R11
+U>= UNTIL   POPM #3,R13
+            MOV R11,R14
+            MOV R12,2(R15)
+            MOV #0,0(R15)
+            MOV #$1D90,R9
+            GOTO BW3
+ENDCODE
+[THEN]
+
+HDNCODE XSCALE
+            MOV T_SCALE(R10),R6
+UMSTAR1     MOV #0,R8
+            MOV #0,R12
+            MOV #0,R11
+            MOV #1,R10
+BEGIN       BIT R10,R6
+    0<> IF  ADD R9,R12
+            ADDC R8,R11
+    THEN    ADD R9,R9
+            ADDC R8,R8
+            ADD R10,R10
+U>= UNTIL
+            MOV R11,R9
+            MOV #$40E2,R6
+            MOV @R1+,R0
+ENDCODE
+
+[ELSE] ; hardware multiplier
+
+[UNDEFINED] F#S [IF]
+CODE F#S
+            MOV 2(R15),R9
+            MOV @R15,2(R15)
+            MOV R9,0(R15)
+            MOV R14,R11
+            MOV #0,R12
+BEGIN       MOV @R15,&$4C0
+            MOV &$1DDC,&$4C8
+            MOV &$4E4,0(R15)
+            MOV &$4E6,R14
+            CMP #10,R14
+    U>= IF  ADD #7,R14
+    THEN    ADD #$30,R14
+            MOV.B R14,$1D90(R12)
+            ADD #1,R12
+            CMP R11,R12
+0= UNTIL    MOV R11,R14
+            MOV #0,0(R15)
+            MOV #$1D90,R9
+            GOTO BW3
+ENDCODE
+[THEN]
+
+HDNCODE XSCALE
+MOV T_SCALE(R10),&$4D4
+MOV #0,&$4D6
+MOV R9,&$4C8
+MOV &$4E6,R9
+MOV @R1+,R0
+ENDCODE
+
+[THEN]  ; end of hardware multiplier
+
+CODE POL2REC
+PUSH R13
+MOV @R15+,&$4D0
+MOV R14,&$4D2
+MOV #286,&$4C8
+MOV &$4E4,R8
+MOV &$4E6,R14
+MOV #-1,R13
+MOV @R15,R9
+MOV #0,R8
+BEGIN
+    ADD #1,R13
+    MOV R9,R12
+    MOV R8,R11
+    MOV #0,R10
+    GOTO FW1
+    BEGIN
+        RRA R12
+        RRA R11
+        ADD #1,R10
+FW1     CMP R13,R10
+    0= UNTIL
+    ADD R10,R10
+    CMP #0,R14
+    0>= IF
+        SUB R11,R9
+        ADD R12,R8
+        SUB T_ARCTAN(R10),R14
+    ELSE
+        ADD R11,R9
+        SUB R12,R8
+        ADD T_ARCTAN(R10),R14
+    THEN
+    CMP #0,R14
+    0<> WHILE
+        CMP #14,R13
+0= UNTIL 
+    THEN
+CALL #XSCALE
+MOV R9,0(R15)
+MOV R8,R9
+CALL #XSCALE
+MOV R9,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+
+
+CODE REC2POL
+MOV @R15,R9
+MOV R14,R8
+MOV R8,R11
+CMP #0,R11
+S< IF
+    XOR #-1,R11
+    ADD #1,R11
+THEN
+MOV R9,R12
+CMP #0,R12
+S< IF 
+    XOR #-1,R12
+    ADD #1,R12
+THEN
+MOV #-1,R14
+CMP #0,R9
+0= IF
+    CMP #0,R8
+    0= IF
+        LO2HI 
+            ABORT" null inputs!"
+        HI2LO
+    THEN
+THEN
+CMP R12,R11
+U< IF
+    MOV R12,R11
+THEN
+CMP #16384,R11
+    U>= IF
+    LO2HI
+        ABORT" |x| or |y| >= 16384"
+    HI2LO
+    THEN
+MOV #1,R12
+RLAM #3,R11
+GOTO FW1
+BEGIN
+    ADD R9,R9
+    ADD R8,R8
+    ADD R12,R12
+    ADD R11,R11
+FW1
+U>= UNTIL
+PUSHM #2,R13
+MOV #-1,R13
+MOV #0,R14
+ BEGIN
+    ADD #1,R13
+    MOV R9,R12
+    MOV R8,R11
+    MOV #0,R10
+    GOTO FW1
+    BEGIN
+        RRA R12
+        RRA R11
+        ADD #1,R10
+FW1     CMP R13,R10
+    0= UNTIL
+    ADD R10,R10
+    CMP #0,R8
+    S>= IF
+        ADD R11,R9
+        SUB R12,R8
+        ADD T_ARCTAN(R10),R14
+    ELSE
+        SUB R11,R9
+        ADD R12,R8
+        SUB T_ARCTAN(R10),R14
+    THEN
+    CMP #0,R8
+    0<> WHILE
+    CMP #14,R13
+ 0= UNTIL
+    THEN
+CALL #XSCALE
+POPM #2,R13
+GOTO FW1                
+BEGIN
+    RRA R9
+FW1 RRA R12
+U>= UNTIL
+MOV R9,0(R15)
+
+SUB #4,R15
+MOV R14,R6
+CMP #0,R6
+S< IF
+    XOR #-1,R14
+    ADD #1,R14
+THEN
+MOV #0,2(R15)
+MOV R14,0(R15)
+MOV #286,R14
+CALL #$4066
+MOV @R15+,0(R15)
+CMP #0,R6
+S< IF
+    XOR #-1,0(R15)
+    XOR #-1,R14
+    ADD #1,0(R15)
+    ADDC #0,R14
+THEN
+MOV #$40E2,R6
+MOV @R13+,R0
+ENDCODE
+
+
+[UNDEFINED] F. [IF]
+CODE F.
+MOV R14,R12
+MOV #4,R11
+MOV &$1DDC,R10
+CMP ##10,R10
+0= IF
+    ADD #1,R11
+ELSE
+    CMP #%10,R10
+    0= IF
+        MOV #16,R11
+    THEN
+THEN
+PUSHM #3,R13
+LO2HI
+    <# DABS
+    R> F#S
+    $2C HOLD
+    #S
+    R> SIGN #>
+    TYPE $20 EMIT
+;
+
+[THEN]
+
+PWR_HERE
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+ECHO
+
+[UNDEFINED] ROT [IF]
+CODE ROT
+MOV @R15,R10
+MOV R14,0(R15)
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+; -----------------------------------------------------------
+; requires FIXPOINT_INPUT kernel addon, see forthMSP430FR.asm
+; -----------------------------------------------------------
+
+
+10000 89,0 POL2REC . .  ; sin, cos --> 
+10000 75,0 POL2REC . .  ; sin, cos --> 
+10000 60,0 POL2REC . .  ; sin, cos --> 
+10000 45,0 POL2REC . .  ; sin, cos --> 
+10000 30,0 POL2REC . .  ; sin, cos --> 
+10000 15,0 POL2REC . .  ; sin, cos --> 
+10000 1,0 POL2REC . .   ; sin, cos --> 
+16384 30,0 POL2REC SWAP . . ; x, y --> 
+16384 45,0 POL2REC SWAP . . ; x, y --> 
+16384 60,0 POL2REC SWAP . . ; x, y --> 
+
+10000 -89,0 POL2REC . .  ; sin, cos --> 
+10000 -75,0 POL2REC . .  ; sin, cos --> 
+10000 -60,0 POL2REC . .  ; sin, cos --> 
+10000 -45,0 POL2REC . .  ; sin, cos --> 
+10000 -30,0 POL2REC . .  ; sin, cos --> 
+10000 -15,0 POL2REC . .  ; sin, cos --> 
+10000 -1,0 POL2REC . .   ; sin, cos --> 
+16384 -30,0 POL2REC SWAP . . ; x, y --> 
+16384 -45,0 POL2REC SWAP . . ; x, y --> 
+16384 -60,0 POL2REC SWAP . . ; x, y --> 
+
+-10000 89,0 POL2REC . .  ; sin, cos --> 
+-10000 75,0 POL2REC . .  ; sin, cos --> 
+-10000 60,0 POL2REC . .  ; sin, cos --> 
+-10000 45,0 POL2REC . .  ; sin, cos --> 
+-10000 30,0 POL2REC . .  ; sin, cos --> 
+-10000 15,0 POL2REC . .  ; sin, cos --> 
+-10000 1,0 POL2REC . .   ; sin, cos --> 
+-16384 30,0 POL2REC SWAP . . ; x, y --> 
+-16384 45,0 POL2REC SWAP . . ; x, y --> 
+-16384 60,0 POL2REC SWAP . . ; x, y --> 
+
+-10000 -89,0 POL2REC . .  ; sin, cos --> 
+-10000 -75,0 POL2REC . .  ; sin, cos --> 
+-10000 -60,0 POL2REC . .  ; sin, cos --> 
+-10000 -45,0 POL2REC . .  ; sin, cos --> 
+-10000 -30,0 POL2REC . .  ; sin, cos --> 
+-10000 -15,0 POL2REC . .  ; sin, cos --> 
+-10000 -1,0 POL2REC . .   ; sin, cos --> 
+-16384 -30,0 POL2REC SWAP . . ; x, y --> 
+-16384 -45,0 POL2REC SWAP . . ; x, y --> 
+-16384 -60,0 POL2REC SWAP . . ; x, y --> 
+
+
+2  1  REC2POL F. .          ; phase module --> 
+2 -1  REC2POL F. .          ; phase module --> 
+20  10  REC2POL F. .        ; phase module --> 
+20 -10  REC2POL F. .        ; phase module --> 
+200 100 REC2POL F. .        ; phase module --> 
+100 -100 REC2POL F. .       ; phase module --> 
+2000 1000 REC2POL F. .      ; phase module --> 
+1000 -1000 REC2POL F. .     ; phase module --> 
+16000 8000 REC2POL F. .     ; phase module --> 
+16000 -8000 REC2POL F. .    ; phase module --> 
+16000 0 REC2POL F. .        ; phase module --> 
+0 16000 REC2POL F. .        ; phase module --> 
+
+-2  1  REC2POL F. .          ; phase module --> 
+-2 -1  REC2POL F. .          ; phase module --> 
+-20  10  REC2POL F. .        ; phase module --> 
+-20 -10  REC2POL F. .        ; phase module --> 
+-200 100 REC2POL F. .        ; phase module --> 
+-100 -100 REC2POL F. .       ; phase module --> 
+-2000 1000 REC2POL F. .      ; phase module --> 
+-1000 -1000 REC2POL F. .     ; phase module --> 
+-16000 8000 REC2POL F. .     ; phase module --> 
+-16000 -8000 REC2POL F. .    ; phase module --> 
+16000 0 REC2POL F. .        ; phase module --> 
+0 16000 REC2POL F. .        ; phase module --> 
+
+10000 89,0 POL2REC REC2POL   ROT . F. 
+10000 75,0 POL2REC REC2POL   ROT . F. 
+10000 60,0 POL2REC REC2POL   ROT . F. 
+10000 45,0 POL2REC REC2POL   ROT . F. 
+10000 30,0 POL2REC REC2POL   ROT . F. 
+10000 26,565 POL2REC REC2POL ROT . F. 
+10000 15,0 POL2REC REC2POL   ROT . F. 
+10000 14,036 POL2REC REC2POL ROT . F. 
+10000 7,125 POL2REC REC2POL  ROT . F. 
+10000 1,0 POL2REC REC2POL    ROT . F. 
+
+
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/CORETEST.4TH b/MSP430-FORTH/MSP_EXP430FR5994/CORETEST.4TH
new file mode 100644 (file)
index 0000000..af330bc
--- /dev/null
@@ -0,0 +1,1094 @@
+
+PWR_STATE
+
+: COMPNOTFOUND
+$0D EMIT   \ return to column 1
+1 ABORT" {CORE_ANS} word set not found!"
+;
+
+[DEFINED] {CORE_ANS} [IF]
+
+: CORETESTSUCCESS
+$0A BASE !
+$0D EMIT   \ return to column 1
+1 ABORT" CORE tests success!"
+;
+
+\ From: John Hayes S1I
+\ Subject: tester.fr
+\ Date: Mon, 27 Nov 95 13:10:09 PST
+
+\ (C) 1995 JOHNS HOPKINS UNIVERSITY / APPLIED PHYSICS LABORATORY
+\ MAY BE DISTRIBUTED FREELY AS LONG AS THIS COPYRIGHT NOTICE REMAINS.
+\ VERSION 1.1
+
+\ 22/1/09 The words { and } have been changed to T{ and }T respectively to
+\ agree with the Forth 200X file ttester.fs. This avoids clashes with
+\ locals using { ... } and the FSL use of }
+
+
+\ 13/05/14 jmt. added colorised error messages.
+
+ 0 CONSTANT FALSE
+-1 CONSTANT TRUE
+
+\ SET THE FOLLOWING FLAG TO TRUE FOR MORE VERBOSE OUTPUT; THIS MAY
+\ ALLOW YOU TO TELL WHICH TEST CAUSED YOUR SYSTEM TO HANG.
+VARIABLE VERBOSE
+    FALSE VERBOSE !
+\   TRUE VERBOSE !
+
+\ : EMPTY-STACK ( ... -- )  \ EMPTY STACK: HANDLES UNDERFLOWED STACK TOO.
+\     DEPTH ?DUP
+\             IF DUP 0< IF NEGATE 0
+\             DO 0 LOOP
+\             ELSE 0 DO DROP LOOP THEN
+\             THEN ;
+\ 
+\ : ERROR     \ ( C-ADDR U -- ) DISPLAY AN ERROR MESSAGE FOLLOWED BY
+\         \ THE LINE THAT HAD THE ERROR.
+\     TYPE SOURCE TYPE CR          \ DISPLAY LINE CORRESPONDING TO ERROR
+\     EMPTY-STACK              \ THROW AWAY EVERY THING ELSE
+\ \    QUIT  \ *** Uncomment this line to QUIT on an error
+\ ;
+
+VARIABLE ACTUAL-DEPTH           \ STACK RECORD
+CREATE ACTUAL-RESULTS 20 CELLS ALLOT
+
+: T{        \ ( -- ) SYNTACTIC SUGAR.
+    ;
+
+: ->        \ ( ... -- ) RECORD DEPTH AND CONTENT OF STACK.
+    DEPTH DUP ACTUAL-DEPTH !     \ RECORD DEPTH
+    ?DUP IF              \ IF THERE IS SOMETHING ON STACK
+        0 DO ACTUAL-RESULTS I CELLS + ! LOOP \ SAVE THEM
+    THEN ;
+
+: }T        \ ( ... -- ) COMPARE STACK (EXPECTED) CONTENTS WITH SAVED
+            \ (ACTUAL) CONTENTS.
+    DEPTH ACTUAL-DEPTH @ = IF   \ IF DEPTHS MATCH
+        DEPTH ?DUP IF           \ IF THERE IS SOMETHING ON THE STACK
+        0 DO                    \ FOR EACH STACK ITEM
+            ACTUAL-RESULTS I CELLS + @  \ COMPARE ACTUAL WITH EXPECTED
+\           = 0= IF S" INCORRECT RESULT: " ERROR LEAVE THEN \ jmt
+            = 0= IF TRUE ABORT" INCORRECT RESULT" THEN      \ jmt : abort with colorised message
+        LOOP
+        THEN
+    ELSE                 \ DEPTH MISMATCH
+\       S" WRONG NUMBER OF RESULTS: " ERROR     \ jmt
+        TRUE ABORT" WRONG NUMBER OF RESULTS"    \ jmt : abort with colorised message
+    THEN ;
+
+: TESTING   \ ( -- ) TALKING COMMENT.
+    SOURCE VERBOSE @
+    IF DUP >R TYPE CR R> >IN !
+\    ELSE >IN ! DROP [CHAR] * EMIT
+    ELSE >IN ! DROP \ [CHAR] * EMIT
+    THEN ;
+
+ECHO HEX
+
+\ From: John Hayes S1I
+\ Subject: core.fr
+\ Date: Mon, 27 Nov 95 13:10
+
+\ (C) 1995 JOHNS HOPKINS UNIVERSITY / APPLIED PHYSICS LABORATORY
+\ MAY BE DISTRIBUTED FREELY AS LONG AS THIS COPYRIGHT NOTICE REMAINS.
+\ VERSION 1.2
+\ THIS PROGRAM TESTS THE CORE WORDS OF AN ANS FORTH SYSTEM.
+\ THE PROGRAM ASSUMES A TWO'S COMPLEMENT IMPLEMENTATION WHERE
+\ THE RANGE OF SIGNED NUMBERS IS -2^(N-1) ... 2^(N-1)-1 AND
+\ THE RANGE OF UNSIGNED NUMBERS IS 0 ... 2^(N)-1.
+\ I HAVEN'T FIGURED OUT HOW TO TEST KEY, QUIT, ABORT, OR ABORT"...
+\ I ALSO HAVEN'T THOUGHT OF A WAY TO TEST ENVIRONMENT?...
+
+\ ------------------------------------------------------------------------
+TESTING BASIC ASSUMPTIONS
+
+T{ -> }T                    \ START WITH CLEAN SLATE
+( TEST IF ANY BITS ARE SET; ANSWER IN BASE 1 )
+T{ : BITSSET? IF 0 0 ELSE 0 THEN ; -> }T
+T{  0 BITSSET? -> 0 }T      ( ZERO IS ALL BITS CLEAR )
+T{  1 BITSSET? -> 0 0 }T        ( OTHER NUMBER HAVE AT LEAST ONE BIT )
+T{ -1 BITSSET? -> 0 0 }T
+
+\ ------------------------------------------------------------------------
+TESTING BOOLEANS: INVERT AND OR XOR
+
+T{ 0 0 AND -> 0 }T
+T{ 0 1 AND -> 0 }T
+T{ 1 0 AND -> 0 }T
+T{ 1 1 AND -> 1 }T
+
+T{ 0 INVERT 1 AND -> 1 }T
+T{ 1 INVERT 1 AND -> 0 }T
+
+0    CONSTANT 0S
+0 INVERT CONSTANT 1S
+
+T{ 0S INVERT -> 1S }T
+T{ 1S INVERT -> 0S }T
+
+T{ 0S 0S AND -> 0S }T
+T{ 0S 1S AND -> 0S }T
+T{ 1S 0S AND -> 0S }T
+T{ 1S 1S AND -> 1S }T
+
+T{ 0S 0S OR -> 0S }T
+T{ 0S 1S OR -> 1S }T
+T{ 1S 0S OR -> 1S }T
+T{ 1S 1S OR -> 1S }T
+
+T{ 0S 0S XOR -> 0S }T
+T{ 0S 1S XOR -> 1S }T
+T{ 1S 0S XOR -> 1S }T
+T{ 1S 1S XOR -> 0S }T
+
+\ ------------------------------------------------------------------------
+TESTING 2* 2/ LSHIFT RSHIFT
+
+( WE TRUST 1S, INVERT, AND BITSSET?; WE WILL CONFIRM RSHIFT LATER )
+1S 1 RSHIFT INVERT CONSTANT MSB
+T{ MSB BITSSET? -> 0 0 }T
+
+T{ 0S 2* -> 0S }T
+T{ 1 2* -> 2 }T
+T{ 4000 2* -> 8000 }T
+T{ 1S 2* 1 XOR -> 1S }T
+T{ MSB 2* -> 0S }T
+
+T{ 0S 2/ -> 0S }T
+T{ 1 2/ -> 0 }T
+T{ 4000 2/ -> 2000 }T
+T{ 1S 2/ -> 1S }T               \ MSB PROPOGATED
+T{ 1S 1 XOR 2/ -> 1S }T
+T{ MSB 2/ MSB AND -> MSB }T
+
+T{ 1 0 LSHIFT -> 1 }T
+T{ 1 1 LSHIFT -> 2 }T
+T{ 1 2 LSHIFT -> 4 }T
+T{ 1 F LSHIFT -> 8000 }T            \ BIGGEST GUARANTEED SHIFT
+T{ 1S 1 LSHIFT 1 XOR -> 1S }T
+T{ MSB 1 LSHIFT -> 0 }T
+
+T{ 1 0 RSHIFT -> 1 }T
+T{ 1 1 RSHIFT -> 0 }T
+T{ 2 1 RSHIFT -> 1 }T
+T{ 4 2 RSHIFT -> 1 }T
+T{ 8000 F RSHIFT -> 1 }T            \ BIGGEST
+T{ MSB 1 RSHIFT MSB AND -> 0 }T     \ RSHIFT ZERO FILLS MSBS
+T{ MSB 1 RSHIFT 2* -> MSB }T
+
+\ ------------------------------------------------------------------------
+TESTING COMPARISONS: 0= = 0< < > U< MIN MAX
+0 INVERT                    CONSTANT MAX-UINT
+0 INVERT 1 RSHIFT           CONSTANT MAX-INT
+0 INVERT 1 RSHIFT INVERT    CONSTANT MIN-INT
+0 INVERT 1 RSHIFT           CONSTANT MID-UINT
+0 INVERT 1 RSHIFT INVERT    CONSTANT MID-UINT+1
+
+0S CONSTANT <FALSE>
+1S CONSTANT <TRUE>
+
+T{ 0 0= -> <TRUE> }T
+T{ 1 0= -> <FALSE> }T
+T{ 2 0= -> <FALSE> }T
+T{ -1 0= -> <FALSE> }T
+T{ MAX-UINT 0= -> <FALSE> }T
+T{ MIN-INT 0= -> <FALSE> }T
+T{ MAX-INT 0= -> <FALSE> }T
+
+T{ 0 0 = -> <TRUE> }T
+T{ 1 1 = -> <TRUE> }T
+T{ -1 -1 = -> <TRUE> }T
+T{ 1 0 = -> <FALSE> }T
+T{ -1 0 = -> <FALSE> }T
+T{ 0 1 = -> <FALSE> }T
+T{ 0 -1 = -> <FALSE> }T
+
+T{ 0 0< -> <FALSE> }T
+T{ -1 0< -> <TRUE> }T
+T{ MIN-INT 0< -> <TRUE> }T
+T{ 1 0< -> <FALSE> }T
+T{ MAX-INT 0< -> <FALSE> }T
+
+T{ 0 1 < -> <TRUE> }T
+T{ 1 2 < -> <TRUE> }T
+T{ -1 0 < -> <TRUE> }T
+T{ -1 1 < -> <TRUE> }T
+T{ MIN-INT 0 < -> <TRUE> }T
+T{ MIN-INT MAX-INT < -> <TRUE> }T
+T{ 0 MAX-INT < -> <TRUE> }T
+T{ 0 0 < -> <FALSE> }T
+T{ 1 1 < -> <FALSE> }T
+T{ 1 0 < -> <FALSE> }T
+T{ 2 1 < -> <FALSE> }T
+T{ 0 -1 < -> <FALSE> }T
+T{ 1 -1 < -> <FALSE> }T
+T{ 0 MIN-INT < -> <FALSE> }T
+T{ MAX-INT MIN-INT < -> <FALSE> }T
+T{ MAX-INT 0 < -> <FALSE> }T
+
+T{ 0 1 > -> <FALSE> }T
+T{ 1 2 > -> <FALSE> }T
+T{ -1 0 > -> <FALSE> }T
+T{ -1 1 > -> <FALSE> }T
+T{ MIN-INT 0 > -> <FALSE> }T
+T{ MIN-INT MAX-INT > -> <FALSE> }T
+T{ 0 MAX-INT > -> <FALSE> }T
+T{ 0 0 > -> <FALSE> }T
+T{ 1 1 > -> <FALSE> }T
+T{ 1 0 > -> <TRUE> }T
+T{ 2 1 > -> <TRUE> }T
+T{ 0 -1 > -> <TRUE> }T
+T{ 1 -1 > -> <TRUE> }T
+T{ 0 MIN-INT > -> <TRUE> }T
+T{ MAX-INT MIN-INT > -> <TRUE> }T
+T{ MAX-INT 0 > -> <TRUE> }T
+
+T{ 0 1 U< -> <TRUE> }T
+T{ 1 2 U< -> <TRUE> }T
+T{ 0 MID-UINT U< -> <TRUE> }T
+T{ 0 MAX-UINT U< -> <TRUE> }T
+T{ MID-UINT MAX-UINT U< -> <TRUE> }T
+T{ 0 0 U< -> <FALSE> }T
+T{ 1 1 U< -> <FALSE> }T
+T{ 1 0 U< -> <FALSE> }T
+T{ 2 1 U< -> <FALSE> }T
+T{ MID-UINT 0 U< -> <FALSE> }T
+T{ MAX-UINT 0 U< -> <FALSE> }T
+T{ MAX-UINT MID-UINT U< -> <FALSE> }T
+
+T{ 0 1 MIN -> 0 }T
+T{ 1 2 MIN -> 1 }T
+T{ -1 0 MIN -> -1 }T
+T{ -1 1 MIN -> -1 }T
+T{ MIN-INT 0 MIN -> MIN-INT }T
+T{ MIN-INT MAX-INT MIN -> MIN-INT }T
+T{ 0 MAX-INT MIN -> 0 }T
+T{ 0 0 MIN -> 0 }T
+T{ 1 1 MIN -> 1 }T
+T{ 1 0 MIN -> 0 }T
+T{ 2 1 MIN -> 1 }T
+T{ 0 -1 MIN -> -1 }T
+T{ 1 -1 MIN -> -1 }T
+T{ 0 MIN-INT MIN -> MIN-INT }T
+T{ MAX-INT MIN-INT MIN -> MIN-INT }T
+T{ MAX-INT 0 MIN -> 0 }T
+
+T{ 0 1 MAX -> 1 }T
+T{ 1 2 MAX -> 2 }T
+T{ -1 0 MAX -> 0 }T
+T{ -1 1 MAX -> 1 }T
+T{ MIN-INT 0 MAX -> 0 }T
+T{ MIN-INT MAX-INT MAX -> MAX-INT }T
+T{ 0 MAX-INT MAX -> MAX-INT }T
+T{ 0 0 MAX -> 0 }T
+T{ 1 1 MAX -> 1 }T
+T{ 1 0 MAX -> 1 }T
+T{ 2 1 MAX -> 2 }T
+T{ 0 -1 MAX -> 0 }T
+T{ 1 -1 MAX -> 1 }T
+T{ 0 MIN-INT MAX -> 0 }T
+T{ MAX-INT MIN-INT MAX -> MAX-INT }T
+T{ MAX-INT 0 MAX -> MAX-INT }T
+
+\ ------------------------------------------------------------------------
+TESTING STACK OPS: 2DROP 2DUP 2OVER 2SWAP ?DUP DEPTH DROP DUP OVER ROT SWAP
+
+T{ 1 2 2DROP -> }T
+T{ 1 2 2DUP -> 1 2 1 2 }T
+T{ 1 2 3 4 2OVER -> 1 2 3 4 1 2 }T
+T{ 1 2 3 4 2SWAP -> 3 4 1 2 }T
+T{ 0 ?DUP -> 0 }T
+T{ 1 ?DUP -> 1 1 }T
+T{ -1 ?DUP -> -1 -1 }T
+T{ DEPTH -> 0 }T
+T{ 0 DEPTH -> 0 1 }T
+T{ 0 1 DEPTH -> 0 1 2 }T
+T{ 0 DROP -> }T
+T{ 1 2 DROP -> 1 }T
+T{ 1 DUP -> 1 1 }T
+T{ 1 2 OVER -> 1 2 1 }T
+T{ 1 2 3 ROT -> 2 3 1 }T
+T{ 1 2 SWAP -> 2 1 }T
+
+\ ------------------------------------------------------------------------
+TESTING >R R> R@
+
+T{ : GR1 >R R> ; -> }T
+T{ : GR2 >R R@ R> DROP ; -> }T
+T{ 123 GR1 -> 123 }T
+T{ 123 GR2 -> 123 }T
+T{ 1S GR1 -> 1S }T   ( RETURN STACK HOLDS CELLS )
+
+\ ------------------------------------------------------------------------
+TESTING ADD/SUBTRACT: + - 1+ 1- ABS NEGATE
+
+T{ 0 5 + -> 5 }T
+T{ 5 0 + -> 5 }T
+T{ 0 -5 + -> -5 }T
+T{ -5 0 + -> -5 }T
+T{ 1 2 + -> 3 }T
+T{ 1 -2 + -> -1 }T
+T{ -1 2 + -> 1 }T
+T{ -1 -2 + -> -3 }T
+T{ -1 1 + -> 0 }T
+T{ MID-UINT 1 + -> MID-UINT+1 }T
+
+T{ 0 5 - -> -5 }T
+T{ 5 0 - -> 5 }T
+T{ 0 -5 - -> 5 }T
+T{ -5 0 - -> -5 }T
+T{ 1 2 - -> -1 }T
+T{ 1 -2 - -> 3 }T
+T{ -1 2 - -> -3 }T
+T{ -1 -2 - -> 1 }T
+T{ 0 1 - -> -1 }T
+T{ MID-UINT+1 1 - -> MID-UINT }T
+
+T{ 0 1+ -> 1 }T
+T{ -1 1+ -> 0 }T
+T{ 1 1+ -> 2 }T
+T{ MID-UINT 1+ -> MID-UINT+1 }T
+
+T{ 2 1- -> 1 }T
+T{ 1 1- -> 0 }T
+T{ 0 1- -> -1 }T
+T{ MID-UINT+1 1- -> MID-UINT }T
+
+T{ 0 NEGATE -> 0 }T
+T{ 1 NEGATE -> -1 }T
+T{ -1 NEGATE -> 1 }T
+T{ 2 NEGATE -> -2 }T
+T{ -2 NEGATE -> 2 }T
+
+T{ 0 ABS -> 0 }T
+T{ 1 ABS -> 1 }T
+T{ -1 ABS -> 1 }T
+T{ MIN-INT ABS -> MID-UINT+1 }T
+
+\ ------------------------------------------------------------------------
+TESTING MULTIPLY: S>D * M* UM*
+
+T{ 0 S>D -> 0 0 }T
+T{ 1 S>D -> 1 0 }T
+T{ 2 S>D -> 2 0 }T
+T{ -1 S>D -> -1 -1 }T
+T{ -2 S>D -> -2 -1 }T
+T{ MIN-INT S>D -> MIN-INT -1 }T
+T{ MAX-INT S>D -> MAX-INT 0 }T
+
+T{ 0 0 M* -> 0 S>D }T
+T{ 0 1 M* -> 0 S>D }T
+T{ 1 0 M* -> 0 S>D }T
+T{ 1 2 M* -> 2 S>D }T
+T{ 2 1 M* -> 2 S>D }T
+T{ 3 3 M* -> 9 S>D }T
+T{ -3 3 M* -> -9 S>D }T
+T{ 3 -3 M* -> -9 S>D }T
+T{ -3 -3 M* -> 9 S>D }T
+T{ 0 MIN-INT M* -> 0 S>D }T
+T{ 1 MIN-INT M* -> MIN-INT S>D }T
+T{ 2 MIN-INT M* -> 0 1S }T
+T{ 0 MAX-INT M* -> 0 S>D }T
+T{ 1 MAX-INT M* -> MAX-INT S>D }T
+T{ 2 MAX-INT M* -> MAX-INT 1 LSHIFT 0 }T
+T{ MIN-INT MIN-INT M* -> 0 MSB 1 RSHIFT }T
+T{ MAX-INT MIN-INT M* -> MSB MSB 2/ }T
+T{ MAX-INT MAX-INT M* -> 1 MSB 2/ INVERT }T
+
+T{ 0 0 * -> 0 }T                \ TEST IDENTITIES
+T{ 0 1 * -> 0 }T
+T{ 1 0 * -> 0 }T
+T{ 1 2 * -> 2 }T
+T{ 2 1 * -> 2 }T
+T{ 3 3 * -> 9 }T
+T{ -3 3 * -> -9 }T
+T{ 3 -3 * -> -9 }T
+T{ -3 -3 * -> 9 }T
+
+T{ MID-UINT+1 1 RSHIFT 2 * -> MID-UINT+1 }T
+T{ MID-UINT+1 2 RSHIFT 4 * -> MID-UINT+1 }T
+T{ MID-UINT+1 1 RSHIFT MID-UINT+1 OR 2 * -> MID-UINT+1 }T
+
+T{ 0 0 UM* -> 0 0 }T
+T{ 0 1 UM* -> 0 0 }T
+T{ 1 0 UM* -> 0 0 }T
+T{ 1 2 UM* -> 2 0 }T
+T{ 2 1 UM* -> 2 0 }T
+T{ 3 3 UM* -> 9 0 }T
+
+T{ MID-UINT+1 1 RSHIFT 2 UM* -> MID-UINT+1 0 }T
+T{ MID-UINT+1 2 UM* -> 0 1 }T
+T{ MID-UINT+1 4 UM* -> 0 2 }T
+T{ 1S 2 UM* -> 1S 1 LSHIFT 1 }T
+T{ MAX-UINT MAX-UINT UM* -> 1 1 INVERT }T
+
+\ ------------------------------------------------------------------------
+TESTING DIVIDE: FM/MOD SM/REM UM/MOD */ */MOD / /MOD MOD
+
+T{ 0 S>D 1 FM/MOD -> 0 0 }T
+T{ 1 S>D 1 FM/MOD -> 0 1 }T
+T{ 2 S>D 1 FM/MOD -> 0 2 }T
+T{ -1 S>D 1 FM/MOD -> 0 -1 }T
+T{ -2 S>D 1 FM/MOD -> 0 -2 }T
+T{ 0 S>D -1 FM/MOD -> 0 0 }T
+T{ 1 S>D -1 FM/MOD -> 0 -1 }T
+T{ 2 S>D -1 FM/MOD -> 0 -2 }T
+T{ -1 S>D -1 FM/MOD -> 0 1 }T
+T{ -2 S>D -1 FM/MOD -> 0 2 }T
+T{ 2 S>D 2 FM/MOD -> 0 1 }T
+T{ -1 S>D -1 FM/MOD -> 0 1 }T
+T{ -2 S>D -2 FM/MOD -> 0 1 }T
+T{  7 S>D  3 FM/MOD -> 1 2 }T
+T{  7 S>D -3 FM/MOD -> -2 -3 }T
+T{ -7 S>D  3 FM/MOD -> 2 -3 }T
+T{ -7 S>D -3 FM/MOD -> -1 2 }T
+T{ MAX-INT S>D 1 FM/MOD -> 0 MAX-INT }T
+T{ MIN-INT S>D 1 FM/MOD -> 0 MIN-INT }T
+T{ MAX-INT S>D MAX-INT FM/MOD -> 0 1 }T
+T{ MIN-INT S>D MIN-INT FM/MOD -> 0 1 }T
+T{ 1S 1 4 FM/MOD -> 3 MAX-INT }T
+T{ 1 MIN-INT M* 1 FM/MOD -> 0 MIN-INT }T
+T{ 1 MIN-INT M* MIN-INT FM/MOD -> 0 1 }T
+T{ 2 MIN-INT M* 2 FM/MOD -> 0 MIN-INT }T
+T{ 2 MIN-INT M* MIN-INT FM/MOD -> 0 2 }T
+T{ 1 MAX-INT M* 1 FM/MOD -> 0 MAX-INT }T
+T{ 1 MAX-INT M* MAX-INT FM/MOD -> 0 1 }T
+T{ 2 MAX-INT M* 2 FM/MOD -> 0 MAX-INT }T
+T{ 2 MAX-INT M* MAX-INT FM/MOD -> 0 2 }T
+T{ MIN-INT MIN-INT M* MIN-INT FM/MOD -> 0 MIN-INT }T
+T{ MIN-INT MAX-INT M* MIN-INT FM/MOD -> 0 MAX-INT }T
+T{ MIN-INT MAX-INT M* MAX-INT FM/MOD -> 0 MIN-INT }T
+T{ MAX-INT MAX-INT M* MAX-INT FM/MOD -> 0 MAX-INT }T
+
+T{ 0 S>D 1 SM/REM -> 0 0 }T
+T{ 1 S>D 1 SM/REM -> 0 1 }T
+T{ 2 S>D 1 SM/REM -> 0 2 }T
+T{ -1 S>D 1 SM/REM -> 0 -1 }T
+T{ -2 S>D 1 SM/REM -> 0 -2 }T
+T{ 0 S>D -1 SM/REM -> 0 0 }T
+T{ 1 S>D -1 SM/REM -> 0 -1 }T
+T{ 2 S>D -1 SM/REM -> 0 -2 }T
+T{ -1 S>D -1 SM/REM -> 0 1 }T
+T{ -2 S>D -1 SM/REM -> 0 2 }T
+T{ 2 S>D 2 SM/REM -> 0 1 }T
+T{ -1 S>D -1 SM/REM -> 0 1 }T
+T{ -2 S>D -2 SM/REM -> 0 1 }T
+T{  7 S>D  3 SM/REM -> 1 2 }T
+T{  7 S>D -3 SM/REM -> 1 -2 }T
+T{ -7 S>D  3 SM/REM -> -1 -2 }T
+T{ -7 S>D -3 SM/REM -> -1 2 }T
+T{ MAX-INT S>D 1 SM/REM -> 0 MAX-INT }T
+T{ MIN-INT S>D 1 SM/REM -> 0 MIN-INT }T
+T{ MAX-INT S>D MAX-INT SM/REM -> 0 1 }T
+T{ MIN-INT S>D MIN-INT SM/REM -> 0 1 }T
+T{ 1S 1 4 SM/REM -> 3 MAX-INT }T
+T{ 2 MIN-INT M* 2 SM/REM -> 0 MIN-INT }T
+T{ 2 MIN-INT M* MIN-INT SM/REM -> 0 2 }T
+T{ 2 MAX-INT M* 2 SM/REM -> 0 MAX-INT }T
+T{ 2 MAX-INT M* MAX-INT SM/REM -> 0 2 }T
+T{ MIN-INT MIN-INT M* MIN-INT SM/REM -> 0 MIN-INT }T
+T{ MIN-INT MAX-INT M* MIN-INT SM/REM -> 0 MAX-INT }T
+T{ MIN-INT MAX-INT M* MAX-INT SM/REM -> 0 MIN-INT }T
+T{ MAX-INT MAX-INT M* MAX-INT SM/REM -> 0 MAX-INT }T
+
+T{ 0 0 1 UM/MOD -> 0 0 }T
+T{ 1 0 1 UM/MOD -> 0 1 }T
+T{ 1 0 2 UM/MOD -> 1 0 }T
+T{ 3 0 2 UM/MOD -> 1 1 }T
+T{ MAX-UINT 2 UM* 2 UM/MOD -> 0 MAX-UINT }T
+T{ MAX-UINT 2 UM* MAX-UINT UM/MOD -> 0 2 }T
+T{ MAX-UINT MAX-UINT UM* MAX-UINT UM/MOD -> 0 MAX-UINT }T
+
+: IFFLOORED
+    [ -3 2 / -2 = INVERT ] LITERAL IF POSTPONE \ THEN ;
+
+: IFSYM
+    [ -3 2 / -1 = INVERT ] LITERAL IF POSTPONE \ THEN ;
+
+\ THE SYSTEM MIGHT DO EITHER FLOORED OR SYMMETRIC DIVISION.
+\ SINCE WE HAVE ALREADY TESTED M*, FM/MOD, AND SM/REM WE CAN USE THEM IN TEST.
+
+IFFLOORED : T/MOD  >R S>D R> FM/MOD ;
+IFFLOORED : T/     T/MOD SWAP DROP ;
+IFFLOORED : TMOD   T/MOD DROP ;
+IFFLOORED : T*/MOD >R M* R> FM/MOD ;
+IFFLOORED : T*/    T*/MOD SWAP DROP ;
+IFSYM     : T/MOD  >R S>D R> SM/REM ;
+IFSYM     : T/     T/MOD SWAP DROP ;
+IFSYM     : TMOD   T/MOD DROP ;
+IFSYM     : T*/MOD >R M* R> SM/REM ;
+IFSYM     : T*/    T*/MOD SWAP DROP ;
+
+T{ 0 1 /MOD -> 0 1 T/MOD }T
+T{ 1 1 /MOD -> 1 1 T/MOD }T
+T{ 2 1 /MOD -> 2 1 T/MOD }T
+T{ -1 1 /MOD -> -1 1 T/MOD }T
+T{ -2 1 /MOD -> -2 1 T/MOD }T
+T{ 0 -1 /MOD -> 0 -1 T/MOD }T
+T{ 1 -1 /MOD -> 1 -1 T/MOD }T
+T{ 2 -1 /MOD -> 2 -1 T/MOD }T
+T{ -1 -1 /MOD -> -1 -1 T/MOD }T
+T{ -2 -1 /MOD -> -2 -1 T/MOD }T
+T{ 2 2 /MOD -> 2 2 T/MOD }T
+T{ -1 -1 /MOD -> -1 -1 T/MOD }T
+T{ -2 -2 /MOD -> -2 -2 T/MOD }T
+T{ 7 3 /MOD -> 7 3 T/MOD }T
+T{ 7 -3 /MOD -> 7 -3 T/MOD }T
+T{ -7 3 /MOD -> -7 3 T/MOD }T
+T{ -7 -3 /MOD -> -7 -3 T/MOD }T
+T{ MAX-INT 1 /MOD -> MAX-INT 1 T/MOD }T
+T{ MIN-INT 1 /MOD -> MIN-INT 1 T/MOD }T
+T{ MAX-INT MAX-INT /MOD -> MAX-INT MAX-INT T/MOD }T
+T{ MIN-INT MIN-INT /MOD -> MIN-INT MIN-INT T/MOD }T
+
+T{ 0 1 / -> 0 1 T/ }T
+T{ 1 1 / -> 1 1 T/ }T
+T{ 2 1 / -> 2 1 T/ }T
+T{ -1 1 / -> -1 1 T/ }T
+T{ -2 1 / -> -2 1 T/ }T
+T{ 0 -1 / -> 0 -1 T/ }T
+T{ 1 -1 / -> 1 -1 T/ }T
+T{ 2 -1 / -> 2 -1 T/ }T
+T{ -1 -1 / -> -1 -1 T/ }T
+T{ -2 -1 / -> -2 -1 T/ }T
+T{ 2 2 / -> 2 2 T/ }T
+T{ -1 -1 / -> -1 -1 T/ }T
+T{ -2 -2 / -> -2 -2 T/ }T
+T{ 7 3 / -> 7 3 T/ }T
+T{ 7 -3 / -> 7 -3 T/ }T
+T{ -7 3 / -> -7 3 T/ }T
+T{ -7 -3 / -> -7 -3 T/ }T
+T{ MAX-INT 1 / -> MAX-INT 1 T/ }T
+T{ MIN-INT 1 / -> MIN-INT 1 T/ }T
+T{ MAX-INT MAX-INT / -> MAX-INT MAX-INT T/ }T
+T{ MIN-INT MIN-INT / -> MIN-INT MIN-INT T/ }T
+
+T{ 0 1 MOD -> 0 1 TMOD }T
+T{ 1 1 MOD -> 1 1 TMOD }T
+T{ 2 1 MOD -> 2 1 TMOD }T
+T{ -1 1 MOD -> -1 1 TMOD }T
+T{ -2 1 MOD -> -2 1 TMOD }T
+T{ 0 -1 MOD -> 0 -1 TMOD }T
+T{ 1 -1 MOD -> 1 -1 TMOD }T
+T{ 2 -1 MOD -> 2 -1 TMOD }T
+T{ -1 -1 MOD -> -1 -1 TMOD }T
+T{ -2 -1 MOD -> -2 -1 TMOD }T
+T{ 2 2 MOD -> 2 2 TMOD }T
+T{ -1 -1 MOD -> -1 -1 TMOD }T
+T{ -2 -2 MOD -> -2 -2 TMOD }T
+T{ 7 3 MOD -> 7 3 TMOD }T
+T{ 7 -3 MOD -> 7 -3 TMOD }T
+T{ -7 3 MOD -> -7 3 TMOD }T
+T{ -7 -3 MOD -> -7 -3 TMOD }T
+T{ MAX-INT 1 MOD -> MAX-INT 1 TMOD }T
+T{ MIN-INT 1 MOD -> MIN-INT 1 TMOD }T
+T{ MAX-INT MAX-INT MOD -> MAX-INT MAX-INT TMOD }T
+T{ MIN-INT MIN-INT MOD -> MIN-INT MIN-INT TMOD }T
+
+T{ 0 2 1 */ -> 0 2 1 T*/ }T
+T{ 1 2 1 */ -> 1 2 1 T*/ }T
+T{ 2 2 1 */ -> 2 2 1 T*/ }T
+T{ -1 2 1 */ -> -1 2 1 T*/ }T
+T{ -2 2 1 */ -> -2 2 1 T*/ }T
+T{ 0 2 -1 */ -> 0 2 -1 T*/ }T
+T{ 1 2 -1 */ -> 1 2 -1 T*/ }T
+T{ 2 2 -1 */ -> 2 2 -1 T*/ }T
+T{ -1 2 -1 */ -> -1 2 -1 T*/ }T
+T{ -2 2 -1 */ -> -2 2 -1 T*/ }T
+T{ 2 2 2 */ -> 2 2 2 T*/ }T
+T{ -1 2 -1 */ -> -1 2 -1 T*/ }T
+T{ -2 2 -2 */ -> -2 2 -2 T*/ }T
+T{ 7 2 3 */ -> 7 2 3 T*/ }T
+T{ 7 2 -3 */ -> 7 2 -3 T*/ }T
+T{ -7 2 3 */ -> -7 2 3 T*/ }T
+T{ -7 2 -3 */ -> -7 2 -3 T*/ }T
+T{ MAX-INT 2 MAX-INT */ -> MAX-INT 2 MAX-INT T*/ }T
+T{ MIN-INT 2 MIN-INT */ -> MIN-INT 2 MIN-INT T*/ }T
+
+T{ 0 2 1 */MOD -> 0 2 1 T*/MOD }T
+T{ 1 2 1 */MOD -> 1 2 1 T*/MOD }T
+T{ 2 2 1 */MOD -> 2 2 1 T*/MOD }T
+T{ -1 2 1 */MOD -> -1 2 1 T*/MOD }T
+T{ -2 2 1 */MOD -> -2 2 1 T*/MOD }T
+T{ 0 2 -1 */MOD -> 0 2 -1 T*/MOD }T
+T{ 1 2 -1 */MOD -> 1 2 -1 T*/MOD }T
+T{ 2 2 -1 */MOD -> 2 2 -1 T*/MOD }T
+T{ -1 2 -1 */MOD -> -1 2 -1 T*/MOD }T
+T{ -2 2 -1 */MOD -> -2 2 -1 T*/MOD }T
+T{ 2 2 2 */MOD -> 2 2 2 T*/MOD }T
+T{ -1 2 -1 */MOD -> -1 2 -1 T*/MOD }T
+T{ -2 2 -2 */MOD -> -2 2 -2 T*/MOD }T
+T{ 7 2 3 */MOD -> 7 2 3 T*/MOD }T
+T{ 7 2 -3 */MOD -> 7 2 -3 T*/MOD }T
+T{ -7 2 3 */MOD -> -7 2 3 T*/MOD }T
+T{ -7 2 -3 */MOD -> -7 2 -3 T*/MOD }T
+T{ MAX-INT 2 MAX-INT */MOD -> MAX-INT 2 MAX-INT T*/MOD }T
+T{ MIN-INT 2 MIN-INT */MOD -> MIN-INT 2 MIN-INT T*/MOD }T
+
+\ ------------------------------------------------------------------------
+TESTING HERE , @ ! CELL+ CELLS C, C@ C! CHARS 2@ 2! ALIGN ALIGNED +! ALLOT
+
+HERE 1 ALLOT
+HERE
+CONSTANT 2NDA
+CONSTANT 1STA
+T{ 1STA 2NDA U< -> <TRUE> }T        \ HERE MUST GROW WITH ALLOT
+T{ 1STA 1+ -> 2NDA }T           \ ... BY ONE ADDRESS UNIT
+( MISSING TEST: NEGATIVE ALLOT )
+
+HERE 1 ,
+HERE 2 ,
+CONSTANT 2ND
+CONSTANT 1ST
+T{ 1ST 2ND U< -> <TRUE> }T          \ HERE MUST GROW WITH ALLOT
+T{ 1ST CELL+ -> 2ND }T          \ ... BY ONE CELL
+T{ 1ST 1 CELLS + -> 2ND }T
+T{ 1ST @ 2ND @ -> 1 2 }T
+T{ 5 1ST ! -> }T
+T{ 1ST @ 2ND @ -> 5 2 }T
+T{ 6 2ND ! -> }T
+T{ 1ST @ 2ND @ -> 5 6 }T
+T{ 1ST 2@ -> 6 5 }T
+T{ 2 1 1ST 2! -> }T
+T{ 1ST 2@ -> 2 1 }T
+T{ 1S 1ST !  1ST @ -> 1S }T     \ CAN STORE CELL-WIDE VALUE
+
+HERE 1 C,
+HERE 2 C,
+CONSTANT 2NDC
+CONSTANT 1STC
+T{ 1STC 2NDC U< -> <TRUE> }T        \ HERE MUST GROW WITH ALLOT
+T{ 1STC CHAR+ -> 2NDC }T            \ ... BY ONE CHAR
+T{ 1STC 1 CHARS + -> 2NDC }T
+T{ 1STC C@ 2NDC C@ -> 1 2 }T
+T{ 3 1STC C! -> }T
+T{ 1STC C@ 2NDC C@ -> 3 2 }T
+T{ 4 2NDC C! -> }T
+T{ 1STC C@ 2NDC C@ -> 3 4 }T
+
+ALIGN 1 ALLOT HERE ALIGN HERE 3 CELLS ALLOT
+CONSTANT A-ADDR  CONSTANT UA-ADDR
+T{ UA-ADDR ALIGNED -> A-ADDR }T
+T{    1 A-ADDR C!  A-ADDR C@ ->    1 }T
+T{ 1234 A-ADDR  !  A-ADDR  @ -> 1234 }T
+T{ 123 456 A-ADDR 2!  A-ADDR 2@ -> 123 456 }T
+T{ 2 A-ADDR CHAR+ C!  A-ADDR CHAR+ C@ -> 2 }T
+T{ 3 A-ADDR CELL+ C!  A-ADDR CELL+ C@ -> 3 }T
+T{ 1234 A-ADDR CELL+ !  A-ADDR CELL+ @ -> 1234 }T
+T{ 123 456 A-ADDR CELL+ 2!  A-ADDR CELL+ 2@ -> 123 456 }T
+
+: BITS ( X -- U )
+    0 SWAP BEGIN DUP WHILE DUP MSB AND IF >R 1+ R> THEN 2* REPEAT DROP ;
+( CHARACTERS >= 1 AU, <= SIZE OF CELL, >= 8 BITS )
+T{ 1 CHARS 1 < -> <FALSE> }T
+T{ 1 CHARS 1 CELLS > -> <FALSE> }T
+( TBD: HOW TO FIND NUMBER OF BITS? )
+
+( CELLS >= 1 AU, INTEGRAL MULTIPLE OF CHAR SIZE, >= 16 BITS )
+T{ 1 CELLS 1 < -> <FALSE> }T
+T{ 1 CELLS 1 CHARS MOD -> 0 }T
+T{ 1S BITS 10 < -> <FALSE> }T
+
+T{ 0 1ST ! -> }T
+T{ 1 1ST +! -> }T
+T{ 1ST @ -> 1 }T
+T{ -1 1ST +! 1ST @ -> 0 }T
+
+\ ------------------------------------------------------------------------
+TESTING CHAR [CHAR] [ ] BL S"
+
+T{ BL -> 20 }T
+T{ CHAR X -> 58 }T
+T{ CHAR HELLO -> 48 }T
+T{ : GC1 [CHAR] X ; -> }T
+T{ : GC2 [CHAR] HELLO ; -> }T
+T{ GC1 -> 58 }T
+T{ GC2 -> 48 }T
+T{ : GC3 [ GC1 ] LITERAL ; -> }T
+T{ GC3 -> 58 }T
+T{ : GC4 S" XY" ; -> }T
+T{ GC4 SWAP DROP -> 2 }T
+T{ GC4 DROP DUP C@ SWAP CHAR+ C@ -> 58 59 }T
+
+\ ------------------------------------------------------------------------
+TESTING ' ['] FIND EXECUTE IMMEDIATE COUNT LITERAL POSTPONE STATE
+
+T{ : GT1 123 ; -> }T
+T{ ' GT1 EXECUTE -> 123 }T
+T{ : GT2 ['] GT1 ; IMMEDIATE -> }T
+T{ GT2 EXECUTE -> 123 }T
+HERE 3 C, CHAR G C, CHAR T C, CHAR 1 C, CONSTANT GT1STRING
+HERE 3 C, CHAR G C, CHAR T C, CHAR 2 C, CONSTANT GT2STRING
+T{ GT1STRING FIND -> ' GT1 -1 }T
+T{ GT2STRING FIND -> ' GT2 1 }T
+( HOW TO SEARCH FOR NON-EXISTENT WORD? )
+T{ : GT3 GT2 LITERAL ; -> }T
+T{ GT3 -> ' GT1 }T
+T{ GT1STRING COUNT -> GT1STRING CHAR+ 3 }T
+
+T{ : GT4 POSTPONE GT1 ; IMMEDIATE -> }T
+T{ : GT5 GT4 ; -> }T
+T{ GT5 -> 123 }T
+T{ : GT6 345 ; IMMEDIATE -> }T
+T{ : GT7 POSTPONE GT6 ; -> }T
+T{ GT7 -> 345 }T
+
+T{ : GT8 STATE @ ; IMMEDIATE -> }T
+T{ GT8 -> 0 }T
+T{ : GT9 GT8 LITERAL ; -> }T
+T{ GT9 0= -> <FALSE> }T
+
+\ ------------------------------------------------------------------------
+TESTING IF ELSE THEN BEGIN WHILE REPEAT UNTIL RECURSE
+
+T{ : GI1 IF 123 THEN ; -> }T
+T{ : GI2 IF 123 ELSE 234 THEN ; -> }T
+T{ 0 GI1 -> }T
+T{ 1 GI1 -> 123 }T
+T{ -1 GI1 -> 123 }T
+T{ 0 GI2 -> 234 }T
+T{ 1 GI2 -> 123 }T
+T{ -1 GI1 -> 123 }T
+
+T{ : GI3 BEGIN DUP 5 < WHILE DUP 1+ REPEAT ; -> }T
+T{ 0 GI3 -> 0 1 2 3 4 5 }T
+T{ 4 GI3 -> 4 5 }T
+T{ 5 GI3 -> 5 }T
+T{ 6 GI3 -> 6 }T
+
+T{ : GI4 BEGIN DUP 1+ DUP 5 > UNTIL ; -> }T
+T{ 3 GI4 -> 3 4 5 6 }T
+T{ 5 GI4 -> 5 6 }T
+T{ 6 GI4 -> 6 7 }T
+
+T{ : GI5 BEGIN DUP 2 > WHILE DUP 5 < WHILE DUP 1+ REPEAT 123 ELSE 345 THEN ; -> }T
+T{ 1 GI5 -> 1 345 }T
+T{ 2 GI5 -> 2 345 }T
+T{ 3 GI5 -> 3 4 5 123 }T
+T{ 4 GI5 -> 4 5 123 }T
+T{ 5 GI5 -> 5 123 }T
+
+T{ : GI6 ( N -- 0,1,..N ) DUP IF DUP >R 1- RECURSE R> THEN ; -> }T
+T{ 0 GI6 -> 0 }T
+T{ 1 GI6 -> 0 1 }T
+T{ 2 GI6 -> 0 1 2 }T
+T{ 3 GI6 -> 0 1 2 3 }T
+T{ 4 GI6 -> 0 1 2 3 4 }T
+
+\ ------------------------------------------------------------------------
+TESTING DO LOOP +LOOP I J UNLOOP LEAVE EXIT
+
+T{ : GD1 DO I LOOP ; -> }T
+T{ 4 1 GD1 -> 1 2 3 }T
+T{ 2 -1 GD1 -> -1 0 1 }T
+T{ MID-UINT+1 MID-UINT GD1 -> MID-UINT }T
+
+T{ : GD2 DO I -1 +LOOP ; -> }T
+T{ 1 4 GD2 -> 4 3 2 1 }T
+T{ -1 2 GD2 -> 2 1 0 -1 }T
+T{ MID-UINT MID-UINT+1 GD2 -> MID-UINT+1 MID-UINT }T
+
+T{ : GD3 DO 1 0 DO J LOOP LOOP ; -> }T
+T{ 4 1 GD3 -> 1 2 3 }T
+T{ 2 -1 GD3 -> -1 0 1 }T
+T{ MID-UINT+1 MID-UINT GD3 -> MID-UINT }T
+
+T{ : GD4 DO 1 0 DO J LOOP -1 +LOOP ; -> }T
+T{ 1 4 GD4 -> 4 3 2 1 }T
+T{ -1 2 GD4 -> 2 1 0 -1 }T
+T{ MID-UINT MID-UINT+1 GD4 -> MID-UINT+1 MID-UINT }T
+
+T{ : GD5 123 SWAP 0 DO I 4 > IF DROP 234 LEAVE THEN LOOP ; -> }T
+T{ 1 GD5 -> 123 }T
+T{ 5 GD5 -> 123 }T
+T{ 6 GD5 -> 234 }T
+
+T{ : GD6  ( PAT: T{0 0}T,T{0 0}TT{1 0}TT{1 1}T,T{0 0}TT{1 0}TT{1 1}TT{2 0}TT{2 1}TT{2 2}T )
+    0 SWAP 0 DO
+        I 1+ 0 DO I J + 3 = IF I UNLOOP I UNLOOP EXIT THEN 1+ LOOP
+    LOOP ; -> }T
+T{ 1 GD6 -> 1 }T
+T{ 2 GD6 -> 3 }T
+T{ 3 GD6 -> 4 1 2 }T
+
+\ ------------------------------------------------------------------------
+TESTING DEFINING WORDS: : ; CONSTANT VARIABLE CREATE DOES> >BODY
+
+T{ 123 CONSTANT X123 -> }T
+T{ X123 -> 123 }T
+T{ : EQU CONSTANT ; -> }T
+T{ X123 EQU Y123 -> }T
+T{ Y123 -> 123 }T
+
+T{ VARIABLE V1 -> }T
+T{ 123 V1 ! -> }T
+T{ V1 @ -> 123 }T
+
+T{ : NOP : POSTPONE ; ; -> }T
+T{ NOP NOP1 NOP NOP2 -> }T
+T{ NOP1 -> }T
+T{ NOP2 -> }T
+
+T{ : DOES1 DOES> @ 1 + ; -> }T
+T{ : DOES2 DOES> @ 2 + ; -> }T
+T{ CREATE CR1 -> }T
+T{ CR1 -> HERE }T
+T{ ' CR1 >BODY -> HERE }T
+T{ 1 , -> }T
+T{ CR1 @ -> 1 }T
+T{ DOES1 -> }T
+T{ CR1 -> 2 }T
+T{ DOES2 -> }T
+T{ CR1 -> 3 }T
+
+T{ : WEIRD: CREATE DOES> 1 + DOES> 2 + ; -> }T
+T{ WEIRD: W1 -> }T
+T{ ' W1 >BODY -> HERE }T
+T{ W1 -> HERE 1 + }T
+T{ W1 -> HERE 2 + }T
+
+\ ------------------------------------------------------------------------
+TESTING EVALUATE
+
+: GE1 S" 123" ; IMMEDIATE
+: GE2 S" 123 1+" ; IMMEDIATE
+: GE3 S" : GE4 345 ;" ;
+: GE5 EVALUATE ; IMMEDIATE
+
+T{ GE1 EVALUATE -> 123 }T           ( TEST EVALUATE IN INTERP. STATE )
+T{ GE2 EVALUATE -> 124 }T
+T{ GE3 EVALUATE -> }T
+T{ GE4 -> 345 }T
+
+T{ : GE6 GE1 GE5 ; -> }T            ( TEST EVALUATE IN COMPILE STATE )
+T{ GE6 -> 123 }T
+T{ : GE7 GE2 GE5 ; -> }T
+T{ GE7 -> 124 }T
+
+\ ------------------------------------------------------------------------
+TESTING SOURCE >IN WORD
+
+: GS1 S" SOURCE" 2DUP EVALUATE
+        >R SWAP >R = R> R> = ;
+T{ GS1 -> <TRUE> <TRUE> }T
+
+VARIABLE SCANS
+: RESCAN?  -1 SCANS +! SCANS @ IF 0 >IN ! THEN ;
+
+T{ 2 SCANS !
+345 RESCAN?
+-> 345 345 }T
+
+: GS2  5 SCANS ! S" 123 RESCAN?" EVALUATE ;
+T{ GS2 -> 123 123 123 123 123 }T
+
+: GS3 WORD COUNT SWAP C@ ;
+T{ BL GS3 HELLO -> 5 CHAR H }T
+T{ CHAR " GS3 GOODBYE" -> 7 CHAR G }T
+T{ BL GS3
+DROP -> 0 }T                \ BLANK LINE RETURN ZERO-LENGTH STRING
+
+: GS4 SOURCE >IN ! DROP ;
+T{ GS4 123 456
+-> }T
+
+\ ------------------------------------------------------------------------
+TESTING <# # #S #> HOLD SIGN BASE >NUMBER HEX DECIMAL
+
+: S=  \ ( ADDR1 C1 ADDR2 C2 -- T/F ) COMPARE TWO STRINGS.
+    >R SWAP R@ = IF          \ MAKE SURE STRINGS HAVE SAME LENGTH
+        R> ?DUP IF            \ IF NON-EMPTY STRINGS
+        0 DO
+        OVER C@ OVER C@ - IF
+            2DROP <FALSE> UNLOOP EXIT THEN
+        SWAP CHAR+ SWAP CHAR+
+            LOOP
+        THEN
+        2DROP <TRUE>          \ IF WE GET HERE, STRINGS MATCH
+    ELSE
+        R> DROP 2DROP <FALSE>     \ LENGTHS MISMATCH
+    THEN ;
+
+: GP1  <# 41 HOLD 42 HOLD 0 0 #> S" BA" S= ;
+T{ GP1 -> <TRUE> }T
+
+: GP2  <# -1 SIGN 0 SIGN -1 SIGN 0 0 #> S" --" S= ;
+T{ GP2 -> <TRUE> }T
+
+: GP3  <# 1 0 # # #> S" 01" S= ;
+T{ GP3 -> <TRUE> }T
+
+: GP4  <# 1 0 #S #> S" 1" S= ;
+T{ GP4 -> <TRUE> }T
+
+24 CONSTANT MAX-BASE            \ BASE 2 .. 36
+: COUNT-BITS
+    0 0 INVERT BEGIN DUP WHILE >R 1+ R> 2* REPEAT DROP ;
+COUNT-BITS 2* CONSTANT #BITS-UD     \ NUMBER OF BITS IN UD
+
+: GP5
+    BASE @ <TRUE>
+    MAX-BASE 1+ 2 DO         \ FOR EACH POSSIBLE BASE
+        I BASE !              \ TBD: ASSUMES BASE WORKS
+        I 0 <# #S #> S" 10" S= AND
+    LOOP
+    SWAP BASE ! ;
+T{ GP5 -> <TRUE> }T
+
+: GP6
+    BASE @ >R  2 BASE !
+    MAX-UINT MAX-UINT <# #S #>       \ MAXIMUM UD TO BINARY
+    R> BASE !                \ S: C-ADDR U
+    DUP #BITS-UD = SWAP
+    0 DO                 \ S: C-ADDR FLAG
+        OVER C@ [CHAR] 1 = AND        \ ALL ONES
+        >R CHAR+ R>
+    LOOP SWAP DROP ;
+T{ GP6 -> <TRUE> }T
+
+: GP7
+    BASE @ >R    MAX-BASE BASE !
+    <TRUE>
+    A 0 DO
+        I 0 <# #S #>
+        1 = SWAP C@ I 30 + = AND AND
+    LOOP
+    MAX-BASE A DO
+        I 0 <# #S #>
+        1 = SWAP C@ 41 I A - + = AND AND
+    LOOP
+    R> BASE ! ;
+
+T{ GP7 -> <TRUE> }T
+
+\ >NUMBER TESTS
+CREATE GN-BUF 0 C,
+: GN-STRING GN-BUF 1 ;
+: GN-CONSUMED   GN-BUF CHAR+ 0 ;
+: GN'       [CHAR] ' WORD CHAR+ C@ GN-BUF C!  GN-STRING ;
+
+T{ 0 0 GN' 0' >NUMBER -> 0 0 GN-CONSUMED }T
+T{ 0 0 GN' 1' >NUMBER -> 1 0 GN-CONSUMED }T
+T{ 1 0 GN' 1' >NUMBER -> BASE @ 1+ 0 GN-CONSUMED }T
+T{ 0 0 GN' -' >NUMBER -> 0 0 GN-STRING }T   \ SHOULD FAIL TO CONVERT THESE
+T{ 0 0 GN' +' >NUMBER -> 0 0 GN-STRING }T
+T{ 0 0 GN' .' >NUMBER -> 0 0 GN-STRING }T
+
+: >NUMBER-BASED
+    BASE @ >R BASE ! >NUMBER R> BASE ! ;
+
+T{ 0 0 GN' 2' 10 >NUMBER-BASED -> 2 0 GN-CONSUMED }T
+T{ 0 0 GN' 2'  2 >NUMBER-BASED -> 0 0 GN-STRING }T
+T{ 0 0 GN' F' 10 >NUMBER-BASED -> F 0 GN-CONSUMED }T
+T{ 0 0 GN' G' 10 >NUMBER-BASED -> 0 0 GN-STRING }T
+T{ 0 0 GN' G' MAX-BASE >NUMBER-BASED -> 10 0 GN-CONSUMED }T
+T{ 0 0 GN' Z' MAX-BASE >NUMBER-BASED -> 23 0 GN-CONSUMED }T
+
+: GN1   \ ( UD BASE -- UD' LEN ) UD SHOULD EQUAL UD' AND LEN SHOULD BE ZERO.
+    BASE @ >R BASE !
+    <# #S #>
+    0 0 2SWAP >NUMBER SWAP DROP      \ RETURN LENGTH ONLY
+    R> BASE ! ;
+T{ 0 0 2 GN1 -> 0 0 0 }T
+T{ MAX-UINT 0 2 GN1 -> MAX-UINT 0 0 }T
+T{ MAX-UINT DUP 2 GN1 -> MAX-UINT DUP 0 }T
+T{ 0 0 MAX-BASE GN1 -> 0 0 0 }T
+T{ MAX-UINT 0 MAX-BASE GN1 -> MAX-UINT 0 0 }T
+T{ MAX-UINT DUP MAX-BASE GN1 -> MAX-UINT DUP 0 }T
+
+: GN2   \ ( -- 16 10 )
+    BASE @ >R  HEX BASE @  DECIMAL BASE @  R> BASE ! ;
+T{ GN2 -> 10 A }T
+
+\ ------------------------------------------------------------------------
+TESTING FILL MOVE
+
+CREATE FBUF 00 C, 00 C, 00 C,
+CREATE SBUF 12 C, 34 C, 56 C,
+: SEEBUF FBUF C@  FBUF CHAR+ C@  FBUF CHAR+ CHAR+ C@ ;
+
+T{ FBUF 0 20 FILL -> }T
+T{ SEEBUF -> 00 00 00 }T
+
+T{ FBUF 1 20 FILL -> }T
+T{ SEEBUF -> 20 00 00 }T
+
+T{ FBUF 3 20 FILL -> }T
+T{ SEEBUF -> 20 20 20 }T
+
+T{ FBUF FBUF 3 CHARS MOVE -> }T     \ BIZARRE SPECIAL CASE
+T{ SEEBUF -> 20 20 20 }T
+
+T{ SBUF FBUF 0 CHARS MOVE -> }T
+T{ SEEBUF -> 20 20 20 }T
+
+T{ SBUF FBUF 1 CHARS MOVE -> }T
+T{ SEEBUF -> 12 20 20 }T
+
+T{ SBUF FBUF 3 CHARS MOVE -> }T
+T{ SEEBUF -> 12 34 56 }T
+
+T{ FBUF FBUF CHAR+ 2 CHARS MOVE -> }T
+T{ SEEBUF -> 12 12 34 }T
+
+T{ FBUF CHAR+ FBUF 2 CHARS MOVE -> }T
+T{ SEEBUF -> 12 34 34 }T
+
+\ ------------------------------------------------------------------------
+TESTING OUTPUT: . ." CR EMIT SPACE SPACES TYPE U.
+
+: OUTPUT-TEST
+    ." YOU SHOULD SEE THE STANDARD GRAPHIC CHARACTERS:" CR
+    41 BL DO I EMIT LOOP CR
+    61 41 DO I EMIT LOOP CR
+    7F 61 DO I EMIT LOOP CR
+    ." YOU SHOULD SEE 0-9 SEPARATED BY A SPACE:" CR
+    9 1+ 0 DO I . LOOP CR
+    ." YOU SHOULD SEE 0-9 (WITH NO SPACES):" CR
+    [CHAR] 9 1+ [CHAR] 0 DO I 0 SPACES EMIT LOOP CR
+    ." YOU SHOULD SEE A-G SEPARATED BY A SPACE:" CR
+    [CHAR] G 1+ [CHAR] A DO I EMIT SPACE LOOP CR
+    ." YOU SHOULD SEE 0-5 SEPARATED BY TWO SPACES:" CR
+    5 1+ 0 DO I [CHAR] 0 + EMIT 2 SPACES LOOP CR
+    ." YOU SHOULD SEE TWO SEPARATE LINES:" CR
+    S" LINE 1" TYPE CR S" LINE 2" TYPE CR
+    ." YOU SHOULD SEE THE NUMBER RANGES OF SIGNED AND UNSIGNED NUMBERS:" CR
+    ."   SIGNED: " MIN-INT . MAX-INT . CR
+    ." UNSIGNED: " 0 U. MAX-UINT U. CR
+;
+
+T{ OUTPUT-TEST -> }T
+\ ------------------------------------------------------------------------
+TESTING INPUT: ACCEPT
+
+CREATE ABUF 80 CHARS ALLOT
+
+: ACCEPT-TEST
+    CR ." PLEASE TYPE UP TO 80 CHARACTERS: "
+ABUF 80 \ ACCEPT            \ JMT 
+['] ACCEPT DUP @ $4030 =    \ JMT: if CFA content = $4030 (MOV @PC+,PC), ACCEPT is deferred
+IF      >BODY               \ JMT: find default part of deferred ACCEPT
+THEN    EXECUTE             \ JMT: execute ACCEPT
+    CR ." RECEIVED: " [CHAR] " EMIT
+    ABUF SWAP TYPE [CHAR] " EMIT CR
+;
+
+T{ ACCEPT-TEST -> }T
+\ ZYXWVUTSRQPONMLKJIHGFEDCBAzyxwvutsrqponmlkjihgfedcba
+\ ------------------------------------------------------------------------
+TESTING DICTIONARY SEARCH RULES
+
+T{ : GDX   123 ; : GDX   GDX 234 ; -> }T
+
+T{ GDX -> 123 234 }T
+
+CR .( End of Core word set tests)
+         
+CORETESTSUCCESS
+
+[ELSE] COMPNOTFOUND ;             download CORE_ANS.f before CORETEST.4TH
+
+[THEN]
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/CORE_ANS.4TH b/MSP430-FORTH/MSP_EXP430FR5994/CORE_ANS.4TH
new file mode 100644 (file)
index 0000000..b8f35fd
--- /dev/null
@@ -0,0 +1,1046 @@
+
+CODE ABORT_CORE_ANS
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_CORE_ANS
+
+; ---------------------------------
+; CORE_ANS.4th for MSP_EXP430FR5994
+; ---------------------------------
+; words complement to pass CORETEST.4TH
+
+[DEFINED] {CORE_ANS} [IF]  {CORE_ANS} [THEN]
+
+[UNDEFINED] {CORE_ANS} [IF]
+
+MARKER {CORE_ANS}
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] EXIT [IF]
+CODE EXIT
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DEPTH [IF]
+CODE DEPTH
+MOV R14,-2(R15)
+MOV #$1C80,R14
+SUB R15,R14
+RRA R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DROP [IF]
+CODE DROP
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] NIP [IF]
+CODE NIP
+ADD #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] @ [IF]
+CODE @
+MOV @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ! [IF]
+CODE !
+MOV @R15+,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C! [IF]
+CODE C!
+MOV.B @R15+,0(R14)
+ADD #1,R15
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C, [IF]
+CODE C,
+MOV &$1DC6,R10
+MOV.B R14,0(R10)
+ADD #1,&$1DC6
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0= [IF]
+CODE 0=
+SUB #1,R14
+SUBC R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0< [IF]
+CODE 0<
+ADD R14,R14
+SUBC R14,R14
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U< [IF]
+CODE U<
+SUB @R15+,R14
+0<> IF
+    MOV #-1,R14
+    U< IF
+        AND #0,R14
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] < [IF]
+CODE <
+        SUB @R15+,R14
+        S< ?GOTO FW1
+        0<> IF
+BW1         MOV #-1,R14
+        THEN
+        MOV @R13+,R0
+ENDCODE
+
+CODE >
+        SUB @R15+,R14
+        S< ?GOTO BW1
+FW1     AND #0,R14
+        MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] BEGIN [IF]
+CODE BEGIN
+    MOV #$4028,R0
+ENDCODE IMMEDIATE
+
+CODE UNTIL
+    MOV #$4034,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE AGAIN
+MOV #$403A,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+
+: WHILE
+POSTPONE IF SWAP
+; IMMEDIATE
+
+: REPEAT
+POSTPONE AGAIN POSTPONE THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] J [IF]
+CODE J
+SUB #2,R15      
+MOV R14,0(R15)
+MOV 4(R1),R14
+SUB 6(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] UNLOOP [IF]
+CODE UNLOOP
+ADD #4,R1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] LEAVE [IF]
+CODE LEAVE
+MOV &$1DC6,R10
+MOV #UNLOOP,0(R10)
+MOV #$403A,2(R10)
+ADD #6,&$1DC6
+ADD #2,&$1C00
+ADD #4,R10
+MOV &$1C00,R9
+MOV R10,0(R9)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] AND [IF]
+CODE AND
+AND @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OR [IF]
+CODE OR
+BIS @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] XOR [IF]
+CODE XOR
+XOR @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] S>D [IF]
+: S>D
+    DUP 0<
+;
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1+ [IF]
+CODE 1+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1- [IF]
+CODE 1-
+SUB #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] INVERT [IF]
+CODE INVERT
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] NEGATE [IF]
+CODE NEGATE
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ABS [IF]
+CODE ABS
+CMP #0,R14
+0>= IF
+    MOV @R13+,R0
+THEN
+MOV #NEGATE,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] LSHIFT [IF]
+CODE LSHIFT
+            MOV @R15+,R10
+            AND #$1F,R14
+0<> IF
+    BEGIN   ADD R10,R10
+            SUB #1,R14
+    0= UNTIL
+THEN        MOV R10,R14
+            MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] RSHIFT [IF]
+CODE RSHIFT
+            MOV @R15+,R10
+            AND #$1F,R14
+0<> IF
+    BEGIN   BIC #1,R2
+            RRC R10
+            SUB #1,R14
+    0= UNTIL
+THEN        MOV R10,R14
+            MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MAX [IF]
+CODE MAX
+    CMP @R15,R14
+    S<  ?GOTO FW1
+BW1 ADD #2,R15
+    MOV @R13+,R0
+ENDCODE
+
+CODE MIN
+    CMP @R15,R14
+    S< ?GOTO BW1
+FW1 MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[THEN]
+
+[UNDEFINED] 2* [IF]
+CODE 2*
+ADD R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2/ [IF]
+CODE 2/
+RRA R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+$1A00 4 + @ $81F3 U<
+$81EF $1A00 4 + @ U< 
+= [IF]   ; MSP430FR2xxx|MSP430FR4xxx subfamilies without hardware_MPY
+
+    [UNDEFINED] M* [IF]
+    
+
+
+    CODE M*
+    MOV @R15,R12
+    CMP #0,R12
+    S< IF
+        XOR #-1,0(R15)
+        ADD #1,0(R15)
+    THEN
+    XOR R14,R12
+    CMP #0,R14
+    S< IF
+        XOR #-1,R14
+        ADD #1,R14
+    THEN
+    PUSHM #2,R13
+    LO2HI
+    UM*       
+    HI2LO
+    POPM #2,R13
+    CMP #0,R12
+    S< IF
+        XOR #-1,0(R15)
+        XOR #-1,R14
+        ADD #1,0(R15)
+        ADDC #0,R14
+    THEN
+    MOV @R13+,R0
+    ENDCODE
+    [THEN]
+
+[ELSE]  ; MSP430FRxxxx with hardware_MPY
+
+[UNDEFINED] UM* [IF]
+CODE UM*
+    MOV @R15,&$4C0
+BW1 MOV R14,&$4C8
+    MOV &$4E4,0(R15)
+    MOV &$4E6,R14
+    MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] M* [IF]
+CODE M*
+    MOV @R15,&$4C2
+    GOTO BW1
+ENDCODE
+[THEN]
+
+[THEN]
+
+[UNDEFINED] UM/MOD [IF]
+CODE UM/MOD
+    PUSH #DROP
+    MOV #$4066,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SM/REM [IF]
+CODE SM/REM
+MOV R14,R12
+MOV @R15,R11
+CMP #0,R14
+S< IF
+    XOR #-1,R14
+    ADD #1,R14
+THEN
+CMP #0,0(R15)
+S< IF
+    XOR #-1,2(R15)
+    XOR #-1,0(R15)
+    ADD #1,2(R15)
+    ADDC #0,0(R15)
+THEN
+PUSHM #3,R13
+LO2HI
+    UM/MOD
+HI2LO
+POPM #3,R13
+CMP #0,R11
+S< IF
+    XOR #-1,0(R15)
+    ADD #1,0(R15)
+THEN
+XOR R12,R11
+CMP #0,R11
+S< IF
+    XOR #-1,R14
+    ADD #1,R14
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] FM/MOD [IF]
+: FM/MOD
+SM/REM
+HI2LO
+CMP #0,0(R15)
+0<> IF
+    CMP #1,R14
+    S< IF
+      ADD R12,0(R15)
+      SUB #1,R14
+    THEN
+THEN
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] * [IF]
+: *
+M* DROP
+;
+[THEN]
+
+[UNDEFINED] /MOD [IF]
+: /MOD
+>R DUP 0< R> FM/MOD
+;
+[THEN]
+
+[UNDEFINED] / [IF]
+: /
+>R DUP 0< R> FM/MOD NIP
+;
+[THEN]
+
+[UNDEFINED] MOD [IF]
+: MOD
+>R DUP 0< R> FM/MOD DROP
+;
+[THEN]
+
+[UNDEFINED] */MOD [IF]
+: */MOD
+>R M* R> FM/MOD
+;
+[THEN]
+
+[UNDEFINED] */ [IF]
+: */
+>R M* R> FM/MOD NIP
+;
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ROT [IF]
+CODE ROT
+MOV @R15,R10
+MOV R14,0(R15)
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R@ [IF]
+CODE R@
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] TUCK [IF]
+: TUCK SWAP OVER ;
+[THEN]
+
+[UNDEFINED] 2@ [IF]
+CODE 2@
+BW1 SUB #2,R15
+    MOV 2(R14),0(R15)
+    MOV @R14,R14
+    MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2! [IF]
+CODE 2!
+BW2 MOV @R15+,0(R14)
+    MOV @R15+,2(R14)
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2DUP [IF]
+CODE 2DUP
+MOV R14,-2(R15)
+MOV @R15,-4(R15)
+SUB #4,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2DROP [IF]
+CODE 2DROP
+ADD #2,R15
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2SWAP [IF]
+CODE 2SWAP
+MOV @R15,R10
+MOV 4(R15),0(R15)
+MOV R10,4(R15)
+MOV R14,R10
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2OVER [IF]
+CODE 2OVER
+SUB #4,R15
+MOV R14,2(R15)
+MOV 8(R15),0(R15)
+MOV 6(R15),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] ALIGNED [IF]
+CODE ALIGNED
+BIT #1,R14
+ADDC #0,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ALIGN [IF]
+CODE ALIGN
+BIT #1,&$1DC6
+ADDC #0,&$1DC6
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] CHARS [IF]
+CODE CHARS
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CHAR+ [IF]
+CODE CHAR+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CELLS [IF]
+CODE CELLS
+ADD R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CELL+ [IF]
+CODE CELL+
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] CHAR [IF]
+: CHAR
+    $20 WORD 1+ C@
+;
+[THEN]
+
+[UNDEFINED] [CHAR] [IF]
+: [CHAR]
+    CHAR POSTPONE LITERAL
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] +! [IF]
+CODE +!
+ADD @R15+,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MOVE [IF]
+CODE MOVE
+MOV R14,R10
+MOV @R15+,R8
+MOV @R15+,R9
+MOV @R15+,R14
+CMP #0,R10
+0<> IF
+    CMP R9,R8
+    0<> IF
+        U< IF
+            BEGIN
+                MOV.B @R9+,0(R8)
+                ADD #1,R8
+                SUB #1,R10
+            0= UNTIL
+            MOV @R13+,R0
+        THEN
+        ADD R10,R8
+        ADD R10,R9
+        BEGIN
+            SUB #1,R9
+            SUB #1,R8
+            MOV.B @R9,0(R8)
+            SUB #1,R10
+        0= UNTIL
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] FILL [IF]
+CODE FILL
+MOV @R15+,R9
+MOV @R15+,R10
+CMP #0,R9
+0<> IF
+    BEGIN
+        MOV.B R14,0(R10)
+        ADD #1,R10
+        SUB #1,R9
+    0= UNTIL
+THEN
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] HERE [IF]
+CODE HERE
+MOV #$4028,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] HEX [IF]
+CODE HEX
+MOV #$10,&$1DDC
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DECIMAL [IF]
+CODE DECIMAL
+MOV #$0A,&$1DDC
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ( [IF]
+: ( 
+')' WORD DROP
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] .( [IF]
+CODE .(
+MOV #0,&$1DB4
+COLON
+')' WORD
+COUNT TYPE
+$20 $1DB4 !
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] EXECUTE [IF]
+CODE EXECUTE
+PUSH R14
+MOV @R15+,R14
+MOV @R1+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] EVALUATE [IF]
+CODE EVALUATE
+MOV #$1DC0,R9
+MOV @R9+,R12
+MOV @R9+,R11
+MOV @R9+,R10
+PUSHM #4,R13
+LO2HI
+INTERPRET
+HI2LO
+MOV @R1+,&$1DC4
+MOV @R1+,&$1DC2
+MOV @R1+,&$1DC0
+MOV @R1+,R13 
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] RECURSE [IF]
+CODE RECURSE
+MOV &$1DC6,R9
+MOV &$1DBA,0(R9)
+ADD #2,&$1DC6
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] SOURCE [IF]
+CODE SOURCE
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1DC0,R14
+MOV &$1DC2,0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DOES> [IF]
+CODE DOES> 
+MOV &$1DBA,R10
+MOV #$1285,0(R10)
+MOV R13,2(R10)
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] VARIABLE [IF]
+: VARIABLE 
+CREATE
+HI2LO
+MOV #$1287,-4(R10)
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] BASE [IF]
+$1DDC CONSTANT BASE
+[THEN]
+
+[UNDEFINED] >IN [IF]
+$1DC4 CONSTANT >IN
+[THEN]
+
+[UNDEFINED] PAD [IF]
+$1CE4 CONSTANT PAD
+[THEN]
+
+[UNDEFINED] BL [IF]
+$20 CONSTANT BL
+[THEN]
+
+[UNDEFINED] SPACE [IF]
+: SPACE
+$20 EMIT ;
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0              
+ENDCODE
+[THEN]
+
+[UNDEFINED] TO [IF]
+CODE TO
+BIS #$200,R2
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] VALUE [IF]
+: VALUE
+CREATE ,
+DOES> 
+HI2LO
+MOV @R1+,R13
+BIT #$200,R2
+0= IF
+    MOV @R14,R14
+    MOV @R13+,R0
+THEN 
+BIC #$200,R2
+MOV @R15+,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+RST_HERE
+
+[THEN]
+
+ECHO
+; CORE_ANS.4th for MSP_EXP430FR5994 is loaded
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/DOUBLE.4TH b/MSP430-FORTH/MSP_EXP430FR5994/DOUBLE.4TH
new file mode 100644 (file)
index 0000000..b7f7c4b
--- /dev/null
@@ -0,0 +1,1435 @@
+
+CODE ABORT_DOUBLE
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1812,R14
+BIT #$200,R14
+0<> IF MOV #0,R14 THEN
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+ABORT" build FastForth with DOUBLE_INPUT addon !"
+PWR_STATE
+;
+
+ABORT_DOUBLE
+
+; -----------------------------------------------------
+; DOUBLE.4th for MSP_EXP430FR5994
+; -----------------------------------------------------
+
+[DEFINED] {DOUBLE} [IF]  {DOUBLE} [THEN]
+
+MARKER {DOUBLE}
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] @ [IF]
+CODE @
+MOV @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ! [IF]
+CODE !
+MOV @R15+,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ROT [IF]
+CODE ROT
+MOV @R15,R10
+MOV R14,0(R15)
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] < [IF]
+CODE <
+        SUB @R15+,R14
+        S< ?GOTO FW1
+        0<> IF
+BW1         MOV #-1,R14
+        THEN
+        MOV @R13+,R0
+ENDCODE
+
+CODE >
+        SUB @R15+,R14
+        S< ?GOTO BW1
+FW1     AND #0,R14
+        MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] TO [IF]
+CODE TO
+BIS #$200,R2
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DOES> [IF]
+CODE DOES> 
+MOV &$1DBA,R10
+MOV #DODOES,0(R10)
+MOV R13,2(R10)
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0       
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2@ [IF]
+CODE 2@
+SUB #2,R15
+MOV 2(R14),0(R15)
+MOV @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2! [IF]
+CODE 2!
+MOV @R15+,0(R14)
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2DUP [IF]
+CODE 2DUP
+SUB #4,R15
+MOV R14,2(R15)
+MOV 4(R15),0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2DROP [IF]
+CODE 2DROP
+ADD #2,R15
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2SWAP [IF]
+CODE 2SWAP
+MOV @R15,R10
+MOV 4(R15),0(R15)
+MOV R10,4(R15)
+MOV R14,R10
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2OVER [IF]
+CODE 2OVER
+SUB #4,R15
+MOV R14,2(R15)
+MOV 8(R15),0(R15)
+MOV 6(R15),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2>R [IF]
+CODE 2>R
+PUSH @R15+
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2R@ [IF]
+CODE 2R@
+SUB #4,R15
+MOV R14,2(R15)
+MOV @R1,R14
+MOV 2(R1),0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2R> [IF]
+CODE 2R>
+SUB #4,R15
+MOV R14,2(R15)
+MOV @R1+,R14
+MOV @R1+,0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] D. [IF]
+CODE D.
+MOV #U.,R10
+ADD #10,R10
+MOV R10,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2ROT [IF]
+CODE 2ROT
+MOV 8(R15),R9
+MOV 6(R15),R8
+MOV 4(R15),8(R15)
+MOV 2(R15),6(R15)
+MOV @R15,4(R15)
+MOV R14,2(R15)
+MOV R9,0(R15)
+MOV R8,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D>S [IF]
+CODE D>S
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D0= [IF]
+CODE D0=
+CMP #0,R14
+MOV #0,R14
+0= IF
+    CMP #0,0(R15)
+    0= IF
+        MOV #-1,R14
+    THEN
+THEN
+ADD #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D0< [IF]
+CODE D0<
+CMP #0,R14
+MOV #0,R14
+S< IF
+    MOV #-1,R14
+THEN
+ADD #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D= [IF]
+CODE D=
+CMP R14,2(R15)
+MOV #0,R14
+0= IF
+    CMP @R15,4(R15)
+    0= IF
+    MOV #-1,R14
+    THEN
+THEN
+ADD #6,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D< [IF]
+CODE D<
+CMP R14,2(R15)
+MOV #0,R14
+S< IF
+    MOV #-1,R14
+THEN
+0= IF
+    CMP @R15,4(R15)
+    U< IF
+        MOV #-1,R14
+    THEN
+THEN
+ADD #6,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DU< [IF]
+CODE DU<
+CMP R14,2(R15)
+MOV #0,R14
+U< IF
+    MOV #-1,R14
+THEN
+0= IF
+    CMP @R15,4(R15)
+    U< IF
+        MOV #-1,R14
+    THEN
+THEN
+ADD #6,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D+ [IF]
+CODE D+
+BW1 ADD @R15+,2(R15)
+    ADDC @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] M+ [IF]
+CODE M+
+SUB #2,R15
+CMP #0,R14
+MOV R14,0(R15)
+MOV #-1,R14
+0>= IF
+    MOV #0,R14
+THEN
+GOTO BW1
+ENDCODE
+[THEN]
+
+[UNDEFINED] D- [IF]
+CODE D-
+SUB @R15+,2(R15)
+SUBC R14,0(R15)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DNEGATE [IF]
+CODE DNEGATE
+XOR #-1,0(R15)
+XOR #-1,R14
+ADD #1,0(R15)
+ADDC #0,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DABS [IF]
+CODE DABS
+CMP #0,R14
+0>= IF
+    MOV @R13+,R0
+THEN
+MOV #DNEGATE,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D2/ [IF]
+CODE D2/
+RRA R14
+RRC 0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D2* [IF]
+CODE D2*
+ADD @R15,0(R15)
+ADDC R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DMAX [IF]
+: DMAX
+2OVER 2OVER
+D< IF
+    2>R 2DROP 2R>
+ELSE
+    2DROP
+THEN
+;
+[THEN]
+
+[UNDEFINED] DMIN [IF]
+: DMIN
+2OVER 2OVER
+D< IF
+    2DROP
+ELSE 2>R 2DROP 2R>
+THEN
+;
+
+$1A04 C@ $EF > [IF] ; test for MSP430FR413x devices without hardware_MPY 
+
+[UNDEFINED] M*/ [IF]
+CODE M*/
+BIC #$200,R2
+CMP #0,2(R15)
+S< IF
+    XOR #-1,4(R15)
+    XOR #-1,2(R15)
+    ADD #1,4(R15)
+    ADDC #0,2(R15)
+    BIS #$200,R2
+THEN
+CMP #0,0(R15)
+S< IF
+    XOR #-1,0(R15)
+    ADD #1,0(R15)
+    XOR #$200,R2
+THEN
+            MOV 4(R15),R8
+            MOV 2(R15),R11
+            MOV @R15+,R12
+            MOV #0,R5
+            MOV #0,2(R15)
+            MOV #0,0(R15)
+            MOV #0,R10
+            MOV #1,R9
+BEGIN       BIT R9,R12
+    0<> IF  ADD R8,2(R15)
+            ADDC R11,0(R15)
+            ADDC R5,R10
+    THEN    ADD R8,R8
+            ADDC R11,R11
+            ADDC R5,R5
+            ADD R9,R9
+U>= UNTIL
+MOV R14,R11
+MOV @R15,R14
+MOV 2(R15),R12
+MOV #32,R5
+CMP #0,R10
+0= IF
+    MOV R14,R10
+    CALL #$4078
+ELSE
+    CALL #$4080
+THEN
+MOV @R15+,0(R15)
+BIT #$200,R2
+0<> IF
+    XOR #-1,0(R15)
+    XOR #-1,R14
+    ADD #1,0(R15)
+    ADDC #0,R14
+    BIC #$200,R2
+    CMP #0,R10
+    0<> IF
+        SUB #1,0(R15)
+        SUBC #0,R14 
+    THEN
+THEN                
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[ELSE]
+
+[UNDEFINED] M*/ [IF]
+CODE M*/
+MOV 4(R15),&$4D4
+MOV 2(R15),&$4D6
+MOV @R15+,&$4C8
+MOV R14,R11
+MOV R0,R0
+MOV &$4E4,R12
+MOV &$4E6,R14
+MOV &$4E8,R10
+BIC #$200,R2
+CMP #0,R10
+S< IF
+    XOR #-1,R12
+    XOR #-1,R14
+    XOR #-1,R10
+    ADD #1,R12
+    ADDC #0,R14
+    ADDC #0,R10
+    BIS #$200,R2
+THEN
+MOV #32,R5
+CMP #0,R10
+0= IF
+    MOV R14,R10
+    CALL #$4078
+ELSE
+    CALL #$4080
+THEN
+MOV @R15+,0(R15)
+BIT #$200,R2
+0<> IF
+    XOR #-1,0(R15)
+    XOR #-1,R14
+    ADD #1,0(R15)
+    ADDC #0,R14
+    BIC #$200,R2
+    CMP #0,R10
+    0<> IF
+        SUB #1,0(R15)
+        SUBC #0,R14 
+    THEN
+THEN                
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[THEN]  ; end of software/hardware_MPY
+
+[UNDEFINED] 2VARIABLE [IF]
+: 2VARIABLE
+CREATE 
+HI2LO
+ADD #4,&$1DC6
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2CONSTANT [IF]
+: 2CONSTANT
+CREATE
+, ,
+DOES>
+2@
+;
+[THEN]
+
+[UNDEFINED] 2VALUE [IF]
+: 2VALUE
+CREATE , ,
+DOES>
+HI2LO
+MOV @R1+,R13
+BIT #$200,R2
+0= IF
+   MOV #2@,R0
+THEN 
+BIC #$200,R2
+MOV #2!,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2LITERAL [IF]
+CODE 2LITERAL
+BIS #$200,R2
+MOV #LITERAL,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] D.R [IF]
+: D.R
+>R SWAP OVER DABS <# #S ROT SIGN #> 
+R> OVER - SPACES TYPE 
+;
+[THEN]
+
+RST_HERE
+
+[UNDEFINED] VARIABLE [IF]
+: VARIABLE
+CREATE 
+HI2LO
+MOV @R1+,R13
+ADD #2,&$1DC6
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CELLS [IF]
+CODE CELLS
+ADD R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ALLOT [IF]
+CODE ALLOT
+ADD R14,&$1DC6
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DEPTH [IF]
+CODE DEPTH
+MOV R14,-2(R15)
+MOV #$1C80,R14
+SUB R15,R14
+RRA R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0= [IF]
+CODE 0=
+SUB #1,R14
+SUBC R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SOURCE [IF]
+CODE SOURCE
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1DC0,R14
+MOV &$1DC2,0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >IN [IF]
+$1DC4 CONSTANT >IN
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DROP [IF]
+CODE DROP
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1+ [IF]
+CODE 1+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CHAR [IF]
+: CHAR
+    $20 WORD 1+ C@
+;
+[THEN]
+
+[UNDEFINED] [CHAR] [IF]
+: [CHAR]
+    CHAR POSTPONE LITERAL
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] 2/ [IF]
+CODE 2/
+RRA R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] INVERT [IF]
+CODE INVERT
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] RSHIFT [IF]
+CODE RSHIFT
+            MOV @R15+,R10
+            AND #$1F,R14
+0<> IF
+    BEGIN   BIC #1,R2
+            RRC R10
+            SUB #1,R14
+    0= UNTIL
+THEN        MOV R10,R14
+            MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0< [IF]
+CODE 0<
+ADD R14,R14
+SUBC R14,R14
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] S>D [IF]
+: S>D
+    DUP 0<
+;
+[THEN]
+
+[UNDEFINED] 1- [IF]
+CODE 1-
+SUB #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] UM/MOD [IF]
+CODE UM/MOD
+    PUSH #DROP
+    MOV #$4066,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SM/REM [IF]
+CODE SM/REM
+MOV R14,R12
+MOV @R15,R11
+CMP #0,R14
+S< IF
+    XOR #-1,R14
+    ADD #1,R14
+THEN
+CMP #0,0(R15)
+S< IF
+    XOR #-1,2(R15)
+    XOR #-1,0(R15)
+    ADD #1,2(R15)
+    ADDC #0,0(R15)
+THEN
+PUSHM #3,R13
+LO2HI
+    UM/MOD
+HI2LO
+POPM #3,R13
+CMP #0,R11
+S< IF
+    XOR #-1,0(R15)
+    ADD #1,0(R15)
+THEN
+XOR R12,R11
+CMP #0,R11
+S< IF
+    XOR #-1,R14
+    ADD #1,R14
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] FM/MOD [IF]
+: FM/MOD
+SM/REM
+HI2LO
+CMP #0,0(R15)
+0<> IF
+    CMP #1,R14
+    S< IF
+      ADD R12,0(R15)
+      SUB #1,R14
+    THEN
+THEN
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] NIP [IF]
+CODE NIP
+ADD #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] / [IF]
+: /
+>R DUP 0< R> FM/MOD NIP
+;
+[THEN]
+
+[UNDEFINED] NEGATE [IF]
+CODE NEGATE
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] HERE [IF]
+CODE HERE
+MOV #$4028,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CHARS [IF]
+CODE CHARS
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MOVE [IF]
+CODE MOVE
+MOV R14,R10
+MOV @R15+,R8
+MOV @R15+,R9
+MOV @R15+,R14
+CMP #0,R10
+0<> IF
+    CMP R9,R8
+    0<> IF
+        U< IF
+            BEGIN
+                MOV.B @R9+,0(R8)
+                ADD #1,R8
+                SUB #1,R10
+            0= UNTIL
+            MOV @R13+,R0
+        THEN
+        ADD R10,R8
+        ADD R10,R9
+        BEGIN
+            SUB #1,R9
+            SUB #1,R8
+            MOV.B @R9,0(R8)
+            SUB #1,R10
+        0= UNTIL
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DECIMAL [IF]
+CODE DECIMAL
+MOV #$0A,&$1DDC
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] BASE [IF]
+$1DDC CONSTANT BASE
+[THEN]
+
+[UNDEFINED] ( [IF]
+: ( 
+')' WORD DROP
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] .( [IF]
+CODE .(
+MOV #0,&$1DB4
+COLON
+')' WORD
+COUNT TYPE
+$20 $1DB4 !
+; IMMEDIATE
+[THEN]
+
+
+
+
+
+
+
+ 0 CONSTANT FALSE
+-1 CONSTANT TRUE
+
+VARIABLE VERBOSE
+    FALSE VERBOSE !
+
+
+VARIABLE ACTUAL-DEPTH
+CREATE ACTUAL-RESULTS 20 CELLS ALLOT
+
+: T{
+    ;
+
+: ->
+    DEPTH DUP ACTUAL-DEPTH !
+    ?DUP IF
+        0 DO ACTUAL-RESULTS I CELLS + ! LOOP
+    THEN ;
+
+: }T
+
+    DEPTH ACTUAL-DEPTH @ = IF
+        DEPTH ?DUP IF
+        0 DO
+            ACTUAL-RESULTS I CELLS + @
+            = 0= IF TRUE ABORT" INCORRECT RESULT" THEN
+        LOOP
+        THEN
+    ELSE
+        TRUE ABORT" WRONG NUMBER OF RESULTS"
+    THEN ;
+
+: TESTING
+    SOURCE VERBOSE @
+    IF DUP >R TYPE CR R> >IN !
+    ELSE >IN ! DROP [CHAR] * EMIT
+    THEN ;
+
+ECHO
+
+
+DECIMAL
+
+0 INVERT        CONSTANT 1SD
+1SD 1 RSHIFT    CONSTANT MAX-INTD
+MAX-INTD INVERT CONSTANT MIN-INTD
+MAX-INTD 2/     CONSTANT HI-INT
+MIN-INTD 2/     CONSTANT LO-INT
+
+
+TESTING interpreter and compiler reading double numbers, with/without prefixes
+
+T{ 1. -> 1 0 }T
+T{ -2. -> -2 -1 }T
+T{ : RDL1 3. ; RDL1 -> 3 0 }T
+T{ : RDL2 -4. ; RDL2 -> -4 -1 }T
+
+VARIABLE OLD-DBASE
+DECIMAL BASE @ OLD-DBASE !
+T{ #12346789. -> 12346789. }T
+T{ #-12346789. -> -12346789. }T
+T{ $12aBcDeF. -> 313249263. }T
+T{ $-12AbCdEf. -> -313249263. }T
+T{ %10010110. -> 150. }T
+T{ %-10010110. -> -150. }T
+T{ BASE @ OLD-DBASE @ = -> TRUE }T
+
+16 OLD-DBASE ! 16 BASE !
+T{ #12346789. -> BC65A5. }T
+T{ #-12346789. -> -BC65A5. }T
+T{ $12aBcDeF. -> 12AbCdeF. }T
+T{ $-12AbCdEf. -> -12ABCDef. }T
+T{ %10010110. -> 96. }T
+T{ %-10010110. -> -96. }T
+T{ BASE @ OLD-DBASE @ = -> TRUE }T
+
+DECIMAL
+T{ : dnmp  #8327. $-2cbe. %011010111. ; dnmp -> 8327. -11454. 215. }T
+
+TESTING 2CONSTANT
+
+T{ 1 2 2CONSTANT 2C1 -> }T
+T{ 2C1 -> 1 2 }T
+T{ : CD1 2C1 ; -> }T
+T{ CD1 -> 1 2 }T
+T{ : CD2 2CONSTANT ; -> }T
+T{ -1 -2 CD2 2C2 -> }T
+T{ 2C2 -> -1 -2 }T
+T{ 4 5 2CONSTANT 2C3 IMMEDIATE 2C3 -> 4 5 }T
+T{ : CD6 2C3 2LITERAL ; CD6 -> 4 5 }T
+
+
+1SD MAX-INTD 2CONSTANT MAX-2INT
+0   MIN-INTD 2CONSTANT MIN-2INT
+MAX-2INT 2/  2CONSTANT HI-2INT
+MIN-2INT 2/  2CONSTANT LO-2INT
+
+TESTING DNEGATE
+
+T{ 0. DNEGATE -> 0. }T
+T{ 1. DNEGATE -> -1. }T
+T{ -1. DNEGATE -> 1. }T
+T{ MAX-2INT DNEGATE -> MIN-2INT SWAP 1+ SWAP }T
+T{ MIN-2INT SWAP 1+ SWAP DNEGATE -> MAX-2INT }T
+
+TESTING D+ with small integers
+
+T{  0.  5. D+ ->  5. }T
+T{ -5.  0. D+ -> -5. }T
+T{  1.  2. D+ ->  3. }T
+T{  1. -2. D+ -> -1. }T
+T{ -1.  2. D+ ->  1. }T
+T{ -1. -2. D+ -> -3. }T
+T{ -1.  1. D+ ->  0. }T
+
+TESTING D+ with mid range integers
+
+T{  0  0  0  5 D+ ->  0  5 }T
+T{ -1  5  0  0 D+ -> -1  5 }T
+T{  0  0  0 -5 D+ ->  0 -5 }T
+T{  0 -5 -1  0 D+ -> -1 -5 }T
+T{  0  1  0  2 D+ ->  0  3 }T
+T{ -1  1  0 -2 D+ -> -1 -1 }T
+T{  0 -1  0  2 D+ ->  0  1 }T
+T{  0 -1 -1 -2 D+ -> -1 -3 }T
+T{ -1 -1  0  1 D+ -> -1  0 }T
+T{ MIN-INTD 0 2DUP D+ -> 0 1 }T
+T{ MIN-INTD S>D MIN-INTD 0 D+ -> 0 0 }T
+
+TESTING D+ with large double integers
+
+T{ HI-2INT 1. D+ -> 0 HI-INT 1+ }T
+T{ HI-2INT 2DUP D+ -> 1SD 1- MAX-INTD }T
+T{ MAX-2INT MIN-2INT D+ -> -1. }T
+T{ MAX-2INT LO-2INT D+ -> HI-2INT }T
+T{ HI-2INT MIN-2INT D+ 1. D+ -> LO-2INT }T
+T{ LO-2INT 2DUP D+ -> MIN-2INT }T
+
+TESTING D- with small integers
+
+T{  0.  5. D- -> -5. }T
+T{  5.  0. D- ->  5. }T
+T{  0. -5. D- ->  5. }T
+T{  1.  2. D- -> -1. }T
+T{  1. -2. D- ->  3. }T
+T{ -1.  2. D- -> -3. }T
+T{ -1. -2. D- ->  1. }T
+T{ -1. -1. D- ->  0. }T
+
+TESTING D- with mid-range integers
+
+T{  0  0  0  5 D- ->  0 -5 }T
+T{ -1  5  0  0 D- -> -1  5 }T
+T{  0  0 -1 -5 D- ->  1  4 }T
+T{  0 -5  0  0 D- ->  0 -5 }T
+T{ -1  1  0  2 D- -> -1 -1 }T
+T{  0  1 -1 -2 D- ->  1  2 }T
+T{  0 -1  0  2 D- ->  0 -3 }T
+T{  0 -1  0 -2 D- ->  0  1 }T
+T{  0  0  0  1 D- ->  0 -1 }T
+T{ MIN-INTD 0 2DUP D- -> 0. }T
+T{ MIN-INTD S>D MAX-INTD 0 D- -> 1 1SD }T
+
+TESTING D- with large integers
+
+T{ MAX-2INT MAX-2INT D- -> 0. }T
+T{ MIN-2INT MIN-2INT D- -> 0. }T
+T{ MAX-2INT HI-2INT  D- -> LO-2INT DNEGATE }T
+T{ HI-2INT  LO-2INT  D- -> MAX-2INT }T
+T{ LO-2INT  HI-2INT  D- -> MIN-2INT 1. D+ }T
+T{ MIN-2INT MIN-2INT D- -> 0. }T
+T{ MIN-2INT LO-2INT  D- -> LO-2INT }T
+
+TESTING D0< D0=
+
+T{ 0. D0< -> FALSE }T
+T{ 1. D0< -> FALSE }T
+T{ MIN-INTD 0 D0< -> FALSE }T
+T{ 0 MAX-INTD D0< -> FALSE }T
+T{ MAX-2INT  D0< -> FALSE }T
+T{ -1. D0< -> TRUE }T
+T{ MIN-2INT D0< -> TRUE }T
+
+T{ 1. D0= -> FALSE }T
+T{ MIN-INTD 0 D0= -> FALSE }T
+T{ MAX-2INT  D0= -> FALSE }T
+T{ -1 MAX-INTD D0= -> FALSE }T
+T{ 0. D0= -> TRUE }T
+T{ -1. D0= -> FALSE }T
+T{ 0 MIN-INTD D0= -> FALSE }T
+
+TESTING D2* D2/
+
+T{ 0. D2* -> 0. D2* }T
+T{ MIN-INTD 0 D2* -> 0 1 }T
+T{ HI-2INT D2* -> MAX-2INT 1. D- }T
+T{ LO-2INT D2* -> MIN-2INT }T
+
+T{ 0. D2/ -> 0. }T
+T{ 1. D2/ -> 0. }T
+T{ 0 1 D2/ -> MIN-INTD 0 }T
+T{ MAX-2INT D2/ -> HI-2INT }T
+T{ -1. D2/ -> -1. }T
+T{ MIN-2INT D2/ -> LO-2INT }T
+
+TESTING D< D=
+
+T{  0.  1. D< -> TRUE  }T
+T{  0.  0. D< -> FALSE }T
+T{  1.  0. D< -> FALSE }T
+T{ -1.  1. D< -> TRUE  }T
+T{ -1.  0. D< -> TRUE  }T
+T{ -2. -1. D< -> TRUE  }T
+T{ -1. -2. D< -> FALSE }T
+T{ 0 1   1. D< -> FALSE }T
+T{ 1.  0 1  D< -> TRUE  }T
+T{ 0 -1 1 -2 D< -> FALSE }T
+T{ 1 -2 0 -1 D< -> TRUE  }T
+T{ -1. MAX-2INT D< -> TRUE }T
+T{ MIN-2INT MAX-2INT D< -> TRUE }T
+T{ MAX-2INT -1. D< -> FALSE }T
+T{ MAX-2INT MIN-2INT D< -> FALSE }T
+T{ MAX-2INT 2DUP -1. D+ D< -> FALSE }T
+T{ MIN-2INT 2DUP  1. D+ D< -> TRUE  }T
+T{ MAX-INTD S>D 2DUP 1. D+ D< -> TRUE }T
+
+T{ -1. -1. D= -> TRUE  }T
+T{ -1.  0. D= -> FALSE }T
+T{ -1.  1. D= -> FALSE }T
+T{  0. -1. D= -> FALSE }T
+T{  0.  0. D= -> TRUE  }T
+T{  0.  1. D= -> FALSE }T
+T{  1. -1. D= -> FALSE }T
+T{  1.  0. D= -> FALSE }T
+T{  1.  1. D= -> TRUE  }T
+
+T{ 0 -1 0 -1 D= -> TRUE  }T
+T{ 0 -1 0  0 D= -> FALSE }T
+T{ 0 -1 0  1 D= -> FALSE }T
+T{ 0  0 0 -1 D= -> FALSE }T
+T{ 0  0 0  0 D= -> TRUE  }T
+T{ 0  0 0  1 D= -> FALSE }T
+T{ 0  1 0 -1 D= -> FALSE }T
+T{ 0  1 0  0 D= -> FALSE }T
+T{ 0  1 0  1 D= -> TRUE  }T
+
+T{ MAX-2INT MIN-2INT D= -> FALSE }T
+T{ MAX-2INT 0. D= -> FALSE }T
+T{ MAX-2INT MAX-2INT D= -> TRUE }T
+T{ MAX-2INT HI-2INT  D= -> FALSE }T
+T{ MAX-2INT MIN-2INT D= -> FALSE }T
+T{ MIN-2INT MIN-2INT D= -> TRUE }T
+T{ MIN-2INT LO-2INT  D=  -> FALSE }T
+T{ MIN-2INT MAX-2INT D= -> FALSE }T
+
+TESTING 2LITERAL 2VARIABLE
+
+T{ : CD3 [ MAX-2INT ] 2LITERAL ; -> }T
+T{ CD3 -> MAX-2INT }T
+T{ 2VARIABLE 2V1 -> }T
+T{ 0. 2V1 2! -> }T
+T{ 2V1 2@ -> 0. }T
+T{ -1 -2 2V1 2! -> }T
+T{ 2V1 2@ -> -1 -2 }T
+T{ : CD4 2VARIABLE ; -> }T
+T{ CD4 2V2 -> }T
+T{ : CD5 2V2 2! ; -> }T
+T{ -2 -1 CD5 -> }T
+T{ 2V2 2@ -> -2 -1 }T
+T{ 2VARIABLE 2V3 IMMEDIATE 5 6 2V3 2! -> }T
+T{ 2V3 2@ -> 5 6 }T
+T{ : CD7 2V3 [ 2@ ] 2LITERAL ; CD7 -> 5 6 }T
+T{ : CD8 [ 6 7 ] 2V3 [ 2! ] ; 2V3 2@ -> 6 7 }T
+
+TESTING DMAX DMIN
+
+T{  1.  2. DMAX -> 2. }T
+T{  1.  0. DMAX -> 1. }T
+T{  1. -1. DMAX -> 1. }T
+T{  1.  1. DMAX -> 1. }T
+T{  0.  1. DMAX -> 1. }T
+T{  0. -1. DMAX -> 0. }T
+T{ -1.  1. DMAX -> 1. }T
+T{ -1. -2. DMAX -> -1. }T
+
+T{ MAX-2INT HI-2INT  DMAX -> MAX-2INT }T
+T{ MAX-2INT MIN-2INT DMAX -> MAX-2INT }T
+T{ MIN-2INT MAX-2INT DMAX -> MAX-2INT }T
+T{ MIN-2INT LO-2INT  DMAX -> LO-2INT  }T
+
+T{ MAX-2INT  1. DMAX -> MAX-2INT }T
+T{ MAX-2INT -1. DMAX -> MAX-2INT }T
+T{ MIN-2INT  1. DMAX ->  1. }T
+T{ MIN-2INT -1. DMAX -> -1. }T
+
+
+T{  1.  2. DMIN ->  1. }T
+T{  1.  0. DMIN ->  0. }T
+T{  1. -1. DMIN -> -1. }T
+T{  1.  1. DMIN ->  1. }T
+T{  0.  1. DMIN ->  0. }T
+T{  0. -1. DMIN -> -1. }T
+T{ -1.  1. DMIN -> -1. }T
+T{ -1. -2. DMIN -> -2. }T
+
+T{ MAX-2INT HI-2INT  DMIN -> HI-2INT  }T
+T{ MAX-2INT MIN-2INT DMIN -> MIN-2INT }T
+T{ MIN-2INT MAX-2INT DMIN -> MIN-2INT }T
+T{ MIN-2INT LO-2INT  DMIN -> MIN-2INT }T
+
+T{ MAX-2INT  1. DMIN ->  1. }T
+T{ MAX-2INT -1. DMIN -> -1. }T
+T{ MIN-2INT  1. DMIN -> MIN-2INT }T
+T{ MIN-2INT -1. DMIN -> MIN-2INT }T
+
+TESTING D>S DABS
+
+T{  1234  0 D>S ->  1234 }T
+T{ -1234 -1 D>S -> -1234 }T
+T{ MAX-INTD  0 D>S -> MAX-INTD }T
+T{ MIN-INTD -1 D>S -> MIN-INTD }T
+
+T{  1. DABS -> 1. }T
+T{ -1. DABS -> 1. }T
+T{ MAX-2INT DABS -> MAX-2INT }T
+T{ MIN-2INT 1. D+ DABS -> MAX-2INT }T
+
+TESTING M+ M*/
+
+T{ HI-2INT   1 M+ -> HI-2INT   1. D+ }T
+T{ MAX-2INT -1 M+ -> MAX-2INT -1. D+ }T
+T{ MIN-2INT  1 M+ -> MIN-2INT  1. D+ }T
+T{ LO-2INT  -1 M+ -> LO-2INT  -1. D+ }T
+
+
+: ?FLOORED [ -3 2 / -2 = ] LITERAL IF 1. D- THEN ;
+
+T{  5.  7 11 M*/ ->  3. }T
+T{  5. -7 11 M*/ -> -3. ?FLOORED }T
+T{ -5.  7 11 M*/ -> -3. ?FLOORED }T
+T{ -5. -7 11 M*/ ->  3. }T
+T{ MAX-2INT  8 16 M*/ -> HI-2INT }T
+T{ MAX-2INT -8 16 M*/ -> HI-2INT DNEGATE ?FLOORED }T
+T{ MIN-2INT  8 16 M*/ -> LO-2INT }T
+T{ MIN-2INT -8 16 M*/ -> LO-2INT DNEGATE }T
+T{ MAX-2INT MAX-INTD MAX-INTD M*/ -> MAX-2INT }T
+T{ MAX-2INT MAX-INTD 2/ MAX-INTD M*/ -> MAX-INTD 1- HI-2INT NIP }T
+T{ MIN-2INT LO-2INT NIP 1+ DUP 1- NEGATE M*/ -> 0 MAX-INTD 1- }T
+T{ MIN-2INT LO-2INT NIP 1- MAX-INTD M*/ -> MIN-INTD 3 + HI-2INT NIP 2 + }T
+T{ MAX-2INT LO-2INT NIP DUP NEGATE M*/ -> MAX-2INT DNEGATE }T
+T{ MIN-2INT MAX-INTD DUP M*/ -> MIN-2INT }T
+
+TESTING D. D.R
+
+MAX-2INT 71 73 M*/ 2CONSTANT DBL1
+MIN-2INT 73 79 M*/ 2CONSTANT DBL2
+
+: D>ASCII  ( D -- CADDR U )
+   DUP >R <# DABS #S R> SIGN #>    ( -- CADDR1 U )
+   HERE SWAP 2DUP 2>R CHARS DUP ALLOT MOVE 2R>
+;
+
+DBL1 D>ASCII 2CONSTANT "DBL1"
+DBL2 D>ASCII 2CONSTANT "DBL2"
+
+: DOUBLEOUTPUT
+   CR ." You should see lines duplicated:" CR
+   5 SPACES "DBL1" TYPE CR
+   5 SPACES DBL1 D. CR
+   8 SPACES "DBL1" DUP >R TYPE CR
+   5 SPACES DBL1 R> 3 + D.R CR
+   5 SPACES "DBL2" TYPE CR
+   5 SPACES DBL2 D. CR
+   10 SPACES "DBL2" DUP >R TYPE CR
+   5 SPACES DBL2 R> 5 + D.R CR
+;
+
+T{ DOUBLEOUTPUT -> }T
+
+TESTING 2ROT DU< (Double Number extension words)
+
+T{ 1. 2. 3. 2ROT -> 2. 3. 1. }T
+T{ MAX-2INT MIN-2INT 1. 2ROT -> MIN-2INT 1. MAX-2INT }T
+
+T{  1.  1. DU< -> FALSE }T
+T{  1. -1. DU< -> TRUE  }T
+T{ -1.  1. DU< -> FALSE }T
+T{ -1. -2. DU< -> FALSE }T
+T{ 0 1   1. DU< -> FALSE }T
+T{ 1.  0 1  DU< -> TRUE  }T
+T{ 0 -1 1 -2 DU< -> FALSE }T
+T{ 1 -2 0 -1 DU< -> TRUE  }T
+
+T{ MAX-2INT HI-2INT  DU< -> FALSE }T
+T{ HI-2INT  MAX-2INT DU< -> TRUE  }T
+T{ MAX-2INT MIN-2INT DU< -> TRUE }T
+T{ MIN-2INT MAX-2INT DU< -> FALSE }T
+T{ MIN-2INT LO-2INT  DU< -> TRUE }T
+
+TESTING 2VALUE
+
+T{ 1111 2222 2VALUE 2VAL -> }T
+T{ 2VAL -> 1111 2222 }T
+T{ 3333 4444 TO 2VAL -> }T
+T{ 2VAL -> 3333 4444 }T
+T{ : TO-2VAL TO 2VAL ; 5555 6666 TO-2VAL -> }T
+T{ 2VAL -> 5555 6666 }T
+
+
+CR .( End of Double-Number word tests) CR
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/FF_SPECS.4TH b/MSP430-FORTH/MSP_EXP430FR5994/FF_SPECS.4TH
new file mode 100644 (file)
index 0000000..37a909d
--- /dev/null
@@ -0,0 +1,563 @@
+
+; ---------------------------------
+; FF_SPECS.4th for MSP_EXP430FR5994
+; ---------------------------------
+
+CODE ABORT_FF_SPECS
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_FF_SPECS
+
+[UNDEFINED] AND [IF]
+CODE AND
+AND @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DROP [IF]
+CODE DROP
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ROT [IF]
+CODE ROT
+MOV @R15,R10
+MOV R14,0(R15)
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0= [IF]
+CODE 0=
+SUB #1,R14
+SUBC R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0< [IF]
+CODE 0<
+ADD R14,R14
+SUBC R14,R14
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U< [IF]
+CODE U<
+SUB @R15+,R14
+0<> IF
+    MOV #-1,R14
+    U< IF
+        AND #0,R14
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] BEGIN [IF]
+CODE BEGIN
+    MOV #$4028,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] UNTIL [IF]
+CODE UNTIL
+    MOV #$4034,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE AGAIN
+MOV #$403A,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] WHILE [IF]
+: WHILE
+POSTPONE IF SWAP
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] REPEAT [IF]
+: REPEAT
+POSTPONE AGAIN POSTPONE THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] HERE [IF]
+CODE HERE
+MOV #$4028,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1+ [IF]
+CODE 1+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2* [IF]
+CODE 2*
+ADD R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] UM/MOD [IF]
+CODE UM/MOD
+    PUSH #DROP
+    MOV #$4066,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MOVE [IF]
+CODE MOVE
+MOV R14,R10
+MOV @R15+,R8
+MOV @R15+,R9
+MOV @R15+,R14
+CMP #0,R10
+0<> IF
+    CMP R9,R8
+    0<> IF
+        U< IF
+            BEGIN
+                MOV.B @R9+,0(R8)
+                ADD #1,R8
+                SUB #1,R10
+            0= UNTIL
+            MOV @R13+,R0
+        THEN
+        ADD R10,R8
+        ADD R10,R9
+        BEGIN
+            SUB #1,R9
+            SUB #1,R8
+            MOV.B @R9,0(R8)
+            SUB #1,R10
+        0= UNTIL
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] WORDS [IF]
+: WORDS
+CR 
+$1DCA @ $1CE4
+$1810 @ 2*
+MOVE
+BEGIN
+    0 DUP
+    $1810 @ 2* 0
+        DO
+        DUP I $1CE4 + @
+            U< IF
+                DROP DROP
+                I DUP $1CE4 + @
+            THEN
+        2 +LOOP
+    ?DUP
+WHILE
+    DUP
+    2 - @
+    ROT
+    $1CE4 +
+    !
+    DUP
+    COUNT $7F AND
+    TYPE
+    C@ $0F AND
+    $10 SWAP - SPACES
+REPEAT
+DROP
+;
+[THEN]
+
+[UNDEFINED] CASE [IF]
+: CASE 0 ; IMMEDIATE
+
+: OF
+1+     
+>R     
+POSTPONE OVER POSTPONE =
+POSTPONE IF    
+POSTPONE DROP  
+R>     
+; IMMEDIATE 
+
+: ENDOF
+>R     
+POSTPONE ELSE 
+R>     
+; IMMEDIATE 
+
+: ENDCASE
+POSTPONE DROP
+0 DO 
+    POSTPONE THEN 
+LOOP 
+; IMMEDIATE 
+[THEN]
+
+[UNDEFINED] S_ [IF]
+CODE S_
+MOV #0,&$1DB4
+COLON
+$4014 ,
+$20 WORD
+HI2LO
+MOV.B @R14,R14
+ADD #1,R14
+BIT #1,R14
+ADDC R14,&$1DC6
+MOV @R15+,R14
+MOV @R1+,R13
+MOV #$20,&$1DB4
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ESC [IF]
+CODE ESC
+CMP #0,&$1DBE
+0= IF MOV @R13+,R0
+THEN
+COLON          
+$1B
+POSTPONE LITERAL
+POSTPONE EMIT
+POSTPONE S_
+POSTPONE TYPE
+; IMMEDIATE
+[THEN]
+
+: SPECS
+PWR_STATE
+ECHO
+ESC [8;40;80t
+39 0 DO CR LOOP
+ESC [H
+ESC [7m
+$0D EMIT ." FastForth V"
+$180E @         
+0 <# # $08 HOLD # '.' HOLD #S #> TYPE
+."  for MSP430FR"
+HERE
+$1A04 @
+CASE
+    $8102   OF  ." 5738,"   $C200   ENDOF 
+    $8103   OF  ." 5739,"   $C200   ENDOF
+    $8160   OF  ." 5948,"   $4400   ENDOF
+    $8169   OF  ." 5969,"   $4400   ENDOF
+    $825D   OF  ." 5972,"   $4400   ENDOF
+    $81A8   OF  ." 6989,"   $4400   ENDOF
+    $810D   OF  ." 5986,"   $4400   ENDOF
+    $81F0   OF  ." 4133,"   $C400   ENDOF
+    $8240   OF  ." 2433,"   $C400   ENDOF
+    $82A1   OF  ." 5994,"   $4000   ENDOF
+    $82A6   OF  ." 5962,"   $4000   ENDOF
+    $830C   OF  ." 2355,"   $8000   ENDOF
+    $830D   OF  ." 2353,"   $C000   ENDOF
+    $831E   OF  ." 2155,"   $8000   ENDOF
+    $831D   OF  ." 2153,"   $C000   ENDOF
+    $832A   OF  ." 2476,"   $8000   ENDOF
+    $832B   OF  ." 2475,"   $8000   ENDOF
+    $833C   OF  ." 2633,"   $C400   ENDOF
+    $833D   OF  ." 2533,"   $C400   ENDOF
+    ABORT" xxxx <-- unrecognized device!"
+ENDCASE
+['] ['] DUP @ $1284 =
+IF ."  DTC=1," DROP
+ELSE 2 + @ $1284 =
+    IF ."  DTC=2,"
+    ELSE ."  DTC=3,"
+    THEN
+THEN
+$20 EMIT 
+$1810 @ U. $08 EMIT ." -Entry word set, "
+$1800 @ 0 1000 UM/MOD U.
+?DUP IF $08 EMIT ',' EMIT U.
+THEN ." MHz, "
+- U. ." bytes"
+ESC [0m
+CR
+." /COUNTED-STRING   = 255" CR 
+." /HOLD             = 34" CR
+." /PAD              = 84" CR
+." ADDRESS-UNIT-BITS = 16" CR
+." FLOORED           = true" CR
+." MAX-CHAR          = 255" CR
+." MAX-N             = 32767" CR
+." MAX-U             = 65535" CR
+." MAX-D             = 2147483647" CR
+." MAX-UD            = 4294967295" CR
+." STACK-CELLS       = 48" CR
+." RETURN-STACK-CELLS= 48" CR
+." DeFiNiTiOnS aRe CaSe-InSeNsItIvE" CR
+." Strings are case-sensitive" CR
+CR 
+ESC [7m ." KERNEL SPECS" ESC [0m
+CR
+$1812 @
+    DUP 0< IF ." 32.768kHz XTAL" CR THEN
+2*  DUP 0< IF ." (4/2) UART TERMINAL" CR 2*
+        ELSE  2* DUP
+            0< IF ." (RTS) UART TERMINAL" CR
+            THEN
+        THEN
+2*  DUP 0< IF ." (XON/XOFF) UART TERMINAL" CR
+        THEN
+2*  DUP 0< IF ." Half-Duplex TERMINAL" CR THEN
+2*  DUP 0< IF ." I2C_Master TERMINAL" CR THEN
+2*  DUP 0< IF ." Q15.16 input" CR THEN
+2*  DUP 0< IF ." DOUBLE input" CR THEN
+2*  DUP 0< IF ." MSP430_X assembler" CR 2* 2*
+        ELSE 2*  DUP
+            0< IF ." MSP430 Assembler"
+                2*  DUP 0< IF ."  with 20bits address"
+                    THEN CR
+            ELSE 2*
+            THEN
+        THEN
+2*
+2*
+2*
+2*
+2* 0< IF
+    [DEFINED] DEFER [IF] ." DEFER word set" CR [THEN]
+    [DEFINED] ALSO  [IF] ." VOCABULARY word set" CR [THEN]
+    [DEFINED] LOAD" [IF] ." SD_CARD Loader" CR [THEN]
+    [DEFINED] BOOT  [IF] ." bootloader" CR [THEN]
+    [DEFINED] READ" [IF] ." SD_CARD Read/Write" CR [THEN]
+    CR 
+    ESC [7m ." OPTIONS" ESC [0m
+    CR
+    [DEFINED] {CORE_ANS}  [IF] ." ANS94 core" CR [THEN]
+    [DEFINED] {DOUBLE}    [IF] ." DOUBLE word set" CR [THEN]
+    [DEFINED] {TOOLS}     [IF] ." UTILITY" CR [THEN]
+    [DEFINED] {FIXPOINT}  [IF] ." Q15.16 ADD SUB MUL DIV" CR [THEN]
+    [DEFINED] {CORDIC}    [IF] ." CORDIC engine" CR [THEN]
+    [DEFINED] {SD_TOOLS}  [IF] ." SD_TOOLS" CR [THEN]
+    [DEFINED] {RTC}       [IF] ." RTC utility" CR [THEN]
+    [DEFINED] {UARTI2CS}  [IF] ." UART to I2C_FastForth bridge" CR [THEN]
+    [DEFINED] ALSO
+    [IF] 
+        CR 
+        ESC [7m ." ASSEMBLER word set" ESC [0m
+        ALSO ASSEMBLER WORDS PREVIOUS
+        CR
+    [THEN]
+THEN
+CR
+ESC [7m ." FORTH word set"  ESC [0m
+WORDS
+CR
+HI2LO
+MOV #WARM+4,R0
+ENDCODE
+
+SPECS
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/FIXPOINT.4TH b/MSP430-FORTH/MSP_EXP430FR5994/FIXPOINT.4TH
new file mode 100644 (file)
index 0000000..529288d
--- /dev/null
@@ -0,0 +1,447 @@
+
+CODE ABORT_FIXPOINT
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1812,R14
+BIT #$400,R14
+0<> IF MOV #0,R14 THEN
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+ABORT" buil FastForth with FIXPOINT_INPUT addon !"
+PWR_STATE
+$1B EMIT $63 EMIT
+;
+
+ABORT_FIXPOINT
+
+; -----------------------------------------------------
+; FIXPOINT.4th for MSP_EXP430FR5994 
+; -----------------------------------------------------
+
+[DEFINED] {FIXPOINT} [IF]  {FIXPOINT} [THEN]
+
+MARKER {FIXPOINT}
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U< [IF]
+CODE U<
+SUB @R15+,R14
+0<> IF
+    MOV #-1,R14
+    U< IF
+        AND #0,R14
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DABS [IF]
+CODE DABS
+AND #-1,R14
+S< IF
+    XOR #-1,0(R15)
+    XOR #-1,R14
+    ADD #1,0(R15)
+    ADDC #0,R14
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] HOLDS [IF]
+CODE HOLDS
+            MOV @R15+,R9
+BW3         ADD R14,R9
+            MOV &$1DB2,R8
+BEGIN       SUB #1,R9
+            SUB #1,R14
+U>= WHILE   SUB #1,R8
+            MOV.B @R9,0(R8)
+REPEAT      MOV R8,&$1DB2
+            MOV @R15+,R14
+            MOV @R13+,R0
+ENDCODE
+[THEN]
+
+CODE F+
+            ADD @R15+,2(R15)
+            ADDC @R15+,R14
+            MOV @R13+,R0
+ENDCODE
+
+CODE F-
+            SUB @R15+,2(R15)
+            SUBC R14,0(R15)
+            MOV @R15+,R14
+            MOV @R13+,R0
+ENDCODE
+
+$1A00 4 + @ $81F3 U<
+$81EF $1A00 4 + @ U< 
+= [IF]   ; MSP430FR413x subfamily without hardware_MPY
+
+CODE UDM*
+            PUSH R13
+            PUSHM #4,R7
+            MOV 4(R15),R13
+            MOV 2(R15),R11
+            MOV @R15,R10
+            MOV #0,R7
+            MOV #0,R6
+            MOV #0,4(R15)
+            MOV #0,2(R15)
+            MOV #0,R5
+            MOV #0,R4
+            MOV #1,R9
+            MOV #0,R8
+BEGIN       CMP #0,R9    
+    0<> IF  BIT R9,R10
+    ELSE    BIT R8,R14
+    THEN
+    0<> IF  ADD R13,4(R15)
+            ADDC R11,2(R15)
+            ADDC R7,R5
+            ADDC R6,R4
+    THEN    ADD R13,R13
+            ADDC R11,R11
+            ADDC R7,R7
+            ADDC R6,R6
+            ADD R9,R9
+            ADDC R8,R8
+U>= UNTIL   MOV R5,0(R15)
+            MOV R4,R14
+            POPM #4,R7
+            MOV @R1+,R13
+            MOV @R13+,R0
+ENDCODE
+
+CODE F*
+            MOV 2(R15),R12
+            XOR R14,R12
+            BIT #$8000,2(R15)
+0<> IF      XOR #-1,2(R15)
+            XOR #-1,4(R15)
+            ADD #1,4(R15)
+            ADDC #0,2(R15)
+THEN        COLON
+            DABS UDM*
+            HI2LO
+            MOV @R1+,R13
+            MOV @R15+,R14
+            MOV @R15+,0(R15)
+            AND #-1,R12
+S< IF       XOR #-1,0(R15)
+            XOR #-1,R14
+            ADD #1,0(R15)
+            ADDC #0,R14
+THEN        MOV @R13+,R0
+ENDCODE
+
+[UNDEFINED] F#S [IF]
+CODE F#S
+            MOV @R15,R12
+            MOV #0,R11
+            PUSHM #3,R13
+            MOV 2(R15),0(R15)
+            MOV R14,2(R15)
+BEGIN       MOV &$1DDC,R14
+            LO2HI
+            UM*
+            HI2LO
+            CMP #10,R14
+    U>= IF  ADD #7,R14
+    THEN    ADD #$30,R14
+            MOV @R1,R11
+            MOV.B R14,$1D90(R11)
+            ADD #1,R11
+            MOV R11,0(R1)
+            CMP 2(R15),R11
+U>= UNTIL   POPM #3,R13
+            MOV R11,R14
+            MOV R12,2(R15)
+            MOV #0,0(R15)
+            MOV #$1D90,R9
+            GOTO BW3
+ENDCODE
+[THEN]
+
+[ELSE] ; hardware multiplier
+
+CODE F*
+            MOV 4(R15),&$4D4
+            MOV 2(R15),&$4D6
+            MOV @R15,&$4E0
+            MOV R14,&$4E2
+            ADD #4,R15
+            MOV &$4E6,0(R15)
+            MOV &$4E8,R14
+            MOV @R13+,R0
+ENDCODE
+
+[UNDEFINED] F#S [IF]
+CODE F#S
+            MOV 2(R15),R9
+            MOV @R15,2(R15)
+            MOV R9,0(R15)
+            MOV R14,R11
+            MOV #0,R12
+BEGIN       MOV @R15,&$4C0
+            MOV &$1DDC,&$4C8
+            MOV &$4E4,0(R15)
+            MOV &$4E6,R14
+            CMP #10,R14
+    U>= IF  ADD #7,R14
+    THEN    ADD #$30,R14
+            MOV.B R14,$1D90(R12)
+            ADD #1,R12
+            CMP R11,R12
+0= UNTIL    MOV R11,R14
+            MOV #0,0(R15)
+            MOV #$1D90,R9
+            GOTO BW3
+ENDCODE
+[THEN]
+
+[THEN]
+
+CODE F/
+            MOV R14,R8
+            MOV @R15+,R10
+            MOV @R15+,R9
+            MOV @R15,R11
+            PUSHM #5,R9
+            AND #-1,R8
+S< IF       XOR #-1,R10
+            XOR #-1,R8
+            ADD #1,R10
+            ADDC #0,R8
+THEN    
+            AND #-1,R9
+S< IF       XOR #-1,R11
+            XOR #-1,R9
+            ADD #1,R11
+            ADDC #0,R9
+THEN        
+            MOV R9,R7
+            MOV R11,R9
+            MOV #0,R11
+            MOV #0,R6
+            MOV #32,R5
+BW1         CMP R8,R6
+    0= IF   CMP R10,R7
+    THEN
+    U>= IF  SUB R10,R7
+            SUBC R8,R6
+    THEN
+    BEGIN   ADDC R12,R12
+            ADDC R14,R14
+            SUB #1,R5
+    U>= WHILE
+            ADD R11,R11
+            ADDC R9,R9
+            ADDC R7,R7
+            ADDC R6,R6
+            U< ?GOTO BW1
+            SUB R10,R7
+            SUBC R8,R6
+            BIS #1,R2
+    REPEAT
+            POPM #5,R9
+            XOR R9,R8
+            CMP #0,R8
+S< IF       XOR #-1,R12
+            XOR #-1,R14
+            ADD #1,R12
+            ADDC #0,R14
+THEN
+            MOV R12,0(R15)
+            MOV @R13+,R0
+ENDCODE
+
+[UNDEFINED] F. [IF]
+CODE F.
+MOV R14,R12
+MOV #4,R11
+MOV &$1DDC,R10
+CMP #$0A,R10
+0= IF
+    ADD #1,R11
+ELSE
+    CMP #2,R10
+    0= IF
+        MOV #$10,R11
+    THEN
+THEN
+PUSHM #3,R13
+LO2HI
+    <# DABS
+    R> F#S
+    $2C HOLD
+    #S
+    R> SIGN #>
+    TYPE $20 EMIT
+;
+
+CODE S>F
+    SUB #2,R15
+    MOV #0,0(R15)
+    MOV @R13+,R0
+ENDCODE
+[THEN]
+
+RST_HERE
+
+; -----------------------
+; complement (volatile) for tests below
+; -----------------------
+
+[UNDEFINED] ! [IF]
+CODE !
+MOV @R15+,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DOES> [IF]
+CODE DOES> 
+MOV &$1DBA,R10
+MOV #DODOES,0(R10)
+MOV R13,2(R10)
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2CONSTANT [IF]
+: 2CONSTANT
+CREATE , ,
+DOES>
+HI2LO
+SUB #2,R15
+MOV 2(R14),0(R15)
+MOV @R14,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D. [IF]
+CODE D.
+MOV #U.,R10
+ADD #10,R10
+MOV R10,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] BASE [IF]
+$1DDC CONSTANT BASE
+[THEN]
+
+ECHO
+
+; -----------------------
+; (volatile) tests for FIXPOINT.asm|FIXPOINT.4th for MSP_EXP430FR5994
+; -----------------------
+
+3,14159 2CONSTANT PI
+PI -1,0 F* 2CONSTANT -PI
+
+PI D.   ; D. is not appropriate --> 
+-PI D.  ; D. is not appropriate -->
+
+PI F.   ; F. is a good choice! ---> 
+-PI F.  ; F. is a good choice! --->
+
+$10 BASE !   PI F. 
+            -PI F.
+%10 BASE !   PI F. 
+            -PI F.
+#10 BASE !   PI F. 
+            -PI F.
+
+ PI  2,0 F* F.     
+ PI -2,0 F* F.    
+-PI  2,0 F* F.    
+-PI -2,0 F* F.     
+
+ PI  2,0 F/ F.     
+ PI -2,0 F/ F.    
+-PI  2,0 F/ F.    
+-PI -2,0 F/ F.     
+
+ 32768,0  1,0 F* F. ; overflow! -->
+ 32768,0  1,0 F/ F. ; overflow! -->
+-32768,0 -1,0 F* F. ; overflow! -->
+-32768,0 -1,0 F/ F. ; overflow! -->
+
+32767,99999 1,0  F* F. 
+32767,99999 1,0  F/ F. 
+32767,99999 2,0  F/ F. 
+32767,99999 4,0  F/ F. 
+32767,99999 8,0  F/ F. 
+32767,99999 16,0 F/ F. 
+
+-32768,0 -2,0    F/ F. 
+-32768,0 -4,0    F/ F. 
+-32768,0 -8,0    F/ F. 
+-32768,0 -16,0   F/ F. 
+-32768,0 -32,0   F/ F. 
+-32768,0 -64,0   F/ F. 
+
+-3276,80 -1,0    F/ F. 
+-327,680 -1,0    F/ F. 
+-32,7680 -1,0    F/ F. 
+-3,27680 -1,0    F/ F. 
+-0,32768 -1,0    F/ F. 
+
+; SQRT(32768)^2 = 32768
+ 181,01933598375  181,01933598375 F* F. 
+ 181,01933598375 -181,01933598375 F* F.
+-181,01933598375  181,01933598375 F* F.
+-181,01933598375 -181,01933598375 F* F.
+RST_STATE
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/LAST.4TH b/MSP430-FORTH/MSP_EXP430FR5994/LAST.4TH
new file mode 100644 (file)
index 0000000..b7f7c4b
--- /dev/null
@@ -0,0 +1,1435 @@
+
+CODE ABORT_DOUBLE
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1812,R14
+BIT #$200,R14
+0<> IF MOV #0,R14 THEN
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+ABORT" build FastForth with DOUBLE_INPUT addon !"
+PWR_STATE
+;
+
+ABORT_DOUBLE
+
+; -----------------------------------------------------
+; DOUBLE.4th for MSP_EXP430FR5994
+; -----------------------------------------------------
+
+[DEFINED] {DOUBLE} [IF]  {DOUBLE} [THEN]
+
+MARKER {DOUBLE}
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] @ [IF]
+CODE @
+MOV @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ! [IF]
+CODE !
+MOV @R15+,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ROT [IF]
+CODE ROT
+MOV @R15,R10
+MOV R14,0(R15)
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] < [IF]
+CODE <
+        SUB @R15+,R14
+        S< ?GOTO FW1
+        0<> IF
+BW1         MOV #-1,R14
+        THEN
+        MOV @R13+,R0
+ENDCODE
+
+CODE >
+        SUB @R15+,R14
+        S< ?GOTO BW1
+FW1     AND #0,R14
+        MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] TO [IF]
+CODE TO
+BIS #$200,R2
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DOES> [IF]
+CODE DOES> 
+MOV &$1DBA,R10
+MOV #DODOES,0(R10)
+MOV R13,2(R10)
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0       
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2@ [IF]
+CODE 2@
+SUB #2,R15
+MOV 2(R14),0(R15)
+MOV @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2! [IF]
+CODE 2!
+MOV @R15+,0(R14)
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2DUP [IF]
+CODE 2DUP
+SUB #4,R15
+MOV R14,2(R15)
+MOV 4(R15),0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2DROP [IF]
+CODE 2DROP
+ADD #2,R15
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2SWAP [IF]
+CODE 2SWAP
+MOV @R15,R10
+MOV 4(R15),0(R15)
+MOV R10,4(R15)
+MOV R14,R10
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2OVER [IF]
+CODE 2OVER
+SUB #4,R15
+MOV R14,2(R15)
+MOV 8(R15),0(R15)
+MOV 6(R15),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2>R [IF]
+CODE 2>R
+PUSH @R15+
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2R@ [IF]
+CODE 2R@
+SUB #4,R15
+MOV R14,2(R15)
+MOV @R1,R14
+MOV 2(R1),0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2R> [IF]
+CODE 2R>
+SUB #4,R15
+MOV R14,2(R15)
+MOV @R1+,R14
+MOV @R1+,0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] D. [IF]
+CODE D.
+MOV #U.,R10
+ADD #10,R10
+MOV R10,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2ROT [IF]
+CODE 2ROT
+MOV 8(R15),R9
+MOV 6(R15),R8
+MOV 4(R15),8(R15)
+MOV 2(R15),6(R15)
+MOV @R15,4(R15)
+MOV R14,2(R15)
+MOV R9,0(R15)
+MOV R8,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D>S [IF]
+CODE D>S
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D0= [IF]
+CODE D0=
+CMP #0,R14
+MOV #0,R14
+0= IF
+    CMP #0,0(R15)
+    0= IF
+        MOV #-1,R14
+    THEN
+THEN
+ADD #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D0< [IF]
+CODE D0<
+CMP #0,R14
+MOV #0,R14
+S< IF
+    MOV #-1,R14
+THEN
+ADD #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D= [IF]
+CODE D=
+CMP R14,2(R15)
+MOV #0,R14
+0= IF
+    CMP @R15,4(R15)
+    0= IF
+    MOV #-1,R14
+    THEN
+THEN
+ADD #6,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D< [IF]
+CODE D<
+CMP R14,2(R15)
+MOV #0,R14
+S< IF
+    MOV #-1,R14
+THEN
+0= IF
+    CMP @R15,4(R15)
+    U< IF
+        MOV #-1,R14
+    THEN
+THEN
+ADD #6,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DU< [IF]
+CODE DU<
+CMP R14,2(R15)
+MOV #0,R14
+U< IF
+    MOV #-1,R14
+THEN
+0= IF
+    CMP @R15,4(R15)
+    U< IF
+        MOV #-1,R14
+    THEN
+THEN
+ADD #6,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D+ [IF]
+CODE D+
+BW1 ADD @R15+,2(R15)
+    ADDC @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] M+ [IF]
+CODE M+
+SUB #2,R15
+CMP #0,R14
+MOV R14,0(R15)
+MOV #-1,R14
+0>= IF
+    MOV #0,R14
+THEN
+GOTO BW1
+ENDCODE
+[THEN]
+
+[UNDEFINED] D- [IF]
+CODE D-
+SUB @R15+,2(R15)
+SUBC R14,0(R15)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DNEGATE [IF]
+CODE DNEGATE
+XOR #-1,0(R15)
+XOR #-1,R14
+ADD #1,0(R15)
+ADDC #0,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DABS [IF]
+CODE DABS
+CMP #0,R14
+0>= IF
+    MOV @R13+,R0
+THEN
+MOV #DNEGATE,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D2/ [IF]
+CODE D2/
+RRA R14
+RRC 0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] D2* [IF]
+CODE D2*
+ADD @R15,0(R15)
+ADDC R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DMAX [IF]
+: DMAX
+2OVER 2OVER
+D< IF
+    2>R 2DROP 2R>
+ELSE
+    2DROP
+THEN
+;
+[THEN]
+
+[UNDEFINED] DMIN [IF]
+: DMIN
+2OVER 2OVER
+D< IF
+    2DROP
+ELSE 2>R 2DROP 2R>
+THEN
+;
+
+$1A04 C@ $EF > [IF] ; test for MSP430FR413x devices without hardware_MPY 
+
+[UNDEFINED] M*/ [IF]
+CODE M*/
+BIC #$200,R2
+CMP #0,2(R15)
+S< IF
+    XOR #-1,4(R15)
+    XOR #-1,2(R15)
+    ADD #1,4(R15)
+    ADDC #0,2(R15)
+    BIS #$200,R2
+THEN
+CMP #0,0(R15)
+S< IF
+    XOR #-1,0(R15)
+    ADD #1,0(R15)
+    XOR #$200,R2
+THEN
+            MOV 4(R15),R8
+            MOV 2(R15),R11
+            MOV @R15+,R12
+            MOV #0,R5
+            MOV #0,2(R15)
+            MOV #0,0(R15)
+            MOV #0,R10
+            MOV #1,R9
+BEGIN       BIT R9,R12
+    0<> IF  ADD R8,2(R15)
+            ADDC R11,0(R15)
+            ADDC R5,R10
+    THEN    ADD R8,R8
+            ADDC R11,R11
+            ADDC R5,R5
+            ADD R9,R9
+U>= UNTIL
+MOV R14,R11
+MOV @R15,R14
+MOV 2(R15),R12
+MOV #32,R5
+CMP #0,R10
+0= IF
+    MOV R14,R10
+    CALL #$4078
+ELSE
+    CALL #$4080
+THEN
+MOV @R15+,0(R15)
+BIT #$200,R2
+0<> IF
+    XOR #-1,0(R15)
+    XOR #-1,R14
+    ADD #1,0(R15)
+    ADDC #0,R14
+    BIC #$200,R2
+    CMP #0,R10
+    0<> IF
+        SUB #1,0(R15)
+        SUBC #0,R14 
+    THEN
+THEN                
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[ELSE]
+
+[UNDEFINED] M*/ [IF]
+CODE M*/
+MOV 4(R15),&$4D4
+MOV 2(R15),&$4D6
+MOV @R15+,&$4C8
+MOV R14,R11
+MOV R0,R0
+MOV &$4E4,R12
+MOV &$4E6,R14
+MOV &$4E8,R10
+BIC #$200,R2
+CMP #0,R10
+S< IF
+    XOR #-1,R12
+    XOR #-1,R14
+    XOR #-1,R10
+    ADD #1,R12
+    ADDC #0,R14
+    ADDC #0,R10
+    BIS #$200,R2
+THEN
+MOV #32,R5
+CMP #0,R10
+0= IF
+    MOV R14,R10
+    CALL #$4078
+ELSE
+    CALL #$4080
+THEN
+MOV @R15+,0(R15)
+BIT #$200,R2
+0<> IF
+    XOR #-1,0(R15)
+    XOR #-1,R14
+    ADD #1,0(R15)
+    ADDC #0,R14
+    BIC #$200,R2
+    CMP #0,R10
+    0<> IF
+        SUB #1,0(R15)
+        SUBC #0,R14 
+    THEN
+THEN                
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[THEN]  ; end of software/hardware_MPY
+
+[UNDEFINED] 2VARIABLE [IF]
+: 2VARIABLE
+CREATE 
+HI2LO
+ADD #4,&$1DC6
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2CONSTANT [IF]
+: 2CONSTANT
+CREATE
+, ,
+DOES>
+2@
+;
+[THEN]
+
+[UNDEFINED] 2VALUE [IF]
+: 2VALUE
+CREATE , ,
+DOES>
+HI2LO
+MOV @R1+,R13
+BIT #$200,R2
+0= IF
+   MOV #2@,R0
+THEN 
+BIC #$200,R2
+MOV #2!,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2LITERAL [IF]
+CODE 2LITERAL
+BIS #$200,R2
+MOV #LITERAL,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] D.R [IF]
+: D.R
+>R SWAP OVER DABS <# #S ROT SIGN #> 
+R> OVER - SPACES TYPE 
+;
+[THEN]
+
+RST_HERE
+
+[UNDEFINED] VARIABLE [IF]
+: VARIABLE
+CREATE 
+HI2LO
+MOV @R1+,R13
+ADD #2,&$1DC6
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CELLS [IF]
+CODE CELLS
+ADD R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ALLOT [IF]
+CODE ALLOT
+ADD R14,&$1DC6
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DEPTH [IF]
+CODE DEPTH
+MOV R14,-2(R15)
+MOV #$1C80,R14
+SUB R15,R14
+RRA R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0= [IF]
+CODE 0=
+SUB #1,R14
+SUBC R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SOURCE [IF]
+CODE SOURCE
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1DC0,R14
+MOV &$1DC2,0(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >IN [IF]
+$1DC4 CONSTANT >IN
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DROP [IF]
+CODE DROP
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1+ [IF]
+CODE 1+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CHAR [IF]
+: CHAR
+    $20 WORD 1+ C@
+;
+[THEN]
+
+[UNDEFINED] [CHAR] [IF]
+: [CHAR]
+    CHAR POSTPONE LITERAL
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] 2/ [IF]
+CODE 2/
+RRA R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] INVERT [IF]
+CODE INVERT
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] RSHIFT [IF]
+CODE RSHIFT
+            MOV @R15+,R10
+            AND #$1F,R14
+0<> IF
+    BEGIN   BIC #1,R2
+            RRC R10
+            SUB #1,R14
+    0= UNTIL
+THEN        MOV R10,R14
+            MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0< [IF]
+CODE 0<
+ADD R14,R14
+SUBC R14,R14
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] S>D [IF]
+: S>D
+    DUP 0<
+;
+[THEN]
+
+[UNDEFINED] 1- [IF]
+CODE 1-
+SUB #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] UM/MOD [IF]
+CODE UM/MOD
+    PUSH #DROP
+    MOV #$4066,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SM/REM [IF]
+CODE SM/REM
+MOV R14,R12
+MOV @R15,R11
+CMP #0,R14
+S< IF
+    XOR #-1,R14
+    ADD #1,R14
+THEN
+CMP #0,0(R15)
+S< IF
+    XOR #-1,2(R15)
+    XOR #-1,0(R15)
+    ADD #1,2(R15)
+    ADDC #0,0(R15)
+THEN
+PUSHM #3,R13
+LO2HI
+    UM/MOD
+HI2LO
+POPM #3,R13
+CMP #0,R11
+S< IF
+    XOR #-1,0(R15)
+    ADD #1,0(R15)
+THEN
+XOR R12,R11
+CMP #0,R11
+S< IF
+    XOR #-1,R14
+    ADD #1,R14
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] FM/MOD [IF]
+: FM/MOD
+SM/REM
+HI2LO
+CMP #0,0(R15)
+0<> IF
+    CMP #1,R14
+    S< IF
+      ADD R12,0(R15)
+      SUB #1,R14
+    THEN
+THEN
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] NIP [IF]
+CODE NIP
+ADD #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] / [IF]
+: /
+>R DUP 0< R> FM/MOD NIP
+;
+[THEN]
+
+[UNDEFINED] NEGATE [IF]
+CODE NEGATE
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] HERE [IF]
+CODE HERE
+MOV #$4028,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CHARS [IF]
+CODE CHARS
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MOVE [IF]
+CODE MOVE
+MOV R14,R10
+MOV @R15+,R8
+MOV @R15+,R9
+MOV @R15+,R14
+CMP #0,R10
+0<> IF
+    CMP R9,R8
+    0<> IF
+        U< IF
+            BEGIN
+                MOV.B @R9+,0(R8)
+                ADD #1,R8
+                SUB #1,R10
+            0= UNTIL
+            MOV @R13+,R0
+        THEN
+        ADD R10,R8
+        ADD R10,R9
+        BEGIN
+            SUB #1,R9
+            SUB #1,R8
+            MOV.B @R9,0(R8)
+            SUB #1,R10
+        0= UNTIL
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DECIMAL [IF]
+CODE DECIMAL
+MOV #$0A,&$1DDC
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] BASE [IF]
+$1DDC CONSTANT BASE
+[THEN]
+
+[UNDEFINED] ( [IF]
+: ( 
+')' WORD DROP
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] .( [IF]
+CODE .(
+MOV #0,&$1DB4
+COLON
+')' WORD
+COUNT TYPE
+$20 $1DB4 !
+; IMMEDIATE
+[THEN]
+
+
+
+
+
+
+
+ 0 CONSTANT FALSE
+-1 CONSTANT TRUE
+
+VARIABLE VERBOSE
+    FALSE VERBOSE !
+
+
+VARIABLE ACTUAL-DEPTH
+CREATE ACTUAL-RESULTS 20 CELLS ALLOT
+
+: T{
+    ;
+
+: ->
+    DEPTH DUP ACTUAL-DEPTH !
+    ?DUP IF
+        0 DO ACTUAL-RESULTS I CELLS + ! LOOP
+    THEN ;
+
+: }T
+
+    DEPTH ACTUAL-DEPTH @ = IF
+        DEPTH ?DUP IF
+        0 DO
+            ACTUAL-RESULTS I CELLS + @
+            = 0= IF TRUE ABORT" INCORRECT RESULT" THEN
+        LOOP
+        THEN
+    ELSE
+        TRUE ABORT" WRONG NUMBER OF RESULTS"
+    THEN ;
+
+: TESTING
+    SOURCE VERBOSE @
+    IF DUP >R TYPE CR R> >IN !
+    ELSE >IN ! DROP [CHAR] * EMIT
+    THEN ;
+
+ECHO
+
+
+DECIMAL
+
+0 INVERT        CONSTANT 1SD
+1SD 1 RSHIFT    CONSTANT MAX-INTD
+MAX-INTD INVERT CONSTANT MIN-INTD
+MAX-INTD 2/     CONSTANT HI-INT
+MIN-INTD 2/     CONSTANT LO-INT
+
+
+TESTING interpreter and compiler reading double numbers, with/without prefixes
+
+T{ 1. -> 1 0 }T
+T{ -2. -> -2 -1 }T
+T{ : RDL1 3. ; RDL1 -> 3 0 }T
+T{ : RDL2 -4. ; RDL2 -> -4 -1 }T
+
+VARIABLE OLD-DBASE
+DECIMAL BASE @ OLD-DBASE !
+T{ #12346789. -> 12346789. }T
+T{ #-12346789. -> -12346789. }T
+T{ $12aBcDeF. -> 313249263. }T
+T{ $-12AbCdEf. -> -313249263. }T
+T{ %10010110. -> 150. }T
+T{ %-10010110. -> -150. }T
+T{ BASE @ OLD-DBASE @ = -> TRUE }T
+
+16 OLD-DBASE ! 16 BASE !
+T{ #12346789. -> BC65A5. }T
+T{ #-12346789. -> -BC65A5. }T
+T{ $12aBcDeF. -> 12AbCdeF. }T
+T{ $-12AbCdEf. -> -12ABCDef. }T
+T{ %10010110. -> 96. }T
+T{ %-10010110. -> -96. }T
+T{ BASE @ OLD-DBASE @ = -> TRUE }T
+
+DECIMAL
+T{ : dnmp  #8327. $-2cbe. %011010111. ; dnmp -> 8327. -11454. 215. }T
+
+TESTING 2CONSTANT
+
+T{ 1 2 2CONSTANT 2C1 -> }T
+T{ 2C1 -> 1 2 }T
+T{ : CD1 2C1 ; -> }T
+T{ CD1 -> 1 2 }T
+T{ : CD2 2CONSTANT ; -> }T
+T{ -1 -2 CD2 2C2 -> }T
+T{ 2C2 -> -1 -2 }T
+T{ 4 5 2CONSTANT 2C3 IMMEDIATE 2C3 -> 4 5 }T
+T{ : CD6 2C3 2LITERAL ; CD6 -> 4 5 }T
+
+
+1SD MAX-INTD 2CONSTANT MAX-2INT
+0   MIN-INTD 2CONSTANT MIN-2INT
+MAX-2INT 2/  2CONSTANT HI-2INT
+MIN-2INT 2/  2CONSTANT LO-2INT
+
+TESTING DNEGATE
+
+T{ 0. DNEGATE -> 0. }T
+T{ 1. DNEGATE -> -1. }T
+T{ -1. DNEGATE -> 1. }T
+T{ MAX-2INT DNEGATE -> MIN-2INT SWAP 1+ SWAP }T
+T{ MIN-2INT SWAP 1+ SWAP DNEGATE -> MAX-2INT }T
+
+TESTING D+ with small integers
+
+T{  0.  5. D+ ->  5. }T
+T{ -5.  0. D+ -> -5. }T
+T{  1.  2. D+ ->  3. }T
+T{  1. -2. D+ -> -1. }T
+T{ -1.  2. D+ ->  1. }T
+T{ -1. -2. D+ -> -3. }T
+T{ -1.  1. D+ ->  0. }T
+
+TESTING D+ with mid range integers
+
+T{  0  0  0  5 D+ ->  0  5 }T
+T{ -1  5  0  0 D+ -> -1  5 }T
+T{  0  0  0 -5 D+ ->  0 -5 }T
+T{  0 -5 -1  0 D+ -> -1 -5 }T
+T{  0  1  0  2 D+ ->  0  3 }T
+T{ -1  1  0 -2 D+ -> -1 -1 }T
+T{  0 -1  0  2 D+ ->  0  1 }T
+T{  0 -1 -1 -2 D+ -> -1 -3 }T
+T{ -1 -1  0  1 D+ -> -1  0 }T
+T{ MIN-INTD 0 2DUP D+ -> 0 1 }T
+T{ MIN-INTD S>D MIN-INTD 0 D+ -> 0 0 }T
+
+TESTING D+ with large double integers
+
+T{ HI-2INT 1. D+ -> 0 HI-INT 1+ }T
+T{ HI-2INT 2DUP D+ -> 1SD 1- MAX-INTD }T
+T{ MAX-2INT MIN-2INT D+ -> -1. }T
+T{ MAX-2INT LO-2INT D+ -> HI-2INT }T
+T{ HI-2INT MIN-2INT D+ 1. D+ -> LO-2INT }T
+T{ LO-2INT 2DUP D+ -> MIN-2INT }T
+
+TESTING D- with small integers
+
+T{  0.  5. D- -> -5. }T
+T{  5.  0. D- ->  5. }T
+T{  0. -5. D- ->  5. }T
+T{  1.  2. D- -> -1. }T
+T{  1. -2. D- ->  3. }T
+T{ -1.  2. D- -> -3. }T
+T{ -1. -2. D- ->  1. }T
+T{ -1. -1. D- ->  0. }T
+
+TESTING D- with mid-range integers
+
+T{  0  0  0  5 D- ->  0 -5 }T
+T{ -1  5  0  0 D- -> -1  5 }T
+T{  0  0 -1 -5 D- ->  1  4 }T
+T{  0 -5  0  0 D- ->  0 -5 }T
+T{ -1  1  0  2 D- -> -1 -1 }T
+T{  0  1 -1 -2 D- ->  1  2 }T
+T{  0 -1  0  2 D- ->  0 -3 }T
+T{  0 -1  0 -2 D- ->  0  1 }T
+T{  0  0  0  1 D- ->  0 -1 }T
+T{ MIN-INTD 0 2DUP D- -> 0. }T
+T{ MIN-INTD S>D MAX-INTD 0 D- -> 1 1SD }T
+
+TESTING D- with large integers
+
+T{ MAX-2INT MAX-2INT D- -> 0. }T
+T{ MIN-2INT MIN-2INT D- -> 0. }T
+T{ MAX-2INT HI-2INT  D- -> LO-2INT DNEGATE }T
+T{ HI-2INT  LO-2INT  D- -> MAX-2INT }T
+T{ LO-2INT  HI-2INT  D- -> MIN-2INT 1. D+ }T
+T{ MIN-2INT MIN-2INT D- -> 0. }T
+T{ MIN-2INT LO-2INT  D- -> LO-2INT }T
+
+TESTING D0< D0=
+
+T{ 0. D0< -> FALSE }T
+T{ 1. D0< -> FALSE }T
+T{ MIN-INTD 0 D0< -> FALSE }T
+T{ 0 MAX-INTD D0< -> FALSE }T
+T{ MAX-2INT  D0< -> FALSE }T
+T{ -1. D0< -> TRUE }T
+T{ MIN-2INT D0< -> TRUE }T
+
+T{ 1. D0= -> FALSE }T
+T{ MIN-INTD 0 D0= -> FALSE }T
+T{ MAX-2INT  D0= -> FALSE }T
+T{ -1 MAX-INTD D0= -> FALSE }T
+T{ 0. D0= -> TRUE }T
+T{ -1. D0= -> FALSE }T
+T{ 0 MIN-INTD D0= -> FALSE }T
+
+TESTING D2* D2/
+
+T{ 0. D2* -> 0. D2* }T
+T{ MIN-INTD 0 D2* -> 0 1 }T
+T{ HI-2INT D2* -> MAX-2INT 1. D- }T
+T{ LO-2INT D2* -> MIN-2INT }T
+
+T{ 0. D2/ -> 0. }T
+T{ 1. D2/ -> 0. }T
+T{ 0 1 D2/ -> MIN-INTD 0 }T
+T{ MAX-2INT D2/ -> HI-2INT }T
+T{ -1. D2/ -> -1. }T
+T{ MIN-2INT D2/ -> LO-2INT }T
+
+TESTING D< D=
+
+T{  0.  1. D< -> TRUE  }T
+T{  0.  0. D< -> FALSE }T
+T{  1.  0. D< -> FALSE }T
+T{ -1.  1. D< -> TRUE  }T
+T{ -1.  0. D< -> TRUE  }T
+T{ -2. -1. D< -> TRUE  }T
+T{ -1. -2. D< -> FALSE }T
+T{ 0 1   1. D< -> FALSE }T
+T{ 1.  0 1  D< -> TRUE  }T
+T{ 0 -1 1 -2 D< -> FALSE }T
+T{ 1 -2 0 -1 D< -> TRUE  }T
+T{ -1. MAX-2INT D< -> TRUE }T
+T{ MIN-2INT MAX-2INT D< -> TRUE }T
+T{ MAX-2INT -1. D< -> FALSE }T
+T{ MAX-2INT MIN-2INT D< -> FALSE }T
+T{ MAX-2INT 2DUP -1. D+ D< -> FALSE }T
+T{ MIN-2INT 2DUP  1. D+ D< -> TRUE  }T
+T{ MAX-INTD S>D 2DUP 1. D+ D< -> TRUE }T
+
+T{ -1. -1. D= -> TRUE  }T
+T{ -1.  0. D= -> FALSE }T
+T{ -1.  1. D= -> FALSE }T
+T{  0. -1. D= -> FALSE }T
+T{  0.  0. D= -> TRUE  }T
+T{  0.  1. D= -> FALSE }T
+T{  1. -1. D= -> FALSE }T
+T{  1.  0. D= -> FALSE }T
+T{  1.  1. D= -> TRUE  }T
+
+T{ 0 -1 0 -1 D= -> TRUE  }T
+T{ 0 -1 0  0 D= -> FALSE }T
+T{ 0 -1 0  1 D= -> FALSE }T
+T{ 0  0 0 -1 D= -> FALSE }T
+T{ 0  0 0  0 D= -> TRUE  }T
+T{ 0  0 0  1 D= -> FALSE }T
+T{ 0  1 0 -1 D= -> FALSE }T
+T{ 0  1 0  0 D= -> FALSE }T
+T{ 0  1 0  1 D= -> TRUE  }T
+
+T{ MAX-2INT MIN-2INT D= -> FALSE }T
+T{ MAX-2INT 0. D= -> FALSE }T
+T{ MAX-2INT MAX-2INT D= -> TRUE }T
+T{ MAX-2INT HI-2INT  D= -> FALSE }T
+T{ MAX-2INT MIN-2INT D= -> FALSE }T
+T{ MIN-2INT MIN-2INT D= -> TRUE }T
+T{ MIN-2INT LO-2INT  D=  -> FALSE }T
+T{ MIN-2INT MAX-2INT D= -> FALSE }T
+
+TESTING 2LITERAL 2VARIABLE
+
+T{ : CD3 [ MAX-2INT ] 2LITERAL ; -> }T
+T{ CD3 -> MAX-2INT }T
+T{ 2VARIABLE 2V1 -> }T
+T{ 0. 2V1 2! -> }T
+T{ 2V1 2@ -> 0. }T
+T{ -1 -2 2V1 2! -> }T
+T{ 2V1 2@ -> -1 -2 }T
+T{ : CD4 2VARIABLE ; -> }T
+T{ CD4 2V2 -> }T
+T{ : CD5 2V2 2! ; -> }T
+T{ -2 -1 CD5 -> }T
+T{ 2V2 2@ -> -2 -1 }T
+T{ 2VARIABLE 2V3 IMMEDIATE 5 6 2V3 2! -> }T
+T{ 2V3 2@ -> 5 6 }T
+T{ : CD7 2V3 [ 2@ ] 2LITERAL ; CD7 -> 5 6 }T
+T{ : CD8 [ 6 7 ] 2V3 [ 2! ] ; 2V3 2@ -> 6 7 }T
+
+TESTING DMAX DMIN
+
+T{  1.  2. DMAX -> 2. }T
+T{  1.  0. DMAX -> 1. }T
+T{  1. -1. DMAX -> 1. }T
+T{  1.  1. DMAX -> 1. }T
+T{  0.  1. DMAX -> 1. }T
+T{  0. -1. DMAX -> 0. }T
+T{ -1.  1. DMAX -> 1. }T
+T{ -1. -2. DMAX -> -1. }T
+
+T{ MAX-2INT HI-2INT  DMAX -> MAX-2INT }T
+T{ MAX-2INT MIN-2INT DMAX -> MAX-2INT }T
+T{ MIN-2INT MAX-2INT DMAX -> MAX-2INT }T
+T{ MIN-2INT LO-2INT  DMAX -> LO-2INT  }T
+
+T{ MAX-2INT  1. DMAX -> MAX-2INT }T
+T{ MAX-2INT -1. DMAX -> MAX-2INT }T
+T{ MIN-2INT  1. DMAX ->  1. }T
+T{ MIN-2INT -1. DMAX -> -1. }T
+
+
+T{  1.  2. DMIN ->  1. }T
+T{  1.  0. DMIN ->  0. }T
+T{  1. -1. DMIN -> -1. }T
+T{  1.  1. DMIN ->  1. }T
+T{  0.  1. DMIN ->  0. }T
+T{  0. -1. DMIN -> -1. }T
+T{ -1.  1. DMIN -> -1. }T
+T{ -1. -2. DMIN -> -2. }T
+
+T{ MAX-2INT HI-2INT  DMIN -> HI-2INT  }T
+T{ MAX-2INT MIN-2INT DMIN -> MIN-2INT }T
+T{ MIN-2INT MAX-2INT DMIN -> MIN-2INT }T
+T{ MIN-2INT LO-2INT  DMIN -> MIN-2INT }T
+
+T{ MAX-2INT  1. DMIN ->  1. }T
+T{ MAX-2INT -1. DMIN -> -1. }T
+T{ MIN-2INT  1. DMIN -> MIN-2INT }T
+T{ MIN-2INT -1. DMIN -> MIN-2INT }T
+
+TESTING D>S DABS
+
+T{  1234  0 D>S ->  1234 }T
+T{ -1234 -1 D>S -> -1234 }T
+T{ MAX-INTD  0 D>S -> MAX-INTD }T
+T{ MIN-INTD -1 D>S -> MIN-INTD }T
+
+T{  1. DABS -> 1. }T
+T{ -1. DABS -> 1. }T
+T{ MAX-2INT DABS -> MAX-2INT }T
+T{ MIN-2INT 1. D+ DABS -> MAX-2INT }T
+
+TESTING M+ M*/
+
+T{ HI-2INT   1 M+ -> HI-2INT   1. D+ }T
+T{ MAX-2INT -1 M+ -> MAX-2INT -1. D+ }T
+T{ MIN-2INT  1 M+ -> MIN-2INT  1. D+ }T
+T{ LO-2INT  -1 M+ -> LO-2INT  -1. D+ }T
+
+
+: ?FLOORED [ -3 2 / -2 = ] LITERAL IF 1. D- THEN ;
+
+T{  5.  7 11 M*/ ->  3. }T
+T{  5. -7 11 M*/ -> -3. ?FLOORED }T
+T{ -5.  7 11 M*/ -> -3. ?FLOORED }T
+T{ -5. -7 11 M*/ ->  3. }T
+T{ MAX-2INT  8 16 M*/ -> HI-2INT }T
+T{ MAX-2INT -8 16 M*/ -> HI-2INT DNEGATE ?FLOORED }T
+T{ MIN-2INT  8 16 M*/ -> LO-2INT }T
+T{ MIN-2INT -8 16 M*/ -> LO-2INT DNEGATE }T
+T{ MAX-2INT MAX-INTD MAX-INTD M*/ -> MAX-2INT }T
+T{ MAX-2INT MAX-INTD 2/ MAX-INTD M*/ -> MAX-INTD 1- HI-2INT NIP }T
+T{ MIN-2INT LO-2INT NIP 1+ DUP 1- NEGATE M*/ -> 0 MAX-INTD 1- }T
+T{ MIN-2INT LO-2INT NIP 1- MAX-INTD M*/ -> MIN-INTD 3 + HI-2INT NIP 2 + }T
+T{ MAX-2INT LO-2INT NIP DUP NEGATE M*/ -> MAX-2INT DNEGATE }T
+T{ MIN-2INT MAX-INTD DUP M*/ -> MIN-2INT }T
+
+TESTING D. D.R
+
+MAX-2INT 71 73 M*/ 2CONSTANT DBL1
+MIN-2INT 73 79 M*/ 2CONSTANT DBL2
+
+: D>ASCII  ( D -- CADDR U )
+   DUP >R <# DABS #S R> SIGN #>    ( -- CADDR1 U )
+   HERE SWAP 2DUP 2>R CHARS DUP ALLOT MOVE 2R>
+;
+
+DBL1 D>ASCII 2CONSTANT "DBL1"
+DBL2 D>ASCII 2CONSTANT "DBL2"
+
+: DOUBLEOUTPUT
+   CR ." You should see lines duplicated:" CR
+   5 SPACES "DBL1" TYPE CR
+   5 SPACES DBL1 D. CR
+   8 SPACES "DBL1" DUP >R TYPE CR
+   5 SPACES DBL1 R> 3 + D.R CR
+   5 SPACES "DBL2" TYPE CR
+   5 SPACES DBL2 D. CR
+   10 SPACES "DBL2" DUP >R TYPE CR
+   5 SPACES DBL2 R> 5 + D.R CR
+;
+
+T{ DOUBLEOUTPUT -> }T
+
+TESTING 2ROT DU< (Double Number extension words)
+
+T{ 1. 2. 3. 2ROT -> 2. 3. 1. }T
+T{ MAX-2INT MIN-2INT 1. 2ROT -> MIN-2INT 1. MAX-2INT }T
+
+T{  1.  1. DU< -> FALSE }T
+T{  1. -1. DU< -> TRUE  }T
+T{ -1.  1. DU< -> FALSE }T
+T{ -1. -2. DU< -> FALSE }T
+T{ 0 1   1. DU< -> FALSE }T
+T{ 1.  0 1  DU< -> TRUE  }T
+T{ 0 -1 1 -2 DU< -> FALSE }T
+T{ 1 -2 0 -1 DU< -> TRUE  }T
+
+T{ MAX-2INT HI-2INT  DU< -> FALSE }T
+T{ HI-2INT  MAX-2INT DU< -> TRUE  }T
+T{ MAX-2INT MIN-2INT DU< -> TRUE }T
+T{ MIN-2INT MAX-2INT DU< -> FALSE }T
+T{ MIN-2INT LO-2INT  DU< -> TRUE }T
+
+TESTING 2VALUE
+
+T{ 1111 2222 2VALUE 2VAL -> }T
+T{ 2VAL -> 1111 2222 }T
+T{ 3333 4444 TO 2VAL -> }T
+T{ 2VAL -> 3333 4444 }T
+T{ : TO-2VAL TO 2VAL ; 5555 6666 TO-2VAL -> }T
+T{ 2VAL -> 5555 6666 }T
+
+
+CR .( End of Double-Number word tests) CR
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/PROG100k.4TH b/MSP430-FORTH/MSP_EXP430FR5994/PROG100k.4TH
new file mode 100644 (file)
index 0000000..f629d96
--- /dev/null
@@ -0,0 +1,24955 @@
+
+; -----------------------------------
+; PROG100k.4th for MSP_EXP430FR5994 = 76 x RC5toLCD.4th for MSP_EXP430FR5994
+; -----------------------------------
+; download source file sized to compile 100 kbytes
+; -----------------------------------
+
+
+CODE ABORT_RC5TOLCD
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_RC5TOLCD
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+
+
+ECHO
+            ; downloading PROG100k.4th is done
+RST_HERE    ; this app is protected against <reset>
+
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/RC5toLCD.4TH b/MSP430-FORTH/MSP_EXP430FR5994/RC5toLCD.4TH
new file mode 100644 (file)
index 0000000..3fef6d5
--- /dev/null
@@ -0,0 +1,352 @@
+
+; -----------------------------------
+; RC5TOLCD.4th for MSP_EXP430FR5994
+; -----------------------------------
+
+
+CODE ABORT_RC5TOLCD
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_RC5TOLCD
+
+
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]
+
+MARKER {RC5TOLCD}
+6 ALLOT
+
+
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+CODE 20_US
+BEGIN
+    BEGIN
+        BIT #1,&$3C0
+    0<> UNTIL
+    BIC #1,&$3C0
+    SUB #1,R14
+U< UNTIL
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+CODE TOP_LCD
+    BIS.B #4,&$243
+    BIT.B #1,&$241
+0= IF
+    AND.B #$0F,R14
+    MOV.B R14,&$222
+    BIC.B #4,&$243
+    MOV @R15+,R14
+    MOV @R13+,R0
+THEN
+    SUB #2,R15
+    MOV R14,0(R15)
+    BIC.B #4,&$243
+    MOV.B &$220,R14
+    AND.B #$0F,R14
+    MOV @R13+,R0
+ENDCODE
+
+CODE LCD_WRC
+    BIS.B #2,&$243
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    RRUM #4,R14
+    BIC.B #1,&$243
+    BIS.B #$0F,&$224
+COLON
+    TOP_LCD 2 20_US
+    TOP_LCD 2 20_US 
+;
+
+CODE LCD_WRF
+    BIC.B #2,&$243
+    GOTO BW1
+ENDCODE
+
+: LCD_CLEAR $01 LCD_WRF 100 20_us ;
+: LCD_HOME $02 LCD_WRF 100 20_us ;
+
+
+
+HDNCODE WDT_INT
+BIT.B #$20,&$240
+0= IF
+    CMP #19,&$3D6
+    U< IF
+        ADD #1,&$3D6
+    THEN
+ELSE
+    BIT.B #$40,&$240
+    0= IF
+        CMP #3,&$3D6
+        U>= IF
+           SUB #1,&$3D6
+        THEN
+    THEN
+THEN
+RETI
+ENDCODE
+
+HDNCODE RC5_INT
+$1800 @ 16000 = [IF]
+    MOV #1,&$3A0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3A0
+[THEN]
+MOV #1778,R9
+MOV #14,R10
+BEGIN
+MOV #%1011100100,&$380
+    RRUM    #1,R9
+    MOV     R9,R8
+    RRUM    #1,R8
+    ADD     R9,R8
+    BEGIN   CMP R8,&$390
+    U>= UNTIL
+    BIT.B   #4,&$200
+    ADDC    R11,R11
+    MOV.B   &$200,&$208
+    BIC.B   #4,&$20C
+    SUB     #1,R10
+0<> WHILE
+    ADD R9,R8
+    BEGIN
+        MOV &$390,R9
+        CMP R8,R9
+        U>= IF
+        BIC #$30,&$380
+        GOTO FW1
+        THEN
+        BIT.B #4,&$20C
+    0<> UNTIL
+REPEAT
+BIC #$30,&$380
+RLAM    #1,R11
+MOV.B   R11,R9
+RRUM    #2,R9
+BIT     #$4000,R11
+0= IF   BIS #$40,R9
+THEN
+RRUM    #3,R11
+XOR     @R1,R11
+BIT     #$400,R11
+0= ?GOTO FW2
+XOR #$400,0(R1)
+SUB #8,R15
+MOV R14,6(R15)
+MOV &$1DDC,4(R15)
+MOV #$10,&$1DDC
+MOV R9,0(R15)
+MOV #0,R14
+LO2HI
+    LCD_CLEAR
+    <# # #S #36 HOLD #>
+    ['] LCD_WRC IS EMIT
+    TYPE
+    ['] EMIT >BODY IS EMIT
+HI2LO
+MOV @R15+,&$1DDC
+MOV @R15+,R14
+FW1 FW2
+    MOV @R1+,R2
+    BIC #%1111_1000,R2
+    MOV @R1+,R0
+ENDCODE
+
+
+HDNCODE STOP_R2L
+CMP #$40AA,&{RC5TOLCD}+8
+0<> IF
+    BIC.B #4,&$20A
+    BIC.B #4,&$20C
+    MOV #0,&$3C0
+    MOV #0,&$340
+    MOV #0,&$342
+    MOV #$40AA,&{RC5TOLCD}+8
+    MOV &{RC5TOLCD}+10,&WARM+2
+    MOV &{RC5TOLCD}+12,&$FFEA
+    MOV &{RC5TOLCD}+14,&$FFDE
+    MOV &{RC5TOLCD}+10,R0
+THEN 
+MOV @R1+,R0
+ENDCODE
+
+CODE STOP
+BW1
+CALL #STOP_R2L
+COLON
+ECHO
+." RC5toLCD is removed,"
+."  type START to restart"
+ABORT" "
+;
+
+HDNCODE INI_R2L
+BIC #1,&$130
+MOV &$1808,R14
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #%10_1101_0100,&$3C0
+$1800 @ 16000 = [IF]
+    MOV #1,&$3E0
+[THEN]
+$1800 @ 24000 = [IF]
+    MOV #2,&$3E0
+[THEN]
+    MOV #19,&$3D2
+MOV #%0110_0000,&$3C6
+    MOV #10,&$3D6
+    BIS.B #$20,&$204
+    BIS.B #$20,&$20A
+    BIS.B #7,&$245
+    BIC.B #7,&$247
+    BIS.B #$0F,&$224
+    BIC.B #$0F,&$226
+    BIS.B #4,&$20A
+    BIC.B #4,&$20C
+MOV #%01_0001_0100,&$340
+    MOV ##3276,&$352
+    MOV #%10000,&$342
+COLON
+    #1000 20_US
+    %011 TOP_LCD
+    #205 20_US
+    %011 TOP_LCD
+    #5 20_US
+    %011 TOP_LCD
+    #2 20_US
+    %010 TOP_LCD
+    #2 20_US
+    %00101000 LCD_WRF
+    %1000 LCD_WRF
+    LCD_CLEAR
+    %0110 LCD_WRF
+    %1100 LCD_WRF
+    LCD_CLEAR
+    ['] LCD_HOME IS CR
+    ['] LCD_WRC  IS EMIT
+    CR ." I love you"
+    ['] CR >BODY IS CR
+    ['] EMIT >BODY IS EMIT
+    ." RC5toLCD is running. Type STOP to quit"
+    ABORT" "
+;
+
+CODE START
+CMP #$40AA,&{RC5TOLCD}+8
+0= IF
+    MOV #STOP_R2L,&{RC5TOLCD}+8
+    MOV &WARM+2,&{RC5TOLCD}+10
+    MOV #INI_R2L,&WARM+2
+    MOV &$FFEA,&{RC5TOLCD}+12
+    MOV #WDT_INT,&$FFEA
+    MOV &$FFDE,&{RC5TOLCD}+14
+    MOV #RC5_INT,&$FFDE
+    MOV #INI_R2L,R0
+THEN
+MOV @R13+,R0 
+ENDCODE 
+
+ECHO
+            ; downloading RC5toLCD.4th is done
+RST_HERE    ; this app is protected against <reset>
+
+START
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/RTC.4TH b/MSP430-FORTH/MSP_EXP430FR5994/RTC.4TH
new file mode 100644 (file)
index 0000000..2bb1286
--- /dev/null
@@ -0,0 +1,674 @@
+
+CODE ABORT_RTC
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1812,R14
+BIT #$8000,R14
+0<> IF MOV #0,R14 THEN
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+ABORT" target without LF_XTAL !"
+PWR_STATE
+;
+
+ABORT_RTC
+
+; --------------------
+; RTC.4th for MSP_EXP430FR5994
+; --------------------
+
+[DEFINED] {RTC} [IF] {RTC} [THEN] 
+
+MARKER {RTC}
+8 ALLOT
+
+
+[UNDEFINED] OR [IF]
+CODE OR
+BIS @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C! [IF]
+CODE C!
+MOV.B @R15+,0(R14)
+ADD #1,R15
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DROP [IF]
+CODE DROP
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DEPTH [IF]
+CODE DEPTH
+MOV R14,-2(R15)
+MOV #$1C80,R14
+SUB R15,R14
+RRA R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1+ [IF]
+CODE 1+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U< [IF]
+CODE U<
+SUB @R15+,R14
+0<> IF
+    MOV #-1,R14
+    U< IF
+        AND #0,R14
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] = [IF]
+CODE =
+SUB @R15+,R14
+0<> IF
+    AND #0,R14
+    MOV @R13+,R0
+THEN
+XOR #-1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] CASE [IF]
+: CASE 0 ; IMMEDIATE
+
+: OF
+1+     
+>R     
+POSTPONE OVER POSTPONE =
+POSTPONE IF    
+POSTPONE DROP  
+R>     
+; IMMEDIATE 
+
+: ENDOF
+>R     
+POSTPONE ELSE 
+R>     
+; IMMEDIATE 
+
+: ENDCASE
+POSTPONE DROP
+0 DO 
+    POSTPONE THEN 
+LOOP 
+; IMMEDIATE 
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MAX [IF]
+
+CODE MAX
+    CMP @R15,R14
+    S<  ?GOTO FW1
+BW1 ADD #2,R15
+    MOV @R13+,R0
+ENDCODE
+
+CODE MIN
+    CMP @R15,R14
+    S<  ?GOTO BW1
+FW1 MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE
+
+[THEN]
+
+[UNDEFINED] 2* [IF]
+CODE 2*
+ADD R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] UM* [IF]    
+CODE UM*
+    MOV @R15,&$4C0
+    MOV R14,&$4C8
+    MOV &$4E4,0(R15)
+    MOV &$4E6,R14
+    MOV @R13+,R0
+ENDCODE
+[THEN] 
+
+[UNDEFINED] UM/MOD [IF]
+CODE UM/MOD
+    PUSH #DROP
+    MOV #$4066,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U*/ [IF]
+: U*/
+>R UM* R> UM/MOD SWAP DROP
+;
+[THEN]
+
+[UNDEFINED] U/MOD [IF]
+: U/MOD
+0 SWAP UM/MOD
+;
+[THEN]
+
+[UNDEFINED] UMOD [IF]
+: UMOD
+U/MOD DROP
+;
+[THEN]
+
+[UNDEFINED] U/ [IF]
+: U/
+U/MOD SWAP DROP
+;
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0              
+ENDCODE
+[THEN]
+
+[UNDEFINED] HERE [IF]
+CODE HERE
+MOV #$4028,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U.R [IF]
+: U.R
+  >R  <# 0 # #S #>  
+  R> OVER - 0 MAX SPACES TYPE
+;
+[THEN]
+
+$81EF $1A04 @ U<     ; search device ID: MSP430FR4133 or...
+$1A04 @ $8241 U<     ; ...MSP430FR2433
+=   
+$830B $1A04 @ U<     ; MSP430FR21xx/23xx/24xx/25xx/26xx
+OR                      ; -- flag
+
+[IF] 
+
+
+    CREATE $4B0 2 ALLOT
+    CREATE $4B1 2 ALLOT
+    CREATE $4B2 2 ALLOT
+    CREATE $4B3 2 ALLOT
+    CREATE $4B4 2 ALLOT
+    CREATE $4B5 2 ALLOT
+    CREATE $4B6 2 ALLOT
+
+    HDNCODE RTC_INT
+    ADD #2,R1
+    BIT #1,&$4AE
+    ADD.B #1,&$4B0
+    CMP.B #60,&$4B0
+    U>= IF               
+        MOV.B #0,&$4B0
+        ADD.B #1,&$4B1
+        CMP.B #60,&$4B1
+        U>= IF               
+            MOV.B #0,&$4B1
+            ADD.B #1,&$4B2
+            CMP.B #24,&$4B2
+            U>= IF                
+                MOV.B #0,&$4B2
+                ADD.B #1,&$4B3
+                CMP.B #7,&$4B3
+                U>= IF
+                    MOV.B #0,&$4B3
+                THEN
+                ADD.B #1,&$4B4
+                CMP.B #2,&$4B5
+                0= IF
+                    COLON
+                    $4B6 @ 4 UMOD 
+                    IF 29
+                    ELSE
+                        $4B6 @ 100 UMOD 
+                        IF 30
+                        ELSE
+                            $4B6 @ 400 UMOD
+                            IF 29
+                            ELSE 30
+                            THEN
+                        THEN
+                    THEN
+                    HI2LO
+                    MOV @R1+,R13
+                    MOV R14,R9
+                    MOV @R15+,R14
+                ELSE
+                    MOV #31,R9
+                    MOV.B &$4B5,R10
+                    CMP.B #8,R10
+                    0>= IF
+                        ADD.B #1,R10      
+                    THEN
+                    BIT.B #1,R10
+                    0<> IF      
+                        ADD #1,R9
+                    THEN
+                THEN
+                CMP.B R9,&$4B4
+                U>= IF
+                    MOV.B #1,&$4B4
+                    ADD.B #1,&$4B5
+                    CMP.B #13,&$4B5
+                    U>= IF
+                    MOV.B #1,&$4B5
+                        ADD #1,&$4B6
+                    THEN
+                THEN
+            THEN
+        THEN
+    THEN
+    MOV @R1+,R0
+    ENDCODE    
+
+    HDNCODE STOP_RTC
+    CMP #$40AA,&{RTC}+8
+    0<> IF
+    MOV #{RTC}+10,R9
+        MOV #$40AA,-2(R9)
+        MOV @R9+,&RTC_VEC
+        MOV @R9+,&COLD+2
+        MOV @R9+,&WARM+2
+    THEN
+    MOV #0,&RTCCTL
+    MOV.B #XIN,R9
+    BIC.B R9,&XT1_SEL
+    BIS.B R9,&XT1_DIR
+    BIC.B R9,&XT1_OUT
+    BIS.B R9,&XT1_OUT
+    BIC.B R9,&XT1_OUT
+    BIS.B R9,&XT1_OUT
+    BIC.B R9,&XT1_DIR
+    BIS.B R9,&XT1_SEL
+    MOV &COLD+2,R0
+    ENDCODE
+
+    HDNCODE INI_RTC
+    CALL &{RTC}+14
+    CMP #0,&RTCCTL
+    0= IF
+        MOV #$7F,&RTCMOD
+        BIT #-1,&$4AE
+        MOV #%0010_0110_0100_0010,&RTCCTL
+    THEN
+    MOV @R1+,R0
+    ENDCODE
+
+
+    CODE START_RTC
+    CMP #STOP_RTC,&{RTC}+8
+    0<> IF
+        MOV #STOP_RTC,&{RTC}+8
+        MOV &RTC_VEC,&{RTC}+10
+        MOV #RTC_INT,&RTC_VEC
+        MOV &COLD+2,&{RTC}+12
+        MOV #STOP_RTC,&COLD+2
+        MOV &WARM+2,&{RTC}+14
+        MOV #INI_RTC,&WARM+2
+    THEN
+    CALL #INI_RTC
+    MOV @R13+,R0
+    ENDCODE                 
+
+    : TIME?
+    $4B2 C@ 2 U.R $3A EMIT
+    $4B1 C@  2 U.R $3A EMIT
+    $4B0 C@  2 U.R 
+    ;
+    
+    : TIME!
+    START_RTC
+    2 DEPTH
+    U< IF
+        $4B0 C!
+        $4B1 C!
+        $4B2 C!
+    THEN
+    ." it is " TIME? 
+    ;
+
+    : DATE?
+
+[ELSE]
+
+
+    CODE TIME?
+    BEGIN
+        BIT.B #$10,&$4A2
+    0<> UNTIL
+    COLON
+    $4B2 C@ 2 U.R $3A EMIT
+    $4B1 C@  2 U.R $3A EMIT
+    $4B0 C@  2 U.R 
+    ;
+    
+    : TIME!
+    2 DEPTH
+    U< IF
+        $4B0 C!
+        $4B1 C!
+        $4B2 C!
+    THEN
+    ." it is " TIME? 
+    ;
+
+    CODE DATE?
+    BEGIN
+        BIT.B #$10,&$4A2
+    0<> UNTIL
+    COLON
+
+[THEN]
+
+
+    $4B3 C@
+    CASE
+    0 OF ." Sat"    ENDOF
+    1 OF ." Sun"    ENDOF
+    2 OF ." Mon"    ENDOF
+    3 OF ." Tue"    ENDOF
+    4 OF ." Wed"    ENDOF
+    5 OF ." Thu"    ENDOF
+    6 OF ." Fri"    ENDOF
+    ENDCASE  
+    $4B6 @
+    $4B5 C@
+    $4B4 C@
+    $20 EMIT
+    2 U.R $2F EMIT
+    2 U.R $2F EMIT
+    .
+;
+
+
+
+: DATE!
+2 DEPTH
+U< IF
+    $4B6 !
+    $4B5 C!
+    $4B4 C!
+THEN
+$4B4 C@
+$4B5 C@
+$4B6 @
+OVER 3 U<
+IF 1 - SWAP 12 + SWAP 
+THEN
+100 U/MOD
+DUP 4 U/ SWAP 2* -
+SWAP DUP 4 U/ + +
+SWAP 1+  13 5 U*/ + +
+7 UMOD
+$4B3 C!
+." we are on " DATE? 
+;
+
+RST_HERE
+
+[UNDEFINED] S_ [IF]
+CODE S_
+MOV #0,&$1DB4
+COLON
+$4014 ,
+$20 WORD
+HI2LO
+MOV.B @R14,R14
+ADD #1,R14
+BIT #1,R14
+ADDC R14,&$1DC6
+MOV @R15+,R14
+MOV @R1+,R13
+MOV #$20,&$1DB4
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ESC [IF]
+CODE ESC
+CMP #0,&$1DBE
+0= IF MOV @R13+,R0
+THEN
+COLON          
+$1B
+POSTPONE LITERAL
+POSTPONE EMIT
+POSTPONE S_
+POSTPONE TYPE
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] EXECUTE [IF]
+CODE EXECUTE
+PUSH R14
+MOV @R15+,R14
+MOV @R1+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] EVALUATE [IF]
+CODE EVALUATE
+MOV #$1DC0,R9
+MOV @R9+,R12
+MOV @R9+,R11
+MOV @R9+,R10
+PUSHM #4,R13
+LO2HI
+INTERPRET
+HI2LO
+MOV @R1+,&$1DC4
+MOV @R1+,&$1DC2
+MOV @R1+,&$1DC0
+MOV @R1+,R13 
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+: SET_TIME
+ESC [8;40;80t
+39 0 DO CR LOOP
+ESC [H
+CR ." DATE (DMY): "
+$1CE4 DUP #84
+['] ACCEPT >BODY
+EXECUTE
+EVALUATE
+CR DATE!
+CR ." TIME (HMS): "
+$1CE4 DUP #84
+['] ACCEPT >BODY
+EXECUTE
+EVALUATE
+CR TIME!
+RST_STATE
+;
+ECHO
+SET_TIME
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/SD_TEST.4TH b/MSP430-FORTH/MSP_EXP430FR5994/SD_TEST.4TH
new file mode 100644 (file)
index 0000000..eaa3e9f
--- /dev/null
@@ -0,0 +1,430 @@
+
+; -----------
+; SD_TEST.4th for MSP_EXP430FR5994
+; -----------
+
+CODE ABORT_SD_TEST
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_SD_TEST
+
+PWR_STATE
+
+[DEFINED] {SD_TEST} [IF]  {SD_TEST} [THEN]
+
+MARKER {SD_TEST}
+
+[UNDEFINED] EXIT [IF]
+CODE EXIT
+MOV @R1+,R13
+MOV @R13+,R0
+
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 0= [IF]
+CODE 0=
+SUB #1,R14
+SUBC R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] ELSE [IF]
+CODE ELSE
+ADD #4,&$1DC6
+MOV &$1DC6,R10
+MOV #$403A,-4(R10)
+MOV R10,0(R14)
+SUB #2,R10
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] BEGIN [IF]
+CODE BEGIN
+    MOV #$4028,R0
+ENDCODE IMMEDIATE
+
+CODE UNTIL
+    MOV #$4034,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE AGAIN
+MOV #$403A,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+
+: WHILE
+POSTPONE IF SWAP
+; IMMEDIATE
+
+: REPEAT
+POSTPONE AGAIN POSTPONE THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MAX [IF]
+    CODE MAX
+        CMP @R15,R14
+        S< ?GOTO FW1
+BW1     ADD #2,R15
+        MOV @R13+,R0
+    ENDCODE
+
+    CODE MIN
+        CMP @R15,R14
+        S< ?GOTO BW1
+FW1     MOV @R15+,R14
+        MOV @R13+,R0
+    ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SPACE [IF]
+: SPACE
+$20 EMIT ;
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0              
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] STATE [IF]
+$1DBE CONSTANT STATE
+[THEN]
+
+[UNDEFINED] IS [IF]
+CODE DEFER!
+MOV @R15+,2(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+: IS
+STATE @
+IF  POSTPONE ['] POSTPONE DEFER! 
+ELSE ' DEFER! 
+THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] U.R [IF]
+: U.R
+>R  <# 0 # #S #>  
+R> OVER - 0 MAX SPACES TYPE
+;
+[THEN]
+
+[UNDEFINED] DUMP [IF]
+CODE DUMP
+PUSH R13
+PUSH &$1DDC
+MOV #$10,&$1DDC
+ADD @R15,R14
+LO2HI
+  SWAP
+  DO  CR
+    I 4 U.R SPACE
+      I 8 + I
+      DO I C@ 3 U.R LOOP
+      SPACE
+      I $10 + I 8 +
+      DO I C@ 3 U.R LOOP  
+      SPACE SPACE
+      I $10 + I
+      DO I C@ $7E MIN $20 MAX EMIT LOOP
+  $10 +LOOP
+  R> $1DDC !
+;
+[THEN]
+
+[UNDEFINED] HERE [IF]
+CODE HERE
+MOV #BEGIN,R0
+ENDCODE
+[THEN]
+
+
+CODE SD_EMIT
+CMP #512,&$201E
+U>= IF
+    MOV #WRITE,R9
+    CALL 2(R9)
+THEN
+MOV &$201E,R8
+MOV.B R14,$1E00(R8)
+ADD #1,&$201E
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+: SD_TEST
+PWR_HERE
+CR
+." 0 Set date and time" CR
+." 1 Load {TOOLS} words" CR
+." 2 Load {SD_TOOLS} words" CR
+." 3 Load {CORE_COMP} words" CR
+." 4 Load ANS core tests" CR
+." 5 Load a 100k program " CR
+." 6 Read only this source file" CR
+." 7 append a dump of FORTH to YOURFILE.TXT" CR
+." 8 delete YOURFILE.TXT" CR
+." 9 Load TST_WORDS" CR
+." your choice : "
+KEY
+48 - ?DUP
+0= IF
+    ." LOAD RTC.4TH" CR
+    LOAD" RTC.4TH"
+ELSE 1 - ?DUP
+    0= IF
+        ." LOAD UTILITY.4TH" CR
+        LOAD" UTILITY.4TH"
+    ELSE 1 - ?DUP
+        0= IF
+            ." LOAD SD_TOOLS.4TH" CR
+            LOAD" SD_TOOLS.4TH"
+        ELSE 1 - ?DUP
+            0= IF
+                ." LOAD CORE_ANS.4TH" CR
+                LOAD" CORE_ANS.4TH"
+            ELSE 1 - ?DUP
+                0= IF
+                    ." LOAD CORETEST.4TH" CR
+                    LOAD" CORETEST.4TH"
+                    PWR_STATE
+                ELSE 1 - ?DUP
+                    0= IF
+                        ." LOAD PROG100K.4TH" CR
+                        NOECHO
+                        LOAD" PROG100K.4TH"
+                    ELSE 1 - ?DUP
+                        0= IF
+                            ." READ PROG100K.4TH" CR
+                            READ" PROG100K.4TH"
+                            BEGIN
+                                READ
+                            UNTIL
+                        ELSE 1 - ?DUP
+                            0= IF
+                                ." WRITE YOURFILE.TXT" CR
+                                WRITE" YOURFILE.TXT"
+                                ['] SD_EMIT IS EMIT
+                                $4000 HERE OVER - DUMP
+                                ['] EMIT >BODY IS EMIT
+                                CLOSE
+                            ELSE 1 - ?DUP
+                                0= IF
+                                    ." DEL YOURFILE.TXT" CR
+                                    DEL" YOURFILE.TXT"
+                                ELSE 1 - ?DUP
+                                    0= IF
+                                        ." LOAD TSTWORDS.4TH" CR
+                                        LOAD" TSTWORDS.4TH"
+                                    ELSE
+                                        ." abort" ABORT" "
+                                    THEN                                        
+                                THEN
+                            THEN
+                        THEN
+                    THEN
+                THEN
+            THEN
+        THEN
+    THEN
+THEN
+;
+
+
+
+RST_HERE
+
+[THEN]
+
+ECHO SD_TEST
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/SD_TOOLS.4TH b/MSP430-FORTH/MSP_EXP430FR5994/SD_TOOLS.4TH
new file mode 100644 (file)
index 0000000..b2b77fb
--- /dev/null
@@ -0,0 +1,257 @@
+
+
+; ---------------------------------------------------------------
+; SD_TOOLS.4th for MSP_EXP430FR5994 : BASIC TOOLS for SD Card : DIR FAT SECTOR CLUSTER
+; ---------------------------------------------------------------
+
+CODE ABORT_SD_TOOLS
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_SD_TOOLS
+
+
+[DEFINED] {SD_TOOLS} [IF]  {SD_TOOLS} [THEN]
+
+[UNDEFINED] {SD_TOOLS} [IF]
+
+MARKER {SD_TOOLS}
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MAX [IF]
+
+CODE MAX
+    CMP @R15,R14
+    S<  ?GOTO FW1
+BW1 ADD #2,R15
+    MOV @R13+,R0
+ENDCODE
+
+CODE MIN
+    CMP @R15,R14
+    S<  ?GOTO BW1
+FW1 MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE
+
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SPACE [IF]
+: SPACE
+$20 EMIT ;
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0              
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U.R [IF]
+: U.R
+  >R  <# 0 # #S #>  
+  R> OVER - 0 MAX SPACES TYPE
+;
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUMP [IF]
+CODE DUMP
+PUSH R13
+PUSH &$1DDC
+MOV #$10,&$1DDC
+ADD @R15,R14
+LO2HI
+  SWAP
+  DO  CR
+    I 4 U.R SPACE
+      I 8 + I
+      DO I C@ 3 U.R LOOP
+      SPACE
+      I $10 + I 8 +
+      DO I C@ 3 U.R LOOP  
+      SPACE SPACE
+      I $10 + I
+      DO I C@ $7E MIN $20 MAX EMIT LOOP
+  $10 +LOOP
+  R> $1DDC !
+;
+[THEN]
+
+CODE SECTOR.
+BW1 MOV     R14,R9
+    MOV     @R15,R10
+    CALL    #READ_SWX
+COLON
+    <# #S #> TYPE SPACE
+    $1E00 $200 DUMP CR ;
+
+CODE CLUSTR.
+BW2 BIT.B   #4,&$260
+    0<> IF
+        MOV #COLD,R0
+    THEN
+    MOV.B &$2012,R10
+    MOV @R15,R9
+    GOTO FW1
+    BEGIN
+        ADD R9,R9
+        ADDC R14,R14
+FW1     RRA R10
+    U>= UNTIL
+    ADD     &$2010,R9
+    MOV     R9,0(R15)      
+    ADDC    #0,R14
+    GOTO    BW1
+ENDCODE
+
+CODE FAT
+    SUB     #4,R15
+    MOV     R14,2(R15)
+    MOV     &$2008,0(R15)
+    MOV     #0,R14
+    GOTO    BW1
+ENDCODE
+
+CODE DIR
+    SUB     #4,R15
+    MOV     R14,2(R15)
+    MOV     &$202C,0(R15)
+    MOV     &$202E,R14
+    CMP     #0,R14
+    0<>     ?GOTO BW2
+    CMP     #1,0(R15)
+    0<>     ?GOTO BW2
+    MOV     &$200E,0(R15)
+    GOTO    BW1
+ENDCODE
+
+
+RST_HERE
+
+[THEN]
+ECHO
+
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/TESTASM.4TH b/MSP430-FORTH/MSP_EXP430FR5994/TESTASM.4TH
new file mode 100644 (file)
index 0000000..edebebf
--- /dev/null
@@ -0,0 +1,512 @@
+
+; -----------------------------------------------------------------------
+; TEST_ASM.4th for MSP_EXP430FR5994
+; -----------------------------------------------------------------------
+
+CODE ABORT_TEST_ASM
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_TEST_ASM
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+MOV R7,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MAX [IF]
+
+CODE MAX
+    CMP @R15,R14
+    S<  ?GOTO FW1
+BW1 ADD #2,R15
+    MOV @R13+,R0
+ENDCODE
+
+CODE MIN
+    CMP @R15,R14
+    S<  ?GOTO BW1
+FW1 MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE
+
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] VARIABLE [IF]
+: VARIABLE 
+CREATE
+HI2LO
+MOV #$1287,-4(R10)
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DEFER [IF]
+
+: DEFER
+CREATE
+HI2LO
+MOV #$4030,-4(R10)
+MOV #$403C,-2(R10)
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >BODY [IF]
+CODE >BODY
+ADD #4,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SPACE [IF]
+: SPACE
+$20 EMIT ;
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0              
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U.R [IF]
+: U.R
+  >R  <# 0 # #S #>  
+  R> OVER - 0 MAX SPACES TYPE
+;
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] LOOP [IF]
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] +LOOP [IF]
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUMP [IF]
+CODE DUMP
+PUSH R13
+PUSH &$1DDC
+MOV #$10,&$1DDC
+ADD @R15,R14
+LO2HI
+  SWAP
+  DO  CR
+    I 4 U.R SPACE
+      I 8 + I
+      DO I C@ 3 U.R LOOP
+      SPACE
+      I $10 + I 8 +
+      DO I C@ 3 U.R LOOP  
+      SPACE SPACE
+      I $10 + I
+      DO I C@ $7E MIN $20 MAX EMIT LOOP
+  $10 +LOOP
+  R> $1DDC !
+;
+[THEN]
+
+CODE TESTPUSHM
+BW1
+            MOV     #22222,R8
+            MOV     #3,R9
+            MOV     #2,R10
+            MOV     #1,R11
+            MOV     #0,R12
+
+            PUSHM   #4,R13
+            POPM    #4,R13
+            SUB     #10,R15
+            MOV     R14,8(R15)
+            MOV     R12,6(R15)
+            MOV     R11,4(R15)
+            MOV     R10,2(R15)
+            MOV     R9,0(R15)
+            MOV     R8,R14
+            RRAM    #1,R14
+            RLAM    #2,R14
+            RRCM    #1,R14
+            RRUM    #1,R14
+            COLON
+            space . . . . .
+            ;
+
+TESTPUSHM  ; you should see 11111 3 2 1 0 -->
+
+CODE TESTPOPM
+            GOTO BW1
+ENDCODE
+
+
+TESTPOPM  ; you should see 11111 3 2 1 0 -->
+
+
+
+CODE TEST1
+
+            MOV &$1DDC,&$1DDC
+            CMP #%10,&$1DDC
+0<> IF      MOV #2,&$1DDC
+ELSE        MOV #$0A,&$1DDC
+THEN        
+            COLON
+            $1DDC @ U.
+            ;
+
+
+: TEST2
+            $1DDC @ U.
+            HI2LO
+
+
+            CMP #2, &$1DDC
+0<> IF      MOV #2, &$1DDC
+ELSE        MOV #10,&$1DDC
+THEN
+            MOV @R1+,R13
+            MOV @R13+,R0
+ENDCODE
+
+
+CODE TEST3
+            CMP #2, &$1DDC
+0<> IF      MOV #2, &$1DDC
+ELSE        MOV #10,&$1DDC
+THEN        COLON
+            $1DDC @  U.
+;
+
+
+
+: TEST5
+            SPACE
+            HI2LO
+            SUB #2,R15
+            MOV R14,0(R15)
+            MOV #%1010,R14
+BEGIN       SUB #$0001,R14
+            LO2HI
+
+            DUP U.
+            HI2LO
+            CMP #0,R14
+0= UNTIL    MOV @R15+,R14
+            MOV @R1+,R13
+            MOV @R13+,R0
+ENDCODE
+
+TEST5  ; you should see :  9 8 7 6 5 4 3 2 1 0 -->
+
+
+
+[UNDEFINED] C, [IF]
+CODE C,
+MOV &$1DC6,R10
+MOV.B R14,0(R10)
+ADD #1,&$1DC6
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+: BYTES_TABLE_IDX
+CREATE 
+0 DO I C,
+LOOP
+DOES>
++
+;
+
+8 BYTES_TABLE_IDX BYTES_TABLE
+
+2 BYTES_TABLE C@ . ; you should see 2 -->
+
+
+VARIABLE BYTES_TABLE1
+
+$0201 BYTES_TABLE1 !
+
+CODE IDX_TEST1
+    MOV.B   BYTES_TABLE1(R14),R14
+COLON
+    U. 
+;      
+
+0 IDX_TEST1     ; you should see 1 -->
+
+CODE TEST6
+            MOV 0(R15),0(R15)
+            MOV @R13+,R0
+ENDCODE
+
+
+1 TEST6 .       ; you should see 1 -->
+
+
+
+
+
+CREATE TABLE0
+0 C,
+1 C,
+2 C,
+3 C,
+
+
+CREATE TABLE10
+$10 C,
+$11 C,
+$12 C,
+$13 C,
+
+
+
+CREATE TABLE20
+$20 C,
+$21 C,
+$22 C,
+$23 C,
+
+
+CREATE TABLE
+
+
+TABLE 2 - CONSTANT PFA_TABLE
+
+
+CODE REDIRECT       ; <table> --    redirects TABLE to argument <table>    
+MOV R14,&PFA_TABLE
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+
+
+CODE REDIRECT0      ; --            redirects TABLE to TABLE0        
+MOV #TABLE0,&PFA_TABLE
+MOV @R13+,R0
+ENDCODE
+
+
+CODE REDIRECT10     ; --            redirects TABLE to TABLE10        
+MOV #TABLE10,&PFA_TABLE
+MOV @R13+,R0
+ENDCODE
+
+
+CODE REDIRECT20     ; --            redirects TABLE to TABLE20        
+MOV #TABLE20,&PFA_TABLE
+MOV @R13+,R0
+ENDCODE
+
+
+' TABLE0 10 DUMP
+
+' TABLE10 10 DUMP
+
+' TABLE20 10 DUMP
+
+
+TABLE0 REDIRECT TABLE 10 DUMP
+
+TABLE10 REDIRECT TABLE 10 DUMP
+
+TABLE20 REDIRECT TABLE 10 DUMP
+
+
+REDIRECT0 TABLE 10 DUMP
+
+REDIRECT10 TABLE 10 DUMP
+
+REDIRECT20 TABLE 10 DUMP
+
+
+TABLE0 PFA_TABLE ! TABLE 10 DUMP
+
+TABLE10 PFA_TABLE ! TABLE 10 DUMP
+
+TABLE20 PFA_TABLE ! TABLE 10 DUMP
+
+
+
+
+; -----------------------------------------------------------------------
+; create a primary DEFERred assembly word
+; -----------------------------------------------------------------------
+
+
+DEFER TRUC              ; here, TRUC is a secondary DEFERred word (i.e. without BODY)
+
+
+
+CODENNM                 ; leaves its execution address (CFA) on stack
+    SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE 
+
+DUP .
+
+IS TRUC                 ; TRUC becomes a primary DEFERred word
+                        ; with its default action (DUP) located at its BODY addresse.
+
+TRUC .                  ; display R14 value -->
+
+
+' TRUC >BODY IS TRUC    ; TRUC is reinitialzed with its default action
+
+
+TRUC .                  ; display R14 value --> 
+
+
+
+
+
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/TESTXASM.4TH b/MSP430-FORTH/MSP_EXP430FR5994/TESTXASM.4TH
new file mode 100644 (file)
index 0000000..6a24972
--- /dev/null
@@ -0,0 +1,1069 @@
+
+; -----------------------------------------------------------------------
+; TESTXASM.4th for MSP_EXP430FR5994
+; -----------------------------------------------------------------------
+
+CODE ABORT_TEST_ASMX
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_TEST_ASMX
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MAX [IF]
+
+CODE MAX
+    CMP @R15,R14
+    S<  ?GOTO FW1
+BW1 ADD #2,R15
+    MOV @R13+,R0
+ENDCODE
+
+CODE MIN
+    CMP @R15,R14
+    S<  ?GOTO BW1
+FW1 MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE
+
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] BL [IF]
+#32 CONSTANT BL
+[THEN]
+
+[UNDEFINED] SPACE [IF]
+: SPACE
+BL EMIT ;
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        BL EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0              
+ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U.R [IF]
+: U.R
+  >R  <# 0 # #S #>  
+  R> OVER - 0 MAX SPACES TYPE
+;
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUMP [IF]
+CODE DUMP
+PUSH R13
+PUSH &$1DDC
+MOV #$10,&$1DDC
+ADD @R15,R14
+LO2HI
+  SWAP
+  DO  CR
+    I 4 U.R SPACE
+      I 8 + I
+      DO I C@ 3 U.R LOOP
+      SPACE
+      I $10 + I 8 +
+      DO I C@ 3 U.R LOOP  
+      SPACE SPACE
+      I $10 + I
+      DO I C@ $7E MIN BL MAX EMIT LOOP
+  $10 +LOOP
+  R> $1DDC !
+;
+[THEN]
+
+[UNDEFINED] HERE [IF]
+CODE HERE
+MOV #$4028,R0
+ENDCODE
+[THEN]
+
+PWR_HERE
+
+
+ECHO
+; --------------------------------------------------------------------------------
+; DTCforthMSP430FR5xxx ASSEMBLER, OPCODES IV : Adda|Cmpa|Mova|Suba (without extended word)
+; --------------------------------------------------------------------------------
+; absolute and immediate instructions must be written as $x.xxxx  (DOUBLE numbers)
+; indexed instructions must be written as $.xxxx(REG) (DOUBLE numbers)
+; --------------------------------------------------------------------------------
+
+HERE
+CODE TEST
+MOVA @R10,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>0B 0A<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+MOVA @R11+,R10
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>1A 0B<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+MOVA &$1.2345,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>2B 01 45 23<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+MOVA $.1234(R10),R12
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>3C 0A 34 12<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+MOVA R11,&$1.2345
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>61 0B 45 23<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+MOVA R12,$.1234(R10)
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>7A 0C 34 12<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+MOVA #$0.1,R12
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>8C 00 01 00<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+CMPA #$1.2345,R12
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>9C 01 45 23<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+ADDA #$2.3456,R12
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>AC 02 56 34<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+SUBA #$3.4567,R12
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>BC 03 67 45<04 44 55 4D
+PWR_STATE
+
+
+
+HERE
+CODE TEST
+MOVA R10,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>CB 0A<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+CMPA R10,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>DB 0A<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+ADDA R10,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>EB 0A<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+SUBA R10,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>FB 0A<04 44 55 4D 50 4F
+PWR_STATE
+
+; --------------------------------------------------------------------------------
+; DTCforthMSP430FR5xxx ASSEMBLER: CALLA (without extended word)
+; --------------------------------------------------------------------------------
+; absolute and immediate instructions must be written as $x.xxxx  (DOUBLE numbers)
+; indexed instructions must be written as $.xxxx(REG) (DOUBLE numbers)
+; --------------------------------------------------------------------------------
+
+HERE
+CODE TEST
+CALLA R10
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>4A 13<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+CALLA $.3456(R10)
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>5A 13 56 34<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+CALLA @R10
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>6A 13<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+CALLA @R10+
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>7A 13<04 44 55 4D 50 4F
+PWR_STATE
+
+HERE
+CODE TEST
+CALLA &$2.3456
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>82 13 56 34<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+CALLA #$5.6789
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>B5 13 89 67<04 44 55 4D
+PWR_STATE
+
+; --------------------------------------------------------------------------------
+; DTCforthMSP430FR5xxx ASSEMBLER, OPCODES V extended double operand
+; --------------------------------------------------------------------------------
+; absolute and immediate instructions must be written as $x.xxxx  (DOUBLE numbers)
+; indexed instructions must be written as $.xxxx(REG) (DOUBLE numbers)
+; --------------------------------------------------------------------------------
+
+HERE
+CODE TEST
+MOV R12,R11
+MOVX R12,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>0B 4C 40 18 0B 4C<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+ADD R11,R11
+ADDX.A R11,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>0B 5B 00 18 4B 5B<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+ADD R11,R11
+RPT R9
+ADDX.A R11,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>0B 5B 89 18 4B 5B<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+ADD R11,R11
+RPT #8
+ADDX.A R11,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>0B 5B 07 18 4B 5B<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+ADDC #$9876,R11
+ADDCX.A #$5.9876,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>3B 60 76 98 80 1A 7B 60
+;     76 98<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+ADDC &$9876,R11
+ADDCX.A &$5.9876,R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>1B 62 76 98 80 1A 5B 62
+;     76 98<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+XOR.B $5432(R12),R11
+XORX.B $6.5432(R12),R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>5B EC 32 54 46 18 5B EC
+;     32 54<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+SUBC R11,$5432(R12)
+SUBCX.A R11,$6.5432(R12)
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>8C 7B 32 54 06 18 CC 7B
+;     32 54<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+XOR.B R11,$5432(R12)
+XORX.B R11,$6.5432(R12)
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>CC EB 32 54 46 18 CC EB
+;     32 54<04 44 55 4D
+PWR_STATE
+
+; --------------------------------------------------------------------------------
+; DTCforthMSP430FR5xxx ASSEMBLER, OPCODES VI extended single operand (take count of RPT)
+; --------------------------------------------------------------------------------
+; absolute and immediate instructions must be written as $x.xxxx  (DOUBLE numbers)
+; indexed instructions must be written as $.xxxx(REG) (DOUBLE numbers)
+; --------------------------------------------------------------------------------
+
+HERE
+CODE TEST
+RRA R9
+RRAX R9
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>09 11 40 18 09 11<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+RRC @R9
+RRCX.A @R9
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>29 10 00 18 69 10<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+RRC @R12
+RRCX.A @R12
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>2C 10 00 18 6C 10<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+RRC @R9+
+RRUX.A @R9+
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>39 10 00 19 79 10<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+RRC R11
+RPT #9
+RRUX.A R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>0B 10 08 19 4B 10<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+RRC R11
+RPT R9
+RRUX.A R11
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>0B 10 89 19 4B 10<04 44
+PWR_STATE
+
+HERE
+CODE TEST
+PUSH #$2345
+PUSHX #$0.2345
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>30 12 45 23 40 18 30 12
+;     45 23<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+PUSH &$5678
+PUSHX.A &$4.5678
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>12 12 78 56 00 1A 52 12
+;     78 56<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+PUSH.B &$33
+PUSHX.B &$.33
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>52 12 33 00 40 18 52 12
+;     33 00<04 44 55 4D
+PWR_STATE
+
+HERE
+CODE TEST
+PUSH.B $3344(R11)
+PUSHX.B $.3344(R11)
+ENDCODE
+HERE OVER - DUMP
+; you should see: 45 53 54 52>5B 12 44 33 40 18 5B 12
+;     44 33<04 44 55 4D
+PWR_STATE
+
+
+
+: %.
+$1DDC @ %10 $1DDC ! SWAP 8 EMIT . $1DDC !
+;
+
+: %U.
+$1DDC @ %10 $1DDC ! SWAP 8 EMIT U. $1DDC ! ;
+
+PWR_HERE
+
+
+; ================
+; RRUX test
+; ================
+
+
+CODE RRUX_T
+MOVX #$.F0F0,R8
+RRUX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRUX_T ; you should see %111100001111000 --> %
+
+PWR_STATE
+
+; ================
+; RRUX repeat test
+; ================
+
+
+CODE RRUX_T
+MOV #$F0F0,R8
+RPT #1
+RRUX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRUX_T ; you should see %111100001111000 --> %
+
+PWR_STATE
+
+CODE RRUX_T
+MOV #$F0F0,R8
+RPT #4
+RRUX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRUX_T ; you should see %111100001111 --> %
+
+PWR_STATE
+
+CODE RRUX_T
+MOV #$F0F0,R8
+RPT #8
+RRUX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRUX_T ; you should see %11110000 --> %
+
+PWR_STATE
+
+
+; ================
+; RRCX test
+; ================
+
+
+CODE RRCX_T
+MOV #$8000,R8
+BIC #1,R2
+RRCX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%U.,R0
+ENDCODE
+
+RRCX_T ; you should see %100000000000000 --> %
+
+PWR_STATE
+
+; ================
+; RRCX repeat test
+; ================
+
+CODE RRCX_T
+MOV #$8000,R8
+BIC #1,R2
+RPT #1
+RRCX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%U.,R0
+ENDCODE
+
+RRCX_T ; you should see %100000000000000 --> %
+
+PWR_STATE
+
+CODE RRCX_T
+MOV #$8000,R8
+BIC #1,R2
+RPT #8
+RRCX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%U.,R0
+ENDCODE
+
+RRCX_T ; you should see %10000000 --> %
+
+PWR_STATE
+
+; ================
+; RRAX test
+; ================
+
+
+CODE RRAX_T
+MOV #$8000,R8
+RRAX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRAX_T ; you should see %-100000000000000 --> %
+
+PWR_STATE
+
+; ================
+; RRAX repeat test
+; ================
+
+
+CODE RRAX_T
+MOV #$8000,R8
+RPT #1
+RRAX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRAX_T ; you should see %-100000000000000 --> %
+
+PWR_STATE
+
+CODE RRAX_T
+MOV #$8000,R8
+RPT #2
+RRAX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRAX_T ; you should see %-10000000000000 --> %
+
+PWR_STATE
+
+CODE RRAX_T
+MOV #$8000,R8
+RPT #3
+RRAX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRAX_T ; you should see %-1000000000000 --> %
+
+PWR_STATE
+
+CODE RRAX_T
+MOV #$8000,R8
+RPT #7
+RRAX R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #%.,R0
+ENDCODE
+
+RRAX_T ; you should see %-100000000 --> %
+
+PWR_STATE
+
+; ================
+; RLAX test
+; ================
+
+
+CODE RLAX_T
+MOV #-1,R8
+ADDX R8,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+RLAX_T ; you should see -2 -->
+
+PWR_STATE
+
+; ================
+; RLAX repeat test
+; ================
+
+
+CODE RLAX_T
+MOV #-1,R8
+RPT #1
+ADDX R8,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+RLAX_T ; you should see -2 -->
+
+PWR_STATE
+
+CODE RLAX_T
+MOV #-1,R8
+RPT #2
+ADDX R8,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+RLAX_T ; you should see -4 -->
+
+PWR_STATE
+
+CODE RLAX_T
+MOV #-1,R8
+RPT #3
+ADDX R8,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+RLAX_T ; you should see -8 -->
+
+PWR_STATE
+
+CODE RLAX_T
+MOV #-1,R8
+RPT #8
+ADDX R8,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+RLAX_T ; you should see -256 -->
+
+PWR_STATE
+
+; ================
+; ADDX test
+; ================
+
+
+CODE ADDX_T
+MOV #0,R8
+MOV #-1,R9
+ADDX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+ADDX_T ; you should see -1 -->
+
+PWR_STATE
+
+; ================
+; ADDX repeat test
+; ================
+
+
+CODE ADDX_T
+MOV #0,R8
+MOV #-1,R9
+RPT #1
+ADDX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+ADDX_T ; you should see -1 -->
+
+PWR_STATE
+
+CODE ADDX_T
+MOV #0,R8
+MOV #-1,R9
+RPT #2
+ADDX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+ADDX_T ; you should see -2 -->
+
+PWR_STATE
+
+CODE ADDX_T
+MOV #0,R8
+MOV #-1,R9
+RPT #8
+ADDX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+ADDX_T ; you should see -8 -->
+
+PWR_STATE
+
+
+; ================
+; SUBX test
+; ================
+
+
+CODE SUBX_T
+MOV #0,R8
+MOV #-1,R9
+SUBX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+SUBX_T ; you should see 1 -->
+
+PWR_STATE
+
+; ================
+; SUBX repeat test
+; ================
+
+
+CODE SUBX_T
+MOV #0,R8
+MOV #-1,R9
+RPT #1
+SUBX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+SUBX_T ; you should see 1 -->
+
+PWR_STATE
+
+CODE SUBX_T
+MOV #0,R8
+MOV #-1,R9
+RPT #2
+SUBX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+SUBX_T ; you should see 2 -->
+
+PWR_STATE
+
+CODE SUBX_T
+MOV #0,R8
+MOV #-1,R9
+RPT #8
+SUBX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+SUBX_T ; you should see 8 -->
+
+PWR_STATE
+
+CODE SUBX_T
+MOV #15,R10
+MOV #0,R8
+MOV #-1,R9
+RPT R10
+SUBX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+SUBX_T ; you should see 16 -->
+
+PWR_STATE
+
+CODE SUBX_T
+MOV #32,R10
+MOV #0,R8
+MOV #-1,R9
+RPT R10
+SUBX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+SUBX_T ; you should see 1 -->
+
+PWR_STATE
+
+CODE SUBX_T
+MOV #33,R10
+MOV #0,R8
+MOV #-1,R9
+RPT R10
+SUBX R9,R8
+SUB #2,R15
+MOV R14,0(R15)
+MOV R8,R14
+MOV #.,R0
+ENDCODE
+
+SUBX_T ; you should see 2 -->
+
+RST_STATE
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/TSTWORDS.4TH b/MSP430-FORTH/MSP_EXP430FR5994/TSTWORDS.4TH
new file mode 100644 (file)
index 0000000..fb92b9c
--- /dev/null
@@ -0,0 +1,90 @@
+\ -----------------------------
+\ MSP-EXP430FR5969_TSTWORDS.4th
+\ -----------------------------
+
+PWR_STATE
+
+\ -----------------------------------------------------------------------
+\ test some assembler words and show how to mix FORTH/ASSEMBLER routines
+\ -----------------------------------------------------------------------
+LOAD" \misc\TestASM.4th"
+
+\ -------------------------------------
+\ here we returned in the TestWords.4th
+\ -------------------------------------
+ECHO
+\ ----------
+\ LOOP tests
+\ ----------
+: LOOP_TEST 8 0 DO I . LOOP 
+;
+
+LOOP_TEST   \ you should see 0 1 2 3 4 5 6 7 -->
+
+
+: LOOP_TEST1    \   n <LOOP_TEST1> ---
+
+    BEGIN   DUP U. 1 -
+    ?DUP
+    0= UNTIL 
+;
+
+: LOOP_MAX      \ FIND_NOTHING      --
+    0 0
+    DO
+    LOOP            \ 14 cycles by loop
+    ABORT" 65536 LOOP " 
+;
+
+ : FIND_TEST            \ FIND_TEST <word>     --
+    $20 WORD             \ -- c-addr
+        50000 0 
+        DO              \ -- c-addr 
+            DUP   
+            FIND DROP DROP
+        LOOP
+     FIND
+     0=  IF ABORT" <-- not found !"
+         ELSE ABORT" <-- found !"
+         THEN 
+  ;
+      
+\ seeking $ word, FIND jumps all words on their first character so time of word loop is 20 cycles
+\ see FIND in the source file for more information
+\  
+\ FIND_TEST <lastword> result @ 8MHz, monothread : 1,2s  
+\
+\ FIND_TEST $ results @ 8MHz, monothread, 201 words in vocabulary FORTH :
+\ 27 seconds with only FORTH vocabulary in CONTEXT
+\ 540 us for one search ( which gives the delay for QNUMBER in INTERPRET routine)
+\ 2.6866 us / word, 21,49 cycles / word (for 20 cycles calculated (see FIND in source file)
+\
+\
+\ FIND_TEST $ results @ 8MHz, 2 threads, 201 words in vocabulary FORTH :
+\ 13 second with only FORTH vocabulary in CONTEXT
+\ 260 us for one search ( which gives the delay for QNUMBER in INTERPRET routine)
+\ 1,293 us / word, 10,34 cycles / word
+\
+\ FIND_TEST $ results @ 8MHz, 4 threads, 201 words in vocabulary FORTH :
+\ 8 second with only FORTH vocabulary in CONTEXT
+\ 160 us for one search ( which gives the delay for QNUMBER in INTERPRET routine)
+\ 0,796 us / word, 6,37 cycles / word 
+\
+\ FIND_TEST $ results @ 8MHz, 8 threads, 201 words in vocabulary FORTH :
+\ 4.66 second with only FORTH vocabulary in CONTEXT
+\ 93 us for one search ( which gives the delay for QNUMBER in INTERPRET routine)
+\ 0,4463 us / word, 3,7 cycles / word  
+\
+\ FIND_TEST $ results @ 8MHz, 16 threads, 201 words in vocabulary FORTH :
+\ 2,8 second with only FORTH vocabulary in CONTEXT
+\ 56 us for one search ( which gives the delay for QNUMBER in INTERPRET routine)
+\ 0,278 us / word, 2,22 cycles / word  
+\
+\ --------
+\ KEY test
+\ --------
+: KEY_TEST
+    ."  type a key : "
+    KEY EMIT    \ wait for a KEY, then emit it
+;
+\ KEY_TEST
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/UARTI2CS.4TH b/MSP430-FORTH/MSP_EXP430FR5994/UARTI2CS.4TH
new file mode 100644 (file)
index 0000000..fb338a6
--- /dev/null
@@ -0,0 +1,297 @@
+; ----------------------------------------------------------------------
+; UARTI2CS.4th for MSP_EXP430FR5994
+; ----------------------------------------------------------------------
+
+CODE ABORT_UARTI2CS
+SUB #4,R15
+MOV R14,2(R15)
+MOV &$1812,R14
+BIT #$7800,R14
+0<> IF MOV #0,R14 THEN
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+ABORT" <-- Ouch! unexpected I2C_FastForth target!"
+PWR_STATE
+;
+
+ABORT_UARTI2CS
+
+[DEFINED] {UARTI2CS} 
+[IF] {UARTI2CS}
+[THEN]
+
+MARKER {UARTI2CS}
+8 ALLOT
+
+[UNDEFINED] CONSTANT [IF]
+: CONSTANT 
+CREATE
+HI2LO
+MOV R14,-2(R10)
+MOV @R15+,R14
+MOV @R1+,R13
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+$FFA2 CONSTANT I2CS_ADR
+
+HDNCODE I2CSTOP
+BIS.B #2,&$265
+MOV R0,R0
+BIS.B #4,&$265
+MOV R0,R0
+BIC.B #2,&$265
+MOV R0,R0
+BIC.B #4,&$265
+MOV @R1+,R0
+ENDCODE
+
+HDNCODE STOP_U2I
+BW1
+CMP #$40AA,&{UARTI2CS}+8
+0<> IF
+    CALL #I2CSTOP
+    MOV #6,R10
+    BIC.B R10,&$265
+    BIS.B R10,&$263
+    BIS.B R10,&$267
+    MOV #0,&$3C0
+    MOV #{UARTI2CS}+10,R10
+    MOV #$40AA,-2(R10)
+    MOV @R10+,&WARM+2
+    MOV @R10+,&$FFF0
+    MOV @R10+,&$FFF4
+    MOV #1,R14
+THEN
+MOV @R1+,R0
+ENDCODE
+
+
+
+HDNCODE U2I_TERM_INT
+ADD #4,R1
+MOV &{UARTI2CS}+16,R10
+MOV #$1CE4,R11
+MOV #$0D,R12
+BEGIN
+    MOV.B &$5CC,R8
+    ADD #1,R11
+    MOV.B R8,-1(R11)
+    CMP.B R8,R12
+0<> WHILE
+    CMP #0,R10
+    0= IF
+        BEGIN
+            BIT #2,&$5DC
+        0<> UNTIL
+        MOV.B R8,&$5CE
+    THEN
+    BEGIN
+        BIT #1,&$5DC
+    0<> UNTIL
+REPEAT
+CALL #$41C8
+BEGIN
+    BIT #1,&$5DC
+0<> UNTIL
+BW2
+MOV.B &$5CC,R12
+MOV.B R12,0(R11)
+BW3
+BIS.B   #4,&$265
+MOV.B   &I2CS_ADR,R9
+MOV     #$1CE4,R8
+MOV R0,R0
+BIS.B   #2,&$265
+BEGIN
+    MOV.B #8,R10
+    BEGIN
+        ADD.B R9,R9
+        U>= IF
+            BIC.B #4,&$265
+        ELSE
+            BIS.B #4,&$265
+        THEN
+        BIC.B #2,&$265
+        BEGIN
+            BIT.B #2,&$261
+        0<> UNTIL
+        BIS.B #2,&$265
+        SUB #1,R10
+    0= UNTIL
+    BIC.B #4,&$265
+    BIC.B #2,&$265
+    MOV R0,R0
+    BIT.B #4,&$261
+    BIS.B #2,&$265
+0= WHILE
+    CMP R12,R11
+0<> WHILE
+    MOV.B @R8+,R9
+    MOV R9,R11
+REPEAT
+THEN
+GOTO FW1
+ENDCODE
+
+
+HDNCODE HALF_S_INT
+ADD #4,R1
+FW1
+BW3
+CMP #0,&$1812
+0>= IF
+  MOV #%0001_0101_0110,&$3C0
+ELSE
+  MOV #%0001_1101_0110,&$3C0
+THEN
+BEGIN
+    BIC.B #2,&$265
+    BIT #8,&$5CA
+    0<> ?GOTO BW1
+    BIS.B   #4,&$265
+    MOV.B   &I2CS_ADR,R8
+    BIS.B   #1,R8
+    $3C00 ,
+    BIS.B   #2,&$265
+    MOV.B #8,R10
+    BEGIN
+        ADD.B R8,R8
+        U>= IF
+           BIC.B #4,&$265
+        ELSE
+           BIS.B #4,&$265
+        THEN
+        BIC.B #2,&$265
+        MOV R0,R0
+        BIS.B #2,&$265
+        SUB #1,R10
+    0= UNTIL
+    BIC.B   #4,&$265
+    BIC.B   #2,&$265
+    BEGIN
+        BIT.B #2,&$261
+    0<> UNTIL
+    BIT.B   #4,&$261
+    BIS.B   #2,&$265
+    0<> IF
+        CALL #I2CSTOP
+        MOV #$4000,R0
+    THEN
+    BEGIN
+        BEGIN
+            BIC.B #4,&$265
+            MOV.B #8,R10
+            BEGIN
+               BIC.B #2,&$265
+               MOV R0,R0
+               BIT.B #4,&$261
+               BIS.B #2,&$265
+               ADDC.B R9,R9
+               SUB #1,R10
+            0= UNTIL
+            CMP.B #-1,R9
+            0= IF
+                MOV #2,R9
+            THEN
+            CMP.B #8,R9
+        U>= WHILE
+            BEGIN
+                BIT #2,&$5DC
+            0<> UNTIL
+            BIS.B #4,&$265
+            BIC.B #2,&$265
+            BEGIN
+                BIT.B #2,&$261
+            0<> UNTIL
+            MOV.B R9,&$5CE
+            BIS.B #2,&$265
+        REPEAT
+        CMP.B #4,R9
+        U>= IF
+            MOV #0,&{UARTI2CS}+16
+            0= IF
+                MOV #-1,&{UARTI2CS}+16
+            THEN
+            BIS.B #4,&$265
+        THEN
+        BIC.B #2,&$265
+        BEGIN
+            BIT.B #2,&$261
+        0<> UNTIL
+        BIT.B #4,&$261
+        BIS.B #2,&$265
+    0<> UNTIL
+    CMP.B #2,R9
+U>= WHILE
+    0= IF
+        MOV #0,&{UARTI2CS}+16
+        CALL #$41C6
+        BEGIN
+            BIC #1,&$5DC
+            MOV &$1800,R8
+            BEGIN MOV #32,R10
+                BEGIN SUB #1,R10
+                0= UNTIL
+                SUB #1,R8
+            0= UNTIL
+            BIT #1,&$5DC
+        0= UNTIL
+    THEN
+REPEAT
+CALL #I2CSTOP
+CMP.B #1,R9
+0= IF
+    MOV #$1CE4,R11
+    CALL #$41C6
+    BEGIN
+        BIT #1,&$5DC
+    0<> UNTIL
+    CALL #$41C8
+    GOTO BW2
+THEN
+MOV #$4000,R0
+ENDCODE
+
+HDNCODE INI_U2I
+CALL &{UARTI2CS}+10
+CMP #$0E,R14
+0<> IF
+    CMP #$0A,R14
+    U>= ?GOTO BW1
+THEN
+BIT.B #$20,&$240
+0= ?GOTO BW1
+MOV #0,&$1808
+MOV #$800,&$3D2
+BIC.B #6,&$267
+BIC.B #6,&$263
+GOTO BW3
+ENDCODE
+
+: UARTI2CS
+CR I2CS_ADR !
+HI2LO
+CMP #$40AA,&{UARTI2CS}+8
+0= IF
+    MOV #STOP_U2I,&{UARTI2CS}+8
+    MOV &WARM+2,&{UARTI2CS}+10
+    MOV &$FFF0,&{UARTI2CS}+12
+    MOV &$FFF4,&{UARTI2CS}+14
+
+    MOV #0,&{UARTI2CS}+16
+    MOV #INI_U2I,&WARM+2
+    MOV #U2I_TERM_INT,&$FFF0
+    MOV #HALF_S_INT,&$FFF4
+
+THEN
+MOV #WARM,R0
+ENDCODE           
+
+RST_HERE ECHO
+18 UARTI2CS     ; TERATERM(Alt-B) or I2C_Master($20+RST) to quit
diff --git a/MSP430-FORTH/MSP_EXP430FR5994/UTILITY.4TH b/MSP430-FORTH/MSP_EXP430FR5994/UTILITY.4TH
new file mode 100644 (file)
index 0000000..2649d8f
--- /dev/null
@@ -0,0 +1,451 @@
+
+
+; ------------------------------------------------------------------------------
+; UTILITY.4th for MSP_EXP430FR5994
+; ------------------------------------------------------------------------------
+
+CODE ABORT_UTILITY
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$180E,R14
+SUB #308,R14
+COLON
+$0D EMIT
+ABORT" FastForth V3.8 please!"
+PWR_STATE
+;
+
+ABORT_UTILITY
+
+PWR_STATE
+
+[DEFINED] {TOOLS} [IF]  {TOOLS} [THEN]
+
+[UNDEFINED] {TOOLS} [IF]
+
+MARKER {TOOLS} 
+
+[UNDEFINED] EXIT [IF]
+CODE EXIT
+MOV @R1+,R13
+MOV @R13+,R0
+
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] U< [IF]
+CODE U<
+SUB @R15+,R14
+0<> IF
+    MOV #-1,R14
+    U< IF
+        AND #0,R14
+    THEN
+THEN
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] IF [IF]
+CODE IF
+SUB #2,R15
+MOV R14,0(R15)
+MOV &$1DC6,R14
+ADD #4,&$1DC6
+MOV #$4034,0(R14)
+ADD #2,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE THEN
+MOV &$1DC6,0(R14)
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] BEGIN [IF]
+CODE BEGIN
+    MOV #$4028,R0
+ENDCODE IMMEDIATE
+
+CODE UNTIL
+    MOV #$4034,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE AGAIN
+MOV #$403A,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+
+: WHILE
+POSTPONE IF SWAP
+; IMMEDIATE
+
+: REPEAT
+POSTPONE AGAIN POSTPONE THEN
+; IMMEDIATE
+[THEN]
+
+[UNDEFINED] DO [IF]
+CODE DO
+SUB #2,R15
+MOV R14,0(R15)
+ADD #2,&$1DC6
+MOV &$1DC6,R14
+MOV #$403E,-2(R14)
+ADD #2,&$1C00
+MOV &$1C00,R10
+MOV #0,0(R10)
+MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE LOOP
+    MOV #$4060,R9
+BW1 ADD #4,&$1DC6
+    MOV &$1DC6,R10
+    MOV R9,-4(R10)
+    MOV R14,-2(R10)
+BEGIN
+    MOV &$1C00,R14
+    SUB #2,&$1C00
+    MOV @R14,R14
+    CMP #0,R14
+0<> WHILE
+    MOV R10,0(R14)
+REPEAT
+    MOV @R15+,R14
+    MOV @R13+,R0
+ENDCODE IMMEDIATE
+
+CODE +LOOP
+MOV #$404E,R9
+GOTO BW1
+ENDCODE IMMEDIATE
+[THEN]
+
+[UNDEFINED] I [IF]
+CODE I
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1,R14
+SUB 2(R1),R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] DUP [IF]
+CODE DUP
+BW1 SUB #2,R15
+    MOV R14,0(R15)
+    MOV @R13+,R0
+ENDCODE
+
+CODE ?DUP
+CMP #0,R14
+0<> ?GOTO BW1
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SWAP [IF]
+CODE SWAP
+MOV @R15,R10
+MOV R14,0(R15)
+MOV R10,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+
+[UNDEFINED] DROP [IF]
+CODE DROP
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] >R [IF]
+CODE >R
+PUSH R14
+MOV @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] R> [IF]
+CODE R>
+SUB #2,R15
+MOV R14,0(R15)
+MOV @R1+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] SPACE [IF]
+: SPACE
+$20 EMIT ;
+[THEN]
+
+[UNDEFINED] SPACES [IF]
+CODE SPACES
+CMP #0,R14
+0<> IF
+    PUSH R13
+    BEGIN
+        LO2HI
+        $20 EMIT
+        HI2LO
+        SUB #2,R13 
+        SUB #1,R14
+    0= UNTIL
+    MOV @R1+,R13
+THEN
+MOV @R15+,R14
+MOV @R13+,R0              
+ENDCODE
+[THEN]
+
+[UNDEFINED] 2DUP [IF]
+CODE 2DUP
+MOV R14,-2(R15)
+MOV @R15,-4(R15)
+SUB #4,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] 1+ [IF]
+CODE 1+
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] + [IF]
+CODE +
+ADD @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] - [IF]
+CODE -
+SUB @R15+,R14
+XOR #-1,R14
+ADD #1,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] C@ [IF]
+CODE C@
+MOV.B @R14,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] AND [IF]
+CODE AND
+AND @R15+,R14
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] ROT [IF]
+CODE ROT
+MOV @R15,R10
+MOV R14,0(R15)
+MOV 2(R15),R14
+MOV R10,2(R15)
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MAX [IF]
+    CODE MAX
+        CMP @R15,R14
+        S< ?GOTO FW1
+BW1     ADD #2,R15
+        MOV @R13+,R0
+    ENDCODE
+
+    CODE MIN
+        CMP @R15,R14
+        S< ?GOTO BW1
+FW1     MOV @R15+,R14
+        MOV @R13+,R0
+    ENDCODE
+[THEN]
+
+[UNDEFINED] OVER [IF]
+CODE OVER
+MOV R14,-2(R15)
+MOV @R15,R14
+SUB #2,R15
+MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] MOVE [IF]
+CODE MOVE
+MOV R14,R10
+MOV @R15+,R8
+MOV @R15+,R9
+MOV @R15+,R14
+CMP #0,R10
+0<> IF
+    CMP R9,R8
+    0= ?GOTO FW1
+    U< IF
+        BEGIN
+            MOV.B @R9+,0(R8)
+            ADD #1,R8
+            SUB #1,R10
+        0= UNTIL
+        MOV @R13+,R0
+    ELSE
+        ADD R10,R8
+        ADD R10,R9
+        BEGIN
+            SUB #1,R9
+            SUB #1,R8
+            MOV.B @R9,0(R8)
+            SUB #1,R10
+        0= UNTIL
+    THEN
+THEN
+FW1 MOV @R13+,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] .S [IF]
+CODE .S
+    MOV     R14,-2(R15)
+    MOV     R15,R14
+    SUB     #2,R14
+    MOV     R14,-6(R15)
+    MOV     #$1C80,R14
+    SUB     #2,R14
+BW1 MOV     R14,-4(R15)
+    SUB     #6,R15
+    SUB     @R15,R14
+    RRA     R14
+COLON
+    $3C EMIT
+    .
+    $08 EMIT
+    $3E EMIT SPACE
+    2DUP 1+
+    U< IF 
+        DROP DROP EXIT
+    THEN
+    $1DDC @ >R
+    $10 $1DDC !
+    DO 
+        I @ U.
+    2 +LOOP
+    R> $1DDC !
+;
+[THEN]
+
+[UNDEFINED] .RS [IF]
+CODE .RS
+    MOV     R14,-2(R15)
+    MOV     R1,-6(R15)
+    MOV     #$1CE0,R14
+    GOTO    BW1
+ENDCODE
+[THEN]
+
+[UNDEFINED] ? [IF]
+CODE ?          
+    MOV @R14,R14
+    MOV #U.,R0
+ENDCODE
+[THEN]
+
+[UNDEFINED] WORDS [IF]
+: WORDS
+CR 
+$1DCA @ $1CE4
+$1810 @ DUP +
+MOVE
+BEGIN
+    0 DUP
+    $1810 @ DUP + 0
+        DO
+        DUP I $1CE4 + @
+            U< IF
+                DROP DROP
+                I DUP $1CE4 + @
+            THEN
+        2 +LOOP
+    ?DUP
+WHILE
+    DUP
+    2 - @
+    ROT
+    $1CE4 +
+    !
+    DUP
+    COUNT $7F AND
+    TYPE
+    C@ $0F AND
+    $10 SWAP - SPACES
+REPEAT
+DROP
+;
+[THEN]
+
+[UNDEFINED] U.R [IF]
+: U.R
+>R  <# 0 # #S #>  
+R> OVER - 0 MAX SPACES TYPE
+;
+[THEN]
+
+[UNDEFINED] DUMP [IF]
+CODE DUMP
+PUSH R13
+PUSH &$1DDC
+MOV #$10,&$1DDC
+ADD @R15,R14
+LO2HI
+  SWAP 2DUP
+  U. U.
+  $FFF0 AND
+  DO  CR
+    I 4 U.R SPACE
+      I 8 + I
+      DO I C@ 3 U.R LOOP
+      SPACE
+      I $10 + I 8 +
+      DO I C@ 3 U.R LOOP  
+      SPACE SPACE
+      I $10 + I
+      DO I C@ $7E MIN $20 MAX EMIT LOOP
+  $10 +LOOP
+  R> $1DDC !
+;
+[THEN]
+
+RST_HERE
+
+[THEN]
+ECHO
index 22188b2..60f2128 100644 (file)
@@ -85,10 +85,10 @@ CODE ABORT_RC5TOLCD
 SUB #2,PSP
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
+SUB #308,TOS        \ FastForth V3.8
 COLON
 'CR' EMIT            \ return to column 1 without 'LF'
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE           \ remove ABORT_UARTI2CS definition before resuming
 ;
 
@@ -97,16 +97,11 @@ ABORT_RC5TOLCD
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -320,9 +315,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -339,12 +333,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -356,7 +349,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -397,7 +389,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -421,12 +413,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -446,64 +438,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -562,7 +563,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -588,33 +588,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -640,34 +619,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -881,9 +862,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -900,12 +880,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -917,7 +896,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -958,7 +936,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -982,12 +960,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -1007,64 +985,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -1123,7 +1110,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -1149,33 +1135,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -1201,34 +1166,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -1442,9 +1409,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -1461,12 +1427,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -1478,7 +1443,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -1519,7 +1483,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -1543,12 +1507,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -1568,64 +1532,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -1684,7 +1657,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -1710,33 +1682,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -1762,34 +1713,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -2003,9 +1956,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -2022,12 +1974,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -2039,7 +1990,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -2080,7 +2030,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -2104,12 +2054,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -2129,64 +2079,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -2245,7 +2204,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -2271,33 +2229,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -2323,34 +2260,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -2564,9 +2503,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -2583,12 +2521,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -2600,7 +2537,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -2641,7 +2577,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -2665,12 +2601,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -2690,64 +2626,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -2806,7 +2751,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -2832,33 +2776,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -2884,34 +2807,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -3125,9 +3050,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -3144,12 +3068,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -3161,7 +3084,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -3202,7 +3124,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -3226,12 +3148,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -3251,64 +3173,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -3367,7 +3298,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -3393,33 +3323,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -3445,34 +3354,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -3686,9 +3597,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -3705,12 +3615,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -3722,7 +3631,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -3763,7 +3671,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -3787,12 +3695,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -3812,64 +3720,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -3928,7 +3845,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -3954,33 +3870,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -4006,34 +3901,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -4247,9 +4144,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -4266,12 +4162,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -4283,7 +4178,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -4324,7 +4218,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -4348,12 +4242,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -4373,64 +4267,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -4489,7 +4392,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -4515,33 +4417,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -4567,34 +4448,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -4808,9 +4691,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -4827,12 +4709,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -4844,7 +4725,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -4885,7 +4765,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -4909,12 +4789,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -4934,64 +4814,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -5050,7 +4939,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -5076,33 +4964,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -5128,34 +4995,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -5369,9 +5238,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -5388,12 +5256,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -5405,7 +5272,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -5446,7 +5312,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -5470,12 +5336,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -5495,64 +5361,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -5611,7 +5486,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -5637,33 +5511,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -5689,34 +5542,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -5930,9 +5785,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -5949,12 +5803,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -5966,7 +5819,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -6007,7 +5859,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -6031,12 +5883,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -6056,64 +5908,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -6172,7 +6033,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -6198,33 +6058,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -6250,34 +6089,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -6491,9 +6332,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -6510,12 +6350,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -6527,7 +6366,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -6568,7 +6406,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -6592,12 +6430,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -6617,64 +6455,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -6733,7 +6580,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -6759,33 +6605,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -6811,34 +6636,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -7052,9 +6879,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -7071,12 +6897,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -7088,7 +6913,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -7129,7 +6953,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -7153,12 +6977,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -7178,64 +7002,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -7294,7 +7127,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -7320,33 +7152,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -7372,34 +7183,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -7613,9 +7426,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -7632,12 +7444,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -7649,7 +7460,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -7690,7 +7500,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -7714,12 +7524,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -7739,64 +7549,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -7855,7 +7674,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -7881,33 +7699,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -7933,34 +7730,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -8174,9 +7973,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -8193,12 +7991,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -8210,7 +8007,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -8251,7 +8047,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -8275,12 +8071,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -8300,64 +8096,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -8416,7 +8221,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -8442,33 +8246,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -8494,34 +8277,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -8735,9 +8520,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -8754,12 +8538,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -8771,7 +8554,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -8812,7 +8594,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -8836,12 +8618,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -8861,64 +8643,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -8977,7 +8768,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -9003,33 +8793,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -9055,34 +8824,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -9296,9 +9067,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -9315,12 +9085,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -9332,7 +9101,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -9373,7 +9141,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -9397,12 +9165,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -9422,64 +9190,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -9538,7 +9315,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -9564,33 +9340,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -9616,34 +9371,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -9857,9 +9614,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -9876,12 +9632,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -9893,7 +9648,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -9934,7 +9688,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -9958,12 +9712,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -9983,64 +9737,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -10099,7 +9862,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -10125,33 +9887,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -10177,34 +9918,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -10418,9 +10161,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -10437,12 +10179,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -10454,7 +10195,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -10495,7 +10235,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -10519,12 +10259,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -10544,64 +10284,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -10660,7 +10409,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -10686,33 +10434,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -10738,34 +10465,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -10979,9 +10708,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -10998,12 +10726,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -11015,7 +10742,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -11056,7 +10782,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -11080,12 +10806,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -11105,64 +10831,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -11221,7 +10956,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -11247,33 +10981,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -11299,34 +11012,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -11540,9 +11255,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -11559,12 +11273,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -11576,7 +11289,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -11617,7 +11329,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -11641,12 +11353,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -11666,64 +11378,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -11782,7 +11503,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -11808,33 +11528,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -11860,34 +11559,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -12101,9 +11802,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -12120,12 +11820,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -12137,7 +11836,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -12178,7 +11876,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -12202,12 +11900,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -12227,64 +11925,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -12343,7 +12050,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -12369,33 +12075,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -12421,34 +12106,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -12662,9 +12349,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -12681,12 +12367,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -12698,7 +12383,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -12739,7 +12423,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -12763,12 +12447,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -12788,64 +12472,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -12904,7 +12597,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -12930,33 +12622,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -12982,34 +12653,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -13223,9 +12896,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -13242,12 +12914,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -13259,7 +12930,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -13300,7 +12970,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -13324,12 +12994,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -13349,64 +13019,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -13465,7 +13144,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -13491,33 +13169,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -13543,34 +13200,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -13784,9 +13443,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -13803,12 +13461,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -13820,7 +13477,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -13861,7 +13517,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -13885,12 +13541,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -13910,64 +13566,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -14026,7 +13691,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -14052,33 +13716,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -14104,34 +13747,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -14345,9 +13990,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -14364,12 +14008,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -14381,7 +14024,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -14422,7 +14064,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -14446,12 +14088,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -14471,64 +14113,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -14587,7 +14238,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -14613,33 +14263,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -14665,34 +14294,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -14906,9 +14537,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -14925,12 +14555,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -14942,7 +14571,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -14983,7 +14611,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -15007,12 +14635,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -15032,64 +14660,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -15148,7 +14785,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -15174,33 +14810,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -15226,34 +14841,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -15467,9 +15084,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -15486,12 +15102,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -15503,7 +15118,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -15544,7 +15158,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -15568,12 +15182,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -15593,64 +15207,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -15709,7 +15332,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -15735,33 +15357,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -15787,34 +15388,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -16028,9 +15631,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -16047,12 +15649,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -16064,7 +15665,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -16105,7 +15705,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -16129,12 +15729,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -16154,64 +15754,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -16270,7 +15879,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -16296,33 +15904,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -16348,34 +15935,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -16589,9 +16178,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -16608,12 +16196,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -16625,7 +16212,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -16666,7 +16252,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -16690,12 +16276,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -16715,64 +16301,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -16831,7 +16426,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -16857,33 +16451,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -16909,34 +16482,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -17150,9 +16725,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -17169,12 +16743,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -17186,7 +16759,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -17227,7 +16799,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -17251,12 +16823,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -17276,64 +16848,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -17392,7 +16973,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -17418,33 +16998,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -17470,34 +17029,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -17711,9 +17272,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -17730,12 +17290,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -17747,7 +17306,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -17788,7 +17346,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -17812,12 +17370,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -17837,64 +17395,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -17953,7 +17520,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -17979,33 +17545,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -18031,34 +17576,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -18272,9 +17819,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -18291,12 +17837,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -18308,7 +17853,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -18349,7 +17893,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -18373,12 +17917,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -18398,64 +17942,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -18514,7 +18067,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -18540,33 +18092,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -18592,34 +18123,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -18833,9 +18366,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -18852,12 +18384,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -18869,7 +18400,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -18910,7 +18440,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -18934,12 +18464,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -18959,64 +18489,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -19075,7 +18614,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -19101,33 +18639,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -19153,34 +18670,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -19394,9 +18913,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -19413,12 +18931,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -19430,7 +18947,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -19471,7 +18987,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -19495,12 +19011,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -19520,64 +19036,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -19636,7 +19161,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -19662,33 +19186,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -19714,34 +19217,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -19955,9 +19460,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -19974,12 +19478,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -19991,7 +19494,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -20032,7 +19534,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -20056,12 +19558,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -20081,64 +19583,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -20197,7 +19708,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -20223,33 +19733,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -20275,34 +19764,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -20516,9 +20007,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -20535,12 +20025,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -20552,7 +20041,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -20593,7 +20081,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -20617,12 +20105,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -20642,64 +20130,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -20758,7 +20255,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -20784,33 +20280,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -20836,34 +20311,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -21077,9 +20554,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -21096,12 +20572,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -21113,7 +20588,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -21154,7 +20628,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -21178,12 +20652,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -21203,64 +20677,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -21319,7 +20802,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -21345,33 +20827,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -21397,34 +20858,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -21638,9 +21101,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -21657,12 +21119,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -21674,7 +21135,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -21715,7 +21175,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -21739,12 +21199,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -21764,64 +21224,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -21880,7 +21349,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -21906,33 +21374,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -21958,34 +21405,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -22199,9 +21648,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -22218,12 +21666,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -22235,7 +21682,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -22276,7 +21722,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -22300,12 +21746,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -22325,64 +21771,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -22441,7 +21896,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -22467,33 +21921,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -22519,34 +21952,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -22760,9 +22195,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -22779,12 +22213,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -22796,7 +22229,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -22837,7 +22269,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -22861,12 +22293,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -22886,64 +22318,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -23002,7 +22443,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -23028,33 +22468,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -23080,34 +22499,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -23321,9 +22742,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -23340,12 +22760,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -23357,7 +22776,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -23398,7 +22816,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -23422,12 +22840,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -23447,64 +22865,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -23563,7 +22990,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -23589,33 +23015,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -23641,34 +23046,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -23882,9 +23289,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -23901,12 +23307,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -23918,7 +23323,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -23959,7 +23363,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -23983,12 +23387,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -24008,64 +23412,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -24124,7 +23537,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -24150,33 +23562,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -24202,34 +23593,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -24443,9 +23836,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -24462,12 +23854,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -24479,7 +23870,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -24520,7 +23910,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -24544,12 +23934,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -24569,64 +23959,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -24685,7 +24084,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -24711,33 +24109,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -24763,34 +24140,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -25004,9 +24383,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -25023,12 +24401,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -25040,7 +24417,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -25081,7 +24457,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -25105,12 +24481,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -25130,64 +24506,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -25246,7 +24631,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -25272,33 +24656,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -25324,34 +24687,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -25565,9 +24930,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -25584,12 +24948,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -25601,7 +24964,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -25642,7 +25004,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -25666,12 +25028,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -25691,64 +25053,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -25807,7 +25178,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -25833,33 +25203,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -25885,34 +25234,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -26126,9 +25477,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -26145,12 +25495,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -26162,7 +25511,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -26203,7 +25551,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -26227,12 +25575,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -26252,64 +25600,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -26368,7 +25725,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -26394,33 +25750,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -26446,34 +25781,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -26687,9 +26024,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -26706,12 +26042,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -26723,7 +26058,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -26764,7 +26098,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -26788,12 +26122,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -26813,64 +26147,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -26929,7 +26272,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -26955,33 +26297,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -27007,34 +26328,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -27248,9 +26571,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -27267,12 +26589,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -27284,7 +26605,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -27325,7 +26645,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -27349,12 +26669,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -27374,64 +26694,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -27490,7 +26819,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -27516,33 +26844,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -27568,34 +26875,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -27809,9 +27118,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -27828,12 +27136,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -27845,7 +27152,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -27886,7 +27192,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -27910,12 +27216,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -27935,64 +27241,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -28051,7 +27366,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -28077,33 +27391,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -28129,34 +27422,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -28370,9 +27665,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -28389,12 +27683,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -28406,7 +27699,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -28447,7 +27739,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -28471,12 +27763,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -28496,64 +27788,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -28612,7 +27913,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -28638,33 +27938,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -28690,34 +27969,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -28931,9 +28212,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -28950,12 +28230,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -28967,7 +28246,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -29008,7 +28286,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -29032,12 +28310,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -29057,64 +28335,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -29173,7 +28460,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -29199,33 +28485,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -29251,34 +28516,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -29492,9 +28759,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -29511,12 +28777,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -29528,7 +28793,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -29569,7 +28833,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -29593,12 +28857,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -29618,64 +28882,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -29734,7 +29007,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -29760,33 +29032,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -29812,34 +29063,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -30053,9 +29306,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -30072,12 +29324,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -30089,7 +29340,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -30130,7 +29380,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -30154,12 +29404,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -30179,64 +29429,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -30295,7 +29554,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -30321,33 +29579,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -30373,34 +29610,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -30614,9 +29853,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -30633,12 +29871,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -30650,7 +29887,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -30691,7 +29927,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -30715,12 +29951,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -30740,64 +29976,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -30856,7 +30101,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -30882,33 +30126,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -30934,34 +30157,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -31175,9 +30400,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -31194,12 +30418,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -31211,7 +30434,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -31252,7 +30474,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -31276,12 +30498,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -31301,64 +30523,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -31417,7 +30648,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -31443,33 +30673,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -31495,34 +30704,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -31736,9 +30947,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -31755,12 +30965,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -31772,7 +30981,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -31813,7 +31021,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -31837,12 +31045,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -31862,64 +31070,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -31978,7 +31195,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -32004,33 +31220,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -32056,34 +31251,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -32297,9 +31494,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -32316,12 +31512,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -32333,7 +31528,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -32374,7 +31568,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -32398,12 +31592,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -32423,64 +31617,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -32539,7 +31742,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -32565,33 +31767,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -32617,34 +31798,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -32858,9 +32041,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -32877,12 +32059,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -32894,7 +32075,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -32935,7 +32115,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -32959,12 +32139,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -32984,64 +32164,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -33100,7 +32289,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -33126,33 +32314,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -33178,34 +32345,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -33419,9 +32588,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -33438,12 +32606,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -33455,7 +32622,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -33496,7 +32662,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -33520,12 +32686,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -33545,64 +32711,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -33661,7 +32836,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -33687,33 +32861,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -33739,34 +32892,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -33980,9 +33135,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -33999,12 +33153,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -34016,7 +33169,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -34057,7 +33209,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -34081,12 +33233,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -34106,64 +33258,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
 
 \ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
-
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
-\ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -34222,7 +33383,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -34248,33 +33408,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -34300,34 +33439,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -34541,9 +33682,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -34560,12 +33700,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -34577,7 +33716,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -34618,7 +33756,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -34642,12 +33780,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -34667,64 +33805,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -34783,7 +33930,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -34809,33 +33955,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -34861,34 +33986,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -35102,9 +34229,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -35121,12 +34247,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -35138,7 +34263,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -35179,7 +34303,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -35203,12 +34327,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -35228,64 +34352,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
 
 \ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-
-\ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
-\ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -35344,7 +34477,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -35370,33 +34502,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -35422,34 +34533,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -35663,9 +34776,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -35682,12 +34794,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -35699,7 +34810,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -35740,7 +34850,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -35764,12 +34874,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -35789,64 +34899,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -35905,7 +35024,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -35931,33 +35049,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -35983,34 +35080,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -36224,9 +35323,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -36243,12 +35341,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -36260,7 +35357,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -36301,7 +35397,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -36325,12 +35421,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -36350,64 +35446,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -36466,7 +35571,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -36492,33 +35596,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -36544,34 +35627,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -36785,9 +35870,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -36804,12 +35888,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -36821,7 +35904,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -36862,7 +35944,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -36886,12 +35968,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -36911,64 +35993,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -37027,7 +36118,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -37053,33 +36143,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -37105,34 +36174,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -37346,9 +36417,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -37365,12 +36435,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -37382,7 +36451,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -37423,7 +36491,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -37447,12 +36515,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -37472,64 +36540,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -37588,7 +36665,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -37614,33 +36690,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -37666,34 +36721,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -37907,9 +36964,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -37926,12 +36982,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -37943,7 +36998,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -37984,7 +37038,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -38008,12 +37062,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -38033,64 +37087,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -38149,7 +37212,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -38175,33 +37237,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -38227,34 +37268,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -38468,9 +37511,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -38487,12 +37529,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -38504,7 +37545,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -38545,7 +37585,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -38569,12 +37609,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -38594,64 +37634,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -38710,7 +37759,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -38736,33 +37784,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -38788,34 +37815,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -39029,9 +38058,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -39048,12 +38076,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -39065,7 +38092,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -39106,7 +38132,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -39130,12 +38156,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -39155,64 +38181,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -39271,7 +38306,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -39297,33 +38331,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -39349,34 +38362,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -39590,9 +38605,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -39609,12 +38623,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -39626,7 +38639,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -39667,7 +38679,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -39691,12 +38703,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -39716,64 +38728,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
 
 \ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
-
-\ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
-\ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -39832,7 +38853,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -39858,33 +38878,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -39910,34 +38909,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -40151,9 +39152,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -40170,12 +39170,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -40187,7 +39186,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -40228,7 +39226,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -40252,12 +39250,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -40277,64 +39275,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -40393,7 +39400,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -40419,33 +39425,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -40471,34 +39456,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -40712,9 +39699,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -40731,12 +39717,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -40748,7 +39733,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -40789,7 +39773,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -40813,12 +39797,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -40838,64 +39822,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -40954,7 +39947,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -40980,33 +39972,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -41032,34 +40003,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -41273,9 +40246,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -41292,12 +40264,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -41309,7 +40280,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -41350,7 +40320,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -41374,12 +40344,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -41399,64 +40369,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -41515,7 +40494,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -41541,33 +40519,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -41593,34 +40550,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
 
-[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -41834,9 +40793,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -41853,12 +40811,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -41870,7 +40827,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -41911,7 +40867,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -41935,12 +40891,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -41960,64 +40916,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -42076,7 +41041,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -42102,33 +41066,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -42154,34 +41097,36 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
-PWR_STATE
+
 
 [DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-MARKER {RC5TOLCD}
-
-[UNDEFINED] @ [IF]
-\ https://forth-standard.org/standard/core/Fetch
-\ @     c-addr -- char   fetch char from memory
-CODE @
-MOV @TOS,TOS
-MOV @IP+,PC
-ENDCODE
-[THEN]
+MARKER {RC5TOLCD}   \ restore the state before MARKER definition
+\                   \ {UARTI2CS}+8 = RET_ADR: by default MARKER_DOES does CALL #RET_ADR
+6 ALLOT             \ {UARTI2CS}+10: make room to save previous INI_APP address
+                    \ {RC5TOLCD}+12: make room to save previous WDT_TIM_0_VEC
+                    \ {RC5TOLCD}+14: make room to save previous IR_VEC
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -42395,9 +41340,8 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
@@ -42414,12 +41358,11 @@ ELSE
         THEN                    \
     THEN                        \
 THEN                            \
-BW1                             \ from quit on truncated RC5 message, repeated RC5 command
-RET                             \ 5
-ENDASM
+RETI                            \ 5
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -42431,7 +41374,6 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
 \ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-ADD #2,RSP                      \ 1  smart and fast RETI with GIE=0
 \ FREQ_KHZ @ 8000 = [IF]        \ 8 MHz ?
 \     MOV #0,&RC5_TIM_EX0       \ predivide by 1 in RC5_TIM_EX0 register, reset value
 \ [THEN]
@@ -42472,7 +41414,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        GOTO BW1                \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -42496,12 +41438,12 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 \ ******************************\
 \ RC5_CommandByteIsDone         \ -- BASE RC5_code
 \ ******************************\
-\ Only New_RC5_Command ADD_ON   \ use SR(9) bit as toggle bit
+\ Only New_RC5_Command ADD_ON   \ use SR(10) bit as toggle bit
 \ ******************************\
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= ?GOTO BW1                    \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -42521,64 +41463,73 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RET
-ENDASM
-
-\ ******************************\
-ASM BACKGROUND                  \
-\ ******************************\
-BEGIN
-\     ...                         \ insert here your background task
-\     ...                         \
-\     ...                         \
-    CALL &RXON                  \ comment this line to disable TERMINAL_INPUT
-    BIS &LPM_MODE,SR            \
-\ ******************************\
-\ here start all interrupts     \
-\ ******************************\
-\ here return all interrupts    \
-\ ******************************\
-AGAIN                           \
-ENDASM                          \
-\ ******************************\
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
-\ ------------------------------\
-ASM SYS_OUT                    \ system OUT init, replaces WARM at the request of STOP.
-\ ------------------------------\
-\     ...                         \ init specific I/O sys as you want
-\     ...                         \ before executing default WARM
-    MOV #WARM,X                 \ ['] WARM 
-    ADD #4,X                    \ >BODY
-    MOV X,PC                    \ EXECUTE    (which activates IO and TERMINAL)
-ENDASM
-\ ------------------------------\
 
 \ ------------------------------\
-CODE STOP                       \ stops multitasking, must to be used before downloading app
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
-BW1 MOV #SLEEP,X                \ the ASM word SLEEP is only visible in mode assembler. 
-    ADD #4,X                    \ X = BODY of SLEEP, X-2 = PFA of SLEEP
-    MOV X,-2(X)                 \ restore the default background: SLEEP
-    MOV #WARM,X
-    MOV #SYS_OUT,2(X)           \ default WARM is replaced by JMJ_BOX SYS_OUT (ended by default WARM)
-    BIC.B #RC5,&IR_IE           \ clear RC5_Int
-    BIC.B #RC5,&IR_IFG          \ clear RC5_Int flag
+CMP #RET_ADR,&{RC5TOLCD}+8      \
+0<> IF                          \ if previous START executing
+    BIC.B #RC5,&IR_IE           \ clear I/O RC5_Int
+    BIC.B #RC5,&IR_IFG          \ clear I/O RC5_Int flag
     MOV #0,&LCD_TIM_CTL         \ stop LCD_TIMER
     MOV #0,&WDT_TIM_CTL         \ stop WDT_TIMER
     MOV #0,&WDT_TIM_CCTL0       \ clear CCIFG0 disable CCIE0
-    MOV #COLD,X                 \ X = COLD adr = default vectors value
-    MOV X,&IR_VEC               \ 
-    MOV X,&WDT_TIM_0_VEC        \
+    MOV #RET_ADR,&{RC5TOLCD}+8  \ clear MARKER_DOES call
+    MOV &{RC5TOLCD}+10,&WARM+2          \ restore previous ini_APP
+    MOV &{RC5TOLCD}+12,&WDT_TIM_0_VEC   \ restore Vector previous value
+    MOV &{RC5TOLCD}+14,&IR_VEC          \ restore Vector previous value
+    MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
+THEN 
+MOV @RSP+,PC                    \ RET
+ENDCODE
+
+\ ------------------------------\
+CODE STOP                       \
+\ ------------------------------\
+BW1                             \ <-- INI_R2L for some events
+CALL #STOP_R2L
 COLON                           \ restore default action of primary DEFERred word WARM (FORTH version)
 ECHO                            \
 ." RC5toLCD is removed,"
 ."  type START to restart"
- WARM                           \ performs reset to reset all interrupt vectors.    
+ABORT" "
 ;
 \ ------------------------------\
 
 \ ------------------------------\
-CODE SYS_INIT                   \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
+\ ------------------------------\
+\ activate I/O                  \
+\ ------------------------------\
+BIC #1,&PM5CTL0                 \ activate I/O to enable SW2 test
+\ ------------------------------\
+\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet to get listing
+\ ------------------------------\
+MOV &RSTIV_MEM,TOS              \ SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures
+CMP #$0E,TOS                    \ RSTIV_MEM = SVSHIFG SVSH event ?
+0<> IF                          \ if not
+    CMP #$0A,TOS                \   RSTIV_MEM >= violation memory protected areas ?
+    U>= ?GOTO BW1               \   execute STOP_R2L then RET to BODY of WARM
+THEN                            \
+BIT.B #SW2,&SW2_IN              \ hardware SW2+RST ?
+0= ?GOTO BW1                    \ hardware SW2+RST execute STOP_U2I then RET to BODY of WARM
+\ CMP #4,TOS                      \ hardware RST 
+\ 0= ?GOTO BW1                    \ hardware RST performs STOP.
+\ CMP #2,TOS                      \ Power_ON event
+\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
+\ CMP #6,TOS                      \
+\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
+\ CMP #$0A,TOS                    \
+\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
+\ CMP #$16,TOS                    \
+\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
+MOV #0,&RSTIV_MEM               \ clear RSTIV_MEM after use and before next RST event!
 \ ------------------------------\
 \ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
 \                    - -             \CNTL Counter lentgh \ 00 = 16 bits
@@ -42637,7 +41588,6 @@ MOV #%0110_0000,&LCD_TIM_CCTLn  \ output mode = set/reset \ clear CCIFG
 \ ******************************\
     BIS.B #RC5,&IR_IE           \ enable RC5_Int
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
-    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
 \ ******************************\
 \ init WatchDog WDT_TIM_        \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
@@ -42663,33 +41613,12 @@ MOV #%01_0001_0100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int,
 \                             - \ CCIFGn
     MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
-\ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
-\    MOV #LPM4,&LPM_MODE         \ with MSP430FR59xx
-\    MOV #LPM2,&LPM_MODE         \ with MSP430FR57xx, terminal input don't work for LPMx > 2
+\    MOV #LPM4+GIE,&LPM_MODE    \ with MSP430FR59xx
+\    MOV #LPM2+GIE,&LPM_MODE    \ with MSP430FR57xx, terminal input don't work for LPMx > 2
 \                               \ with MSP430FR2xxx, terminal input don't work for LPMx > 0 ; LPM0 is the default value
 \ ------------------------------\
-\ activate I/O                  \
-\ ------------------------------\
-BIC #1,&PM5CTL0                 \ activate all previous I/O settings; if not activated, nothing works after reset !
-BIS.B #BUS_TERM,&TERM_SEL       \ Configure pins TXD & RXD for TERM_UART use, otherwise no TERMINAL !
-\ ------------------------------\
-\ RESET events handling         \ search "SYSRSTIV" in your MSP430FRxxxx datasheet
-\ ------------------------------\
-MOV &SAVE_SYSRSTIV,Y            \ Y = SYSRSTIV register memory
-\ CMP #2,Y                        \ Power_ON event
-\ 0= ?GOTO BW1                    \ uncomment if you want to loose application in this case...
-CMP #4,Y                        \
-0= ?GOTO BW1                    \ hardware RESET performs STOP. Should be mandatory...
-\ CMP #6,Y                        \
-\ 0= ?GOTO BW1                    \ COLD event performs STOP... uncomment if it's that you want.
-\ CMP #$0A,Y                      \
-\ 0= ?GOTO BW1                    \ fault event (violation memory protected areas) performs STOP
-\ CMP #$16,Y                      \
-\ U>= ?GOTO BW1                   \ all other fault events + Deep Reset perform STOP
-\ ------------------------------\
 COLON                           \
 \ ------------------------------\
 \ Init LCD 2x20                 \
@@ -42715,23 +41644,32 @@ COLON                           \
     ['] CR >BODY IS CR          \ CR executes its default value
     ['] EMIT >BODY IS EMIT      \ EMIT executes its defaulte value
     ." RC5toLCD is running. Type STOP to quit" \ display message on FastForth Terminal
-    PWR_STATE ABORT             \ init DP and continues with ABORT
+    ABORT" "                    \
 ;                               \
 \ ------------------------------\
 
 \ ------------------------------\
-CODE START                      \ this routine replaces WARM and SLEEP default values by these of this application.
+CODE START                      \ this routine replaces WARM and COLD default values by these of this application.
 \ ------------------------------\
-MOV #SLEEP,X                    \ replace default background process SLEEP
-MOV #BACKGROUND,2(X)            \ by RC5toLCD BACKGROUND
-MOV #WARM,X                     \ replace default WARM
-MOV #SYS_INIT,2(X)              \ by RC5toLCD SYS_INIT
-MOV X,PC                        \ then execute new WARM
+CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
+0= IF                           \ if not done, customizes MARKER_DOES
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
+    MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
+    MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
+    MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
+    MOV #WDT_INT,&WDT_TIM_0_VEC \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV &IR_VEC,&{RC5TOLCD}+14  \ save Vector previous value
+    MOV #RC5_INT,&IR_VEC        \ init interrupt vector
+    MOV #INI_R2L,PC             \ then execute new INI_APP, without return
+THEN
+MOV @IP+,PC 
 ENDCODE 
 \ ------------------------------\
 
+
+
 ECHO
-            ; downloading RC5toLCD.4th is done
+            ; downloading PROG100k.4th is done
 RST_HERE    ; this app is protected against <reset>
 
 \ START
index 605dfea..9740ee4 100644 (file)
@@ -89,10 +89,10 @@ CODE ABORT_RC5TOLCD
 SUB #2,PSP
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
+SUB #308,TOS        \ FastForth V3.8
 COLON
 'CR' EMIT            \ return to column 1 without 'LF'
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE           \ remove ABORT_UARTI2CS definition before resuming
 ;
 
@@ -319,7 +319,7 @@ ENDCODE
 
 
 \ ******************************\
-ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORTH executable !
+HDNCODE WDT_INT                 \ Watchdog interrupt routine, warning : not FORTH executable !
 \ ******************************\
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
@@ -338,10 +338,10 @@ ELSE
     THEN                        \
 THEN                            \
 RETI                            \ 5
-ENDASM
+ENDCODE
 
 \ ******************************\
-ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
+HDNCODE RC5_INT                 \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \ IR_RC5 driver                 \ IP,S,T,W,X,Y registers are free for use
 \ ******************************\
@@ -393,7 +393,7 @@ MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free
         CMP Y,X                 \ 1                     |   cycle time out of bound ?
         U>= IF                  \ 2                 ^   |   yes:
         BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
-        RETI                    \                   |   |      quit on truncated RC5 message
+        GOTO FW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
         BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
     0<> UNTIL                   \ 2                 |   |
@@ -422,7 +422,7 @@ THEN                            \ X =  0  C6 C5 C4 C3 C2 C1 C0
 RRUM    #3,T                    \ new toggle bit = T(13) ==> T(10)
 XOR     @RSP,T                  \ (new XOR old) Toggle bits
 BIT     #UF10,T                 \ repeated RC5_command ?
-0= IF RETI THEN                 \ yes, RETI without UF10 change and without action !
+0= ?GOTO FW2                    \ yes, RETI without UF10 change and without action !
 XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 \ Display IR_RC5 code           \
@@ -442,12 +442,15 @@ LO2HI                           \
 HI2LO                           \     --                                        switch from FORTH to assembler
 MOV @PSP+,&BASEADR              \     -- Save_TOS TOS                           restore current BASE
 MOV @PSP+,TOS                   \     -- TOS
-RETI
-ENDASM
+FW1 FW2
+    MOV @RSP+,SR                \ restore SR flags
+    BIC #%1111_1000,SR          \ but force CPU Active Mode
+    RET                         \ (instead of RETI)
+ENDCODE
 
 
 \ ------------------------------\
-ASM STOP_R2L                    \ define new STOP_APP
+HDNCODE STOP_R2L                \ define new STOP_APP
 \ ------------------------------\
 CMP #RET_ADR,&{RC5TOLCD}+8      \
 0<> IF                          \ if previous START executing
@@ -463,7 +466,7 @@ CMP #RET_ADR,&{RC5TOLCD}+8      \
     MOV &{RC5TOLCD}+10,PC       \ run previous INI_APP, then RET
 THEN 
 MOV @RSP+,PC                    \ RET
-ENDASM
+ENDCODE
 
 \ ------------------------------\
 CODE STOP                       \
@@ -479,7 +482,7 @@ ABORT" "
 \ ------------------------------\
 
 \ ------------------------------\
-ASM INI_R2L                     \ this routine completes the init of system, i.e. FORTH + this app.
+HDNCODE INI_R2L                 \ this routine completes the init of system, i.e. FORTH + this app.
 \ ------------------------------\
 \ activate I/O                  \
 \ ------------------------------\
@@ -629,7 +632,7 @@ CODE START                      \ this routine replaces WARM and COLD default va
 \ ------------------------------\
 CMP #RET_ADR,&{RC5TOLCD}+8      \ init R2L once, only if MARKER_DOES is not initialized
 0= IF                           \ if not done, customizes MARKER_DOES
-    MOV #STOP_RTC,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_RTC.
+    MOV #STOP_R2L,&{RC5TOLCD}+8 \ execution of {RC5TOLCD} will perform STOP_R2L.
     MOV &WARM+2,&{RC5TOLCD}+10  \ save previous INI_APP subroutine
     MOV #INI_R2L,&WARM+2        \ replace it by RC5toLCD INI_APP
     MOV &WDT_TIM_0_VEC,&{RC5TOLCD}+12   \ save Vector previous value
index 9e2167c..9ffe21e 100644 (file)
@@ -50,10 +50,10 @@ BIT #BIT15,TOS
 0<> IF MOV #0,TOS THEN  \ if TOS <> 0 (FIXPOINT input), set TOS = 0  
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS            \ FastForth V3.7
+SUB #308,TOS            \ FastForth V3.8
 COLON
 $0D EMIT    \ return to column 1 without CR
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 ABORT" target without LF_XTAL !"
 PWR_STATE           \ if no abort remove this word
 ;
@@ -385,17 +385,24 @@ MOV @IP+,PC
 ENDCODE
 [THEN]
 
-[UNDEFINED] UM* [IF]    
+[UNDEFINED] UM* [IF]    \ case of hardware_MPY
 \ https://forth-standard.org/standard/core/UMTimes
-\ UM*     u1 u2 -- ud   unsigned 16x16->32 mult.
+\ UM*     u1 u2 -- udlo udhi   unsigned 16x16->32 mult.
 CODE UM*
     MOV @PSP,&MPY       \ Load 1st operand for unsigned multiplication
-    MOV TOS,&OP2        \ Load 2nd operand
+BW1 MOV TOS,&OP2        \ Load 2nd operand
     MOV &RES0,0(PSP)    \ low result on stack
     MOV &RES1,TOS       \ high result in TOS
     MOV @IP+,PC
 ENDCODE
-[THEN] 
+
+\ https://forth-standard.org/standard/core/MTimes
+\ M*     n1 n2 -- dlo dhi  signed 16*16->32 multiply
+CODE M*
+    MOV @PSP,&MPYS      \ Load 1st operand for signed multiplication
+    GOTO BW1
+ENDCODE
+[THEN]
 
 [UNDEFINED] UM/MOD [IF]
 \ https://forth-standard.org/standard/core/UMDivMOD
@@ -420,6 +427,13 @@ ENDCODE
 ;
 [THEN]
 
+[UNDEFINED] UMOD [IF]
+\ UMOD   u1 u2 -- ur        unsigned division
+: UMOD
+U/MOD DROP
+;
+[THEN]
+
 [UNDEFINED] U/ [IF]
 \ https://forth-standard.org/standard/core/Div
 \ U/      u1 u2 -- uq       signed quotient
@@ -460,7 +474,7 @@ ENDCODE
   >R  <# 0 # #S #>  
   R> OVER - 0 MAX SPACES TYPE
 ;
-[THEN]  \ U.R
+[THEN]
 
 $81EF DEVICEID @ U<     ; search device ID: MSP430FR4133 or...
 DEVICEID @ $8241 U<     ; ...MSP430FR2433
@@ -483,7 +497,7 @@ OR                      ; -- flag
     CREATE RTCYEAR 2 ALLOT
 
 \   ************************************\
-    CODE RTC_INT                        \ computes sec min hour day month year
+    HDNCODE RTC_INT                     \ computes sec min hour day month year
 \   ************************************\
     ADD #2,RSP                          \ remove previous_SR
     BIT #1,&RTCIV                       \ clear RTC_IFG
@@ -509,13 +523,13 @@ OR                      ; -- flag
 \               ------------------------\ here we compute leap year
                 0= IF                   \ yes
                     COLON
-                    RTCYEAR @ 4 MOD 
+                    RTCYEAR @ 4 UMOD 
                     IF 29
                     ELSE
-                        RTCYEAR @ 100 MOD 
+                        RTCYEAR @ 100 UMOD 
                         IF 30
                         ELSE
-                            RTCYEAR @ 400 MOD
+                            RTCYEAR @ 400 UMOD
                             IF 29
                             ELSE 30
                             THEN
@@ -555,7 +569,7 @@ OR                      ; -- flag
     ENDCODE    
 
 \   ------------------------\
-    ASM STOP_RTC            \ define STOP_RTC as new COLD_APP subroutine, called by {RTC}|WIPE|RST|COLD|SYS_failures.
+    HDNCODE STOP_RTC        \ define STOP_RTC as new COLD_APP subroutine, called by {RTC}|WIPE|RST|COLD|SYS_failures.
 \   ------------------------\ ------------------------------------------
     CMP #RET_ADR,&{RTC}+8   \ 
     0<> IF                  \ and only if RTC_APP is started by START_RTC
@@ -580,11 +594,11 @@ OR                      ; -- flag
 \   ------------------------\
     MOV &COLD+2,PC          \ 5 link (branch) to the previous STOP_APP subroutine,
 \   ------------------------\ then RET to MARKER_DOES  or to COLD+4
-    ENDASM                  \
+    ENDCODE                 \
 \   ------------------------\
 
 \   ----------------------------------------\ 
-    ASM INI_RTC                             \ define INI_HDWR_APP called first by START_RTC then by WARM
+    HDNCODE INI_RTC                         \ define INI_HDWR_APP called first by START_RTC then by WARM
 \   ----------------------------------------\ ---------------------------------------------------------
     CALL &{RTC}+14                          \ call previous INI_APP (which sets TOS = RSTIV_MEM)
     CMP #0,&RTCCTL                          \ if RTCCTL = 0 = reset state, app is STOPPED and must to be started
@@ -594,7 +608,7 @@ OR                      ; -- flag
         MOV #%0010_0110_0100_0010,&RTCCTL   \ starts RTC with XT1CLK/256, enables RTC_INT
     THEN
     MOV @RSP+,PC                            \ RET to BODYWARM|START_RTC
-    ENDASM                                  \
+    ENDCODE                                 \
 \   ----------------------------------------\
 
 \\  -------------------------------------------------------------------------------
@@ -603,13 +617,13 @@ OR                      ; -- flag
 \\  but if you manualy type a command, pending RTC_INT may not be executed during this time.
 \\  -------------------------------------------------------------------------------
 \\   --------------------\
-\\   ASM BACKGND_RTC     \ define BACKGND_RTC to replace actual BACKGND_APP
+\\   HDNCODE BACKGND_RTC \ define BACKGND_RTC to replace actual BACKGND_APP
 \\   --------------------\
 \    BEGIN               \
 \       MOV &LPM_MODE,SR \ enter to SLEEP mode, waiting RTC_INT
 \    AGAIN               \ loop back to BEGIN is executed before CPU shut down
 \\   --------------------\
-\    ENDASM              \
+\    ENDCODE             \
 \\   -------------------------------------------------------------------------------
 \\   WARNING! because unlinked, this BACKGND_APP doesn't execute XON, TERMINAL is MUTEd
 \\   but maybe that is what you want: RTC time keeps its accuracy.
@@ -653,7 +667,7 @@ OR                      ; -- flag
     ." it is " TIME? 
     ;
 
-    : DATE?                     \ display date
+    : DATE?                 \ display date
 
 [ELSE]
 
@@ -739,13 +753,13 @@ RTCYEAR @               \ -- day mon year
 \ 7 MOD                   \ -- weekday        = {0=Sat, ..., 6=Fri} 
 \ ------------------------------------------
 OVER 3 U<               \             
-IF 1- SWAP 12 + SWAP 
+IF 1 - SWAP 12 + SWAP 
 THEN                    \ -- d m' y'  with m' {3=March, ..., 14=february}
 100 U/MOD               \ -- d m' K J   with K = y' in century, J = century
 DUP 4 U/ SWAP 2* -      \ -- d m' K (J/4 - 2J) 
 SWAP DUP 4 U/ + +       \ -- d m' ((J/4 - 2J) + (K + K/4)) 
 SWAP 1+  13 5 U*/ + +   \ -- (d + (((J/4 - 2J) + (K + K/4)) + (m+1)*13/5))
-7 U/MOD DROP            \ -- weekday        = {0=Sat, ..., 6=Fri} 
+7 UMOD                  \ -- weekday        = {0=Sat, ..., 6=Fri} 
 \ ------------------------------------------
 RTCDOW C!               \ --
 ." we are on " DATE? 
@@ -825,18 +839,18 @@ ENDCODE
 [THEN]
 
 : SET_TIME
-ESC [8;42;96t       \ set terminal display 42L * 96C
-42 0 DO CR LOOP     \ to avoid erasing any line of source, create 42 empty lines
+ESC [8;40;80t       \ set terminal display 42L * 80C
+39 0 DO CR LOOP     \ to avoid erasing any line of source, create 42 empty lines
 ESC [H              \ then set cursor home
 CR ." DATE (DMY): "
 PAD_ORG DUP PAD_LEN
-['] ACCEPT >BODY    \ find default part of deferred ACCEPT (from terminal input)
+['] ACCEPT >BODY    \ find default part of deferred ACCEPT (terminal input)
 EXECUTE             \ wait human input for D M Y
 EVALUATE            \ interpret this input
 CR DATE!            \ set date
 CR ." TIME (HMS): "
 PAD_ORG DUP PAD_LEN
-['] ACCEPT >BODY    \ find default part of deferred ACCEPT (from terminal input)
+['] ACCEPT >BODY    \ find default part of deferred ACCEPT (terminal input)
 EXECUTE             \ wait human input for H M S
 EVALUATE            \ interpret this input
 CR TIME!            \ set time
index 0584138..643aa3f 100644 (file)
@@ -1,19 +1,5 @@
 \ -*- coding: utf-8 -*-
 
-\ first, we test for downloading driver only if UART TERMINAL target
-CODE ABORT_SD_TEST
-SUB #2,PSP
-MOV TOS,0(PSP)
-MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
-COLON
-'CR' EMIT            \ return to column 1 without 'LF'
-ABORT" FastForth version = 3.7 please!"
-PWR_STATE           \ remove ABORT_SD_TEST definition before resuming
-;
-
-ABORT_SD_TEST
-
 ; -----------
 ; SD_TEST.f
 ; -----------
@@ -92,6 +78,20 @@ ABORT_SD_TEST
 \   copy PROG100k.f         to \PROG100k.4TH
 \   copy RTC.f              to \RTC.4TH             ( doesn't work with if FR2xxx or FR4xxx)
 
+\ first, we test for downloading driver only if UART TERMINAL target
+CODE ABORT_SD_TEST
+SUB #2,PSP
+MOV TOS,0(PSP)
+MOV &VERSION,TOS
+SUB #308,TOS        \ FastForth V3.8
+COLON
+'CR' EMIT            \ return to column 1 without 'LF'
+ABORT" FastForth V3.8 please!"
+PWR_STATE           \ remove ABORT_SD_TEST definition before resuming
+;
+
+ABORT_SD_TEST
+
 PWR_STATE
 
 [DEFINED] {SD_TEST} [IF]  {SD_TEST} [THEN] \ remove it if defined out of kernel 
@@ -535,7 +535,7 @@ ELSE 1 - ?DUP
                             READ" PROG100K.4TH"
                             BEGIN
                                 READ    \ sequentially read 512 bytes
-                            UNTIL       \ prog10k.4TH is closed
+                            UNTIL       \ prog100k.4TH is closed
                         ELSE 1 - ?DUP
                             0= IF
                                 ." WRITE YOURFILE.TXT" CR
index 021cf42..32387e4 100644 (file)
@@ -46,10 +46,10 @@ CODE ABORT_SD_TOOLS
 SUB #2,PSP
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
+SUB #308,TOS        \ FastForth V3.8
 COLON
 'CR' EMIT            \ return to column 1 without 'LF'
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE           \ remove ABORT_UARTI2CS definition before resuming
 ;
 
index 856eb28..26c3abb 100644 (file)
@@ -38,10 +38,10 @@ CODE ABORT_TEST_ASM
 SUB #2,PSP
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
+SUB #308,TOS        \ FastForth V3.8
 COLON
 'CR' EMIT            \ return to column 1 without 'LF'
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE           \ remove ABORT_TEST_ASM definition before resuming
 ;
 
@@ -129,7 +129,7 @@ ENDCODE
 : VARIABLE 
 CREATE
 HI2LO
-MOV #DOVAR,-4(W)        \   CFA = DOVAR
+MOV #$1287,-4(W)        \   CFA = CALL rDOVAR
 MOV @RSP+,IP
 MOV @IP+,PC
 ENDCODE
index 674d250..20dae53 100644 (file)
 \
 \ FORTH conditionnal    : 0= 0< = < > U<
 
-PWR_STATE
+CODE ABORT_TEST_ASMX
+SUB #2,PSP
+MOV TOS,0(PSP)
+MOV &VERSION,TOS
+SUB #308,TOS        \ FastForth V3.8
+COLON
+'CR' EMIT            \ return to column 1 without 'LF'
+ABORT" FastForth V3.8 please!"
+PWR_STATE           \ remove ABORT_TEST_ASM definition before resuming
+;
+
+ABORT_TEST_ASMX      \ abort test
 
 [UNDEFINED] + [IF]
 \ https://forth-standard.org/standard/core/Plus
@@ -258,7 +269,7 @@ LO2HI
 
 [UNDEFINED] HERE [IF]
 CODE HERE
-MOV #HEREADR,PC
+MOV #HEREXEC,PC
 ENDCODE
 [THEN]
 
index deb5dfe..15eb7c6 100644 (file)
@@ -1,6 +1,6 @@
 \ -*- coding: utf-8 -*-
 \
-\ TARGET SELECTION ( = the name of \INC\target.pat file without the extension)
+\ TARGET SELECTION ( = the name of \INC\target.pat file without extension)
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
 \ MSP_EXP430FR4133 (can't use LED1 because wired on UART TX)
 \ MSP_EXP430FR2433  CHIPSTICK_FR2433    MSP_EXP430FR2355
@@ -41,9 +41,9 @@
 \ ASSEMBLER conditionnal usage before          ?GOTO : S< S>= U< U>= 0= 0<> 0< 
 \
 \ ================================================================================
-\ coupled to a PL2303HXD cable, this driver enables a FastForth target to do an USB to I2C_Slave bridge,
+\ coupled to a PL2303HXD/TA cable, this driver enables a FastForth target to act as USB to I2C_Slave bridge,
 \ thus, from TERATERM.exe you can take the entire control of up to 112 I2C_FastForth targets.
-\ In addition, it simulates a full duplex communication while the I2C bus is half duplex.
+\ In addition, it simulates a full duplex communication while the I2C bus is only half duplex.
 \ Don't forget to wire 3k3 pull up resistors on wires SDA SCL!
 \ ================================================================================
 \ 
 \ test results :
 \ ------------
 \
-\ downloading (+ interpret + compile + execute) CORETEST.4TH to I2C Master target, best time = 531ms.
-\ downloading (+ interpret + compile + execute) CORETEST.4TH to I2C Slave target, best time = 844ms.
-\ the difference (313 ms) is the time of the I2C Half duplex exchange (we reach the speed of the I2C Fast-mode Plus (Fm+)).
+\ downloading (+ interpret + compile + execute) CORETEST.4TH to I2C Master target = 1016ms.
+\ downloading (+ interpret + compile + execute) CORETEST.4TH to I2C Slave target = 1422ms.
+\ the difference (406 ms) is the time of the I2C Half duplex exchange.
+\ [(45906 chars * 9 bits) + (1533 * 31)] / 0,406 = 1,135 MHz (9 bits / char + (2*START + 2*STOP + 2*addr + CTRL_Char) / line) 
+\ ==> 113 % of I2C Fast-mode Plus (Fm+)!
 \ 
 \ also connected to and tested with another I2C_FastForth target with MCLK = 1MHz (I2C CLK = MCLK ! ).
 \
 \
 \ the other interruption U2I_TERM_INT is used to communicate with TERMINAL, by replacing of the TERM_INT one.
 \
+\  Software              +----------------------------------+       Hardware
+\  I2C Master            |       +-------------------+      |       I2C Slave
+\                        |       |                   |      |   
+\ UART to I2C bridge    SCL     SDA   connected to: SDA    SCL    I2CFastForth target 
+\ -------------------   ----    ----                ----   ----   ------------------  
+\ MSP_EXP430FR5739      P4.1    P4.0                P1.6   P1.7   MSP_EXP430FR5739    
+\ MSP_EXP430FR5969      P1.3    P1.2                P1.6   P1.7   MSP_EXP430FR5969          
+\ MSP_EXP430FR5994      P8.1    P8.2                P7.0   P7.1   MSP_EXP430FR5994       
+\ MSP_EXP430FR6989      P1.5    P1.3                P1.6   P1.7   MSP_EXP430FR6989    
+\ MSP_EXP430FR4133      P8.3    P8.2                P5.2   P5.3   MSP_EXP430FR4133    
+\ CHIPSTICK_FR2433      P2.2    P2.0                P1.2   P1.3   CHIPSTICK_FR2433       
+\ MSP_EXP430FR2433      P3.1    P3.2                P1.2   P1.3   MSP_EXP430FR2433       
+\ MSP_EXP430FR2355      P3.3    P3.2                P1.2   P1.3   MSP_EXP430FR2355    
+\ LP_MSP430FR2476       P3.3    P3.2                P4.4   P4.3   LP_MSP430FR2476     
+\
+\ don't forget to link 3V3 and GND on each side and to add 3k3 pullup resistors on SDA and SCL.
+
 ; ----------------------------------------------------------------------
-; UARTI2CS.f
+; UARTI2CS.f (Software I2C Master)
 ; ----------------------------------------------------------------------
 
 \ first, we do some tests before downloading application
@@ -138,24 +157,23 @@ BIT #$7800,TOS
 0<> IF MOV #0,TOS THEN  \ if TOS <> 0 (UART TERMINAL), set TOS = 0
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS            \ FastForth V3.7
+SUB #308,TOS            \ FastForth V3.8
 COLON
 $0D EMIT            \ return to column 1 without CR
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 ABORT" <-- Ouch! unexpected I2C_FastForth target!"
 PWR_STATE           \ remove the ABORT_UARTI2CS definition before continuing the download.
 ;
 
 ABORT_UARTI2CS      \ abort test
 
-[DEFINED] {UARTI2CS} 
-[IF] {UARTI2CS}     \ remove {UARTI2CS} if already defined
-[THEN]
+[DEFINED] {UARTI2CS} [IF] {UARTI2CS} [THEN] \ remove {UARTI2CS} if already defined
 
-MARKER {UARTI2CS}   \ {UARTI2CS}+8 = RET_ADR to do nothing by default
-6 ALLOT             \ {UARTI2CS}+10 <-- previous INI_APP
+MARKER {UARTI2CS}   \ {UARTI2CS}+8 = RET_ADR by default
+8 ALLOT             \ {UARTI2CS}+10 <-- previous INI_APP
 \                     {UARTI2CS}+12 <-- previous TERM_VEC
 \                     {UARTI2CS}+14 <-- previous Tx0_x_VEC
+\                     {UARTI2CS}+16 <-- Half_Duplex flag : 0=ECHO, <>0=NOECHO
 
 [UNDEFINED] CONSTANT [IF]
 \ https://forth-standard.org/standard/core/CONSTANT
@@ -171,12 +189,10 @@ ENDCODE
 [THEN]
 
 I2CSLA0 CONSTANT I2CS_ADR       \ I2CSLA0=$FFA2
-I2CSLA1 CONSTANT HALF_DUPLEX    \ I2CSLA1=$FFA4
-0 HALF_DUPLEX !                 \ =0 --> ECHO, <>0 --> NOECHO
 
-\ note: ASM definitions are hidden and cannot be executed from TERMINAL
+\ note: HDNCODE definitions are HiDdeN and cannot be executed from TERMINAL
 \---------------------------\
-ASM I2CSTOP                 \ sends a STOP on I2C_BUS
+HDNCODE I2CSTOP                 \ sends a STOP on I2C_BUS
 \---------------------------\     _
 BIS.B #SM_SCL,&I2CSM_DIR    \ 3 h  v_   force SCL as output (low)
 NOP3                        \ 3 l _
@@ -186,12 +202,11 @@ BIC.B #SM_SCL,&I2CSM_DIR    \ 3 l _^    release SCL (high)
 NOP3                        \ 3 h   _
 BIC.B #SM_SDA,&I2CSM_DIR    \ 3 h _^    relase SDA (high) when SCL is high = STOP
 MOV @RSP+,PC                \
-ENDASM                      \
+ENDCODE                      \
 \---------------------------\
 
-\ note: ASM definitions are hidden and cannot be executed from TERMINAL
 \---------------------------\
-ASM STOP_U2I                \ STOP_APP subroutine, the next of TERATERM(ALT+B)|SW2+RST|SYS_failures
+HDNCODE STOP_U2I                \ STOP_APP subroutine, the next of TERATERM(ALT+B)|SW2+RST|SYS_failures
 \ --------------------------\ UARTI2CS can't be stopped by any other means.
 BW1                         \ <-- I2C_MASTER_RX <-- TERATERM break (Alt+B)
 CMP #RET_ADR,&{UARTI2CS}+8  \
@@ -220,12 +235,12 @@ CMP #RET_ADR,&{UARTI2CS}+8  \
 THEN                        \
 \ --------------------------\ when STOP_U2I is the next of:  TERATERM(ALT+B)|SW2+RESET|SYS_failures
 MOV @RSP+,PC                \                       RET to:        WARM_BODY|WARM_BODY|WARM_BODY
-ENDASM                      \
+ENDCODE                      \
 \ --------------------------\
 
 
 \ \ vvvvvvvMulti-Master-Modevvvvvv\
-\ ASM DO_IDLE                     \ 
+\ HDNCODE DO_IDLE                     \ 
 \ MOV #4,W                        \ 1   wait bus idle time = 5 µs @ 16 MHz
 \ BEGIN
 \     BIT.B #SM_SCL,&I2CSM_IN     \ 3 
@@ -239,16 +254,15 @@ ENDASM                      \
 \     SUB #1,W                    \ 1
 \ 0= UNTIL                        \ 2
 \ MOV @RSP+,PC
-\ ENDASM
+\ ENDCODE
 \ \ ^^^^^^^Multi-Master-Mode^^^^^^\
 
-\ note: ASM definitions are hidden and cannot be executed from TERMINAL
 \ **************************************\
-ASM U2I_TERM_INT                        \ UART RX interrupt starts on first char of each line sent by TERMINAL
+HDNCODE U2I_TERM_INT                        \ UART RX interrupt starts on first char of each line sent by TERMINAL
 \ **************************************\
 ADD #4,RSP                              \ 1 remove unused PC_RET and SR_RET
 \ --------------------------------------\
-MOV &HALF_DUPLEX,W                      \ 3 W = HALF_DUPLEX = 0 if ECHO, -1 if NOECHO
+MOV &{UARTI2CS}+16,W                      \ 3 W = HALF_DUPLEX = 0 if ECHO, -1 if NOECHO
 MOV #PAD_ORG,T                          \ 2 T = buffer pointer for UART_TERMINAL input
 MOV #$0D,S                              \ 2 S = 'CR' = penultimate char of line to be RXed by UART
 BEGIN                                   \
@@ -360,13 +374,12 @@ GOTO FW1                                \   X > 4 ==> reSTART RX repeated every
 \ ======================================\
 \ END OF I2C MASTER TX                  \ SCL is kept low until START RX  --┐
 \ ======================================\                                   |
-ENDASM                                  \                                   |
+ENDCODE                                 \                                   |
 \ **************************************\                                   v
 
 
-\ note: ASM definitions are hidden and cannot be executed from TERMINAL
 \ **************************************\
-ASM HALF_S_INT                          \ wakes up every 1/2s to listen I2C Slave or break from TERMINAL.
+HDNCODE HALF_S_INT                          \ wakes up every 1/2s to listen I2C Slave or break from TERMINAL.
 \ **************************************\
 ADD #4,RSP                              \ 1 remove PC_RET and SR_RET        |
 \ --------------------------------------\                                   |
@@ -505,9 +518,9 @@ BEGIN \   I2C MASTER START RX           \ ABORT|WARM loop back
 \       --------------------------------\           see forthMSP430FR_TERM_I2C.asm
         CMP.B #4,X                      \ 1         
         U>= IF                          \ 2
-            MOV #0,&HALF_DUPLEX         \           preset ECHO
+            MOV #0,&{UARTI2CS}+16         \           preset ECHO
             0= IF                       \ 2
-                MOV #-1,&HALF_DUPLEX    \ 3         set NOECHO if char $04
+                MOV #-1,&{UARTI2CS}+16    \ 3         set NOECHO if char $04
             THEN
             BIS.B #SM_SDA,&I2CSM_DIR    \ 3 l       prepare Ack for Ctrl_Chars $04 $05
         THEN
@@ -531,7 +544,7 @@ U>= WHILE                               \           $03 = Ctrl_Char for WARM req
 \   CTRL_Char $02|$03                   \   l       if ABORT|WARM requests, SDA is high, SCL is low
 \   ------------------------------------\
     0= IF                               \           if ABORT request:
-        MOV #0,&HALF_DUPLEX             \               set echo ON I2C_Master side
+        MOV #0,&{UARTI2CS}+16             \               set echo ON I2C_Master side
         CALL #UART_RXON                 \               resume UART downloading source file
         BEGIN                           \   
             BIC #UCRXIFG,&TERM_IFG      \               clear UCRXIFG
@@ -588,12 +601,11 @@ MOV #SLEEP,PC                           \ executes RXON (that enables TERMINAL t
 \ --------------------------------------\
 \ I2C_Master se réveillera au premier caractère saisi sur le TERMINAL ==> TERM_INT,
 \ ou en fin du temps TxIFG ==> HALF_S_INT\
-ENDASM                                  \ 
+ENDCODE                                 \ 
 \ **************************************\
 
-\ note: ASM definitions are hidden and cannot be executed from TERMINAL
 \---------------------------\
-ASM INI_U2I                 \ define INI_HARD_APP subroutine called by WARM
+HDNCODE INI_U2I             \ define INI_HARD_APP subroutine called by WARM
 \ --------------------------\
 CALL &{UARTI2CS}+10         \ previous INI_APP executing init TERM_UC, activates I/O and sets TOS = RSTIV_MEM.
 \ --------------------------\ TOS = SYSRSTIV = $00|$02|$04|$0E|$xx = POWER_ON|RST|SVSH_threshold|SYS_failures 
@@ -618,35 +630,35 @@ BIC.B #SM_BUS,&I2CSM_OUT    \ preset SDA + SCL output LOW
 \ --------------------------\ 
 GOTO BW3                    \ goto I2C_Master START RX loop, with no other return than ALT+B|SW2+RST 
 \ --------------------------\
-ENDASM                      \
+ENDCODE                     \
 \ --------------------------\
 \
-\
-\ ========================================================
-\ Driver UART to I2CM to do an USB to I2C_FastForth bridge
-\ ========================================================
+\ ==============================================================
+\ Driver UART to I2CM which does the bridge USB to I2C_FastForth
+\ ==============================================================
 
 \ I2C address mini = 10h, maxi = 0EEh (I2C-bus specification and user manual V6)
 \ type on TERMINAL "16 UARTI2CS" to link teraterm TERMINAL with FastForth I2C_Slave at address $10
 \ you can also link with last known I2C_Slave address : "I2CS_ADR @ UARTI2CS"
 \
-CODE UARTI2CS                       \ I2C_Slave_Address_%0 --
+: UARTI2CS                          \ I2C_Slave_Address_%0 --
+CR I2CS_ADR !                       \ --        save I2C_Slave_Address_%0
+HI2LO
 CMP #RET_ADR,&{UARTI2CS}+8          \
 0= IF                               \ save parameters only if MARKER_DOES is not initialized
     MOV #STOP_U2I,&{UARTI2CS}+8     \ MARKER_DOES of {UARTI2CS} will do CALL &{UARTI2CS}+8 = CALL #STOP_U2I
     MOV &WARM+2,&{UARTI2CS}+10      \ save previous INI_APP from WARM PFA to {UARTI2CS}+10
-    MOV #INI_U2I,&WARM+2            \ and replace it by new INI_APP
     MOV &TERM_VEC,&{UARTI2CS}+12    \ save previous TERM_VEC value to {UARTI2CS}+12, see target.pat
-    MOV #U2I_TERM_INT,&TERM_VEC     \ and replace it by U2I_TERM_INT
-    \ MOV &TA0_X_VEC,&{UARTI2CS}+14   \ save previous TA0_x_VEC value to {UARTI2CS}+14
-    \ MOV #HALF_S_INT,&TA0_X_VEC      \ and replace it by HALF_S_INT
-    MOV &TB0_X_VEC,&{UARTI2CS}+14   \ save previous TB0_x_VEC value to {UARTI2CS}+14
-    MOV #HALF_S_INT,&TB0_X_VEC      \ and replace it by HALF_S_INT
+    MOV &TB0_X_VEC,&{UARTI2CS}+14   \ save previous TB0_X_VEC value to {UARTI2CS}+14
+    \ MOV &TA0_X_VEC,&{UARTI2CS}+14   \ save previous TA0_X_VEC value to {UARTI2CS}+14
+    MOV #0,&{UARTI2CS}+16           \ reset Half_Duplex variable (set ECHO ON)
+    MOV #INI_U2I,&WARM+2            \ replace INI_APP by new INI_U2I
+    MOV #U2I_TERM_INT,&TERM_VEC     \ set TERM_VEC with U2I_TERM_INT
+    MOV #HALF_S_INT,&TB0_X_VEC      \ set TB0_X_VEC with HALF_S_INT
+    \ MOV #HALF_S_INT,&TA0_X_VEC      \ set TA0_X_VEC with HALF_S_INT
 THEN
-COLON   
-CR I2CS_ADR !                       \ --        save I2C_Slave_Address_%0
-WARM                                \           execute INI_U2I then goto BW3; abort with Alt-B or SW2+RST.
-;           
+MOV #WARM,PC                        \ execute INI_U2I then goto BW3; abort with Alt-B or SW2+RST.
+ENDCODE           
 
 RST_HERE ECHO
 18 UARTI2CS     ; TERATERM(Alt-B) or I2C_Master(SW2+RST) to quit
index 3395b65..b5cd556 100644 (file)
@@ -47,10 +47,10 @@ CODE ABORT_UTILITY
 SUB #2,PSP
 MOV TOS,0(PSP)
 MOV &VERSION,TOS
-SUB #307,TOS        \ FastForth V3.7
+SUB #308,TOS        \ FastForth V3.8
 COLON
 'CR' EMIT           \ return to column 1 without 'LF'
-ABORT" FastForth version = 3.7 please!"
+ABORT" FastForth V3.8 please!"
 PWR_STATE           \ remove ABORT_UTILITY definition before resuming
 ;
 
index 6a0f0c2..3fcf3c4 100644 (file)
--- a/README.md
+++ b/README.md
@@ -1,44 +1,57 @@
-#FastForth for MSP430FRxxxx TI's devices, light, fast, efficient, reliable.
+# FastForth for all MSP430FRxxxx TI's devices,  light, fast, efficient, reliable.
 
 Tested on TI MSP-EXP430FR(5739,5969,5994,6989,4133,2355,2433) launchpads, at 1, 2, 4, 8, 12, 16 MHz plus 20MHz & 24MHz with MSP430FR(23xx,57xx) devices.
 
-It's an "interpret and compile" operating system for MSP430 devices with FRAM, very interesting because of its 5kB size.
+FastForth is a **5kB size** "load interpret compile" operating system for MSP430 devices with FRAM which includes:
 
-That includes:
+* FORTH kernel with interpreting decimal, hex, binary numbers (#,$,% prefixes), double numbers and Q15.16 numbers,
 
-* the kernel FORTH with interpreting double numbers and Q15.16 numbers,
+* the assembler, **label free, with TI's syntax**,
 
-* an assembler with TI's syntax, "label free", with conditional compilation, 
+* easy roundtrip between FORTH and ASSEMBLER in definitions, with only 2 switches: `HI2LO` and `LO2HI`,
 
-* a 16-input search engine that speeds up the interpreter by 4,
+* conditional compilation,
 
-* an UART TERMINAL up to 6MBds, with software (XON/XOFF) + hardware (RTS) control flow,
+* efficient memory management which can be modulated according to these 3 levels: power on, reset, deep reset,
 
-* or an I2C TERMINAL up to 1MHz (overruns 2.5 times the TI specifications), see \MSP430-FORTH\UARTI2CS.f. 
+* automatic memory releasing with MARKER tags,
 
-After downloading \MSP430-FORTH\ANS_COMP.f, FastForth becomes a true ANS FORTH for afficionados.
-Personally I use it mainly as super OS for real time programs.
+* robust and visual error handling,
 
-If your goal is to learn or to teach programming with assembler, don't miss it, try it!
+* choice of the TERMINAL (TERATERM.exe) interface:
 
-For only 3 kbytes in addition, you have the primitives to access the SD\_CARD FAT16 and FAT32: read, write, del, download source files and to copy them from PC to the SD_Card. It works with all SD\_CARD memories from 64MB to 64GB. The cycle of read/write a byte is below 1 us @ 16 MHz.
+    * UART TERMINAL up to 6MBds @ MCLK=24MHz, with software (XON/XOFF) and/or hardware (RTS) control flow, **transmit delay: 0 ms/char, 0 ms/line**
 
-With all the kernel addons, including extended\_ASM and SD\_Card driver, FastForth size is 10 kB.
+    * **I2C TERMINAL up to 1MHz**, "full duplex" like, allowing to communicate with several **I2C_FastForth** targets,
+    
+* and therefore, **"loading, interpreting, compiling" a source file is faster and easier than loading its binary equivalent**,
+
+* transmission errors, if any, are automatically rejected by the on-board interpreter,
+
+* CPU in sleep mode LPM0:LPM4, awaiting a command from UART:I2C TERMINAL, or any user interrupt event,
+
+* direct access to all SFR and other symbolic addresses by use of [GEMA preprocessor](https://github.com/NeonMan/gema),
+
+* Fully configurable reset, initialisation and background sequences.
+
+For only 3 kbytes in addition, you have the primitives to access the SD\_CARD FAT16 and FAT32: read, write, del, download source files and also copy them from PC to the SD_Card. It works with all SD\_CARD memories from 64MB to 64GB. The cycle to read/write a byte is below 1 us @ 16 MHz.
+
+With all the kernel addons, including extended\_ASM and SD\_Card driver, FastForth size is **10 kB**.
 
 However, if all works well with Windows 10, it works less well with Linux due to the lack of a good alternative to TERATERM...
 
 Note: for every update, download all subdirectories to correctly update the project, without missing configurations files.
 
-##how to connect TERMINAL
+## how to connect TERMINAL
 
     The files \binaries\launchpad_xMHz.txt are the executables ready to use with a serial terminal 
     (TERATERM.exe), 115200Bds, with XON/XOFF or RTS_hardware flow controls and a PL2303TA/CP2102 cable.
     ------------------------------------------------------------------------------------------
-    WARNING! doesn't use them to supply your launchpad: red wire is 5V ==> MSP430FRxxxx destroyed!
+    WARNING! doesn't use it to supply your launchpad: red wire is 5V ==> MSP430FRxxxx destroyed!
     ------------------------------------------------------------------------------------------
-    (modify this first, by opening the box to weld red wire on 3.3V pad).
+    (modify this first: open the box and weld red wire on 3.3V pad).
 
-programming with MSP430Flasher.exe and FET interface
+### programming with MSP430Flasher/UniFlash and FET interface
 
      TI Launchpad <--> CP2102/PL2302TA cable <------> USB <-------------> TERATERM.exe 
                RX <--- TX            )
@@ -46,15 +59,15 @@ programming with MSP430Flasher.exe and FET interface
                TX ---> RX            )
               RTS ---> CTS (optionnal) RTS pin Px.y is described in your \inc\launchpad.asm)
     
-     TI Launchpad <--> FET interface  <-------------> USB <-------------> MSP430Flasher.exe
+     TI Launchpad <--> FET interface  <-------------> USB <-------------> MSP430Flasher.exe/UniFlash
               Vcc <--- 3V3
        TST/SBWTCK <--> SBWTCK
               GND <--> GND
       RST/SBWTDIO <--> SBWTDIO
 
-programming with BSL_Scripter.exe
+### programming with BSL_Scripter.exe
 
-     TI Launchpad <--> CP2102/PL2303TA cable <------> USB <-------->+<--> TERATERM.exe
+     MSP430FRxxxx <--> CP2102/PL2303TA cable <------> USB <-------->+<--> TERATERM.exe
                RX <--- TX   )                                       |
               GND <--> GND  > used by FastForth TERMINAL            +<--> BSL_Scripter.exe
                TX ---> RX   )
@@ -66,87 +79,102 @@ programming with BSL_Scripter.exe
     Before programming device, close teraterm TERMINAL and connect the wire RST/SBWTDIO <--> DTR 
     Once device is programmed, open teraterm TERMINAL then disconnect the wire RST/SBWTDIO <--> DTR.
 
--
+## Out of the box
 
-    Once FastForth is loaded in the target FRAM memory, you add assembly code or FORTH code, or both,
-    by downloading your source files which embedded FastForth and its assembler interpret and compile.
+Once FastForth is loaded in the target FRAM memory, you add assembly code or FORTH code, or both,
+by downloading your source files which embedded FastForth interprets and compiles.
     
-    Beforehand, the preprocessor GEMA, by means of a \config\gema\target.pat file, will have translated
-    your generic MSP430FR source file.f in a targeted MSP430FRxxxx source file.4th, allowing you to use
-    symbolic addressing for all peripheral registers, without having to do declarations via FORTH words.
-    A set of .bat files in \MSP430-FORTH folder is furnished to do all this automatically.
+Beforehand, the preprocessor GEMA, by means of a \config\gema\target.pat file, will have translated
+your generic MSP430FR.f source file in a targeted MSP430FRxxxx.4th source file, allowing you to use
+symbolic addressing for all peripheral registers (SFR), without having to do FORTH declarations.
+A set of .bat files in \MSP430-FORTH folder is furnished to do all this automatically.
     
-    To see all specifications of FastForth, download \MSP430-FORTH\FF_SPECS.f.
+To see all specifications of FastForth, download \MSP430-FORTH\FF_SPECS.f.
 
-    To change the terminal baudrate on the fly, 9600 Bauds up to 6 MBds,
-    download the file \MSP430-FORTH\CHNGBAUD.f.
-    Beyond 1 MBds, shorten the PL2303HXD cable, down to 20 cm for 6MBds.
-    
-    XON/XOFF flow control allows 3.75kV galvanic isolation of terminal input with SOIC8 Si8622EC|ISO7021.
-    With powered SOIC16W ISOW7821, you have 5kV rms isolation for both XON/XOFF TERMINAL and a 3V3 75mA supply.
-    
-    If you choose I2C_FastForth for your target, you will need of one more to make the USBtoI2C bridge.
-    
-    After downloading of complementary words in \MSP430-FORTH\ANS_COMP.f, FastForth executes CORETEST.4th
-    in less than a second, and without errors which ensures its compatibility with the FORTH CORE ANS94 standard.
-    
-    Notice that FAST FORTH interprets lines up to 84 chars, only SPACE as delimiter, only CR+LF as
-    End Of Line, and BACKSPACE. 
-    And that the high limit of FORTH program memory is $FF80. 
-    
-    Finally, using the SCITE editor as IDE, all is ready to do everything from its "tools" menu.
+To change the terminal baudrate on the fly, 9600 Bauds up to 6 MBds, download \MSP430-FORTH\CHNGBAUD.f.
+Beyond 1 MBds, shorten the PL2303HXD cable, down to 50 cm for 6MBds.
+
+XON/XOFF flow control allows 3.75kV galvanic isolation of terminal input with SOIC8 Si8622EC|ISO7021.
+With powered SOIC16W ISOW7821, you have 5kV rms isolation for both XON/XOFF TERMINAL and a 3V3 75mA supply.
+
+If you choose I2C_FastForth for your target, you will need of one more to make the USBtoI2C bridge.
+See driver for I2C_FastForth:  \MSP430-FORTH\UARTI2CS.f.
+
+After downloading of complementary words in \MSP430-FORTH\ANS_COMP.f, FastForth executes CORETEST.4th
+in less than a second, and without errors which ensures its compatibility with the FORTH CORE ANS94 standard.
+
+Notice that FAST FORTH interprets lines up to 84 chars, only SPACE as delimiter, only CR+LF as
+End Of Line, and BACKSPACE. 
+And that the high limit of a FORTH program memory is $FF80. 
+
+Finally, using the SCITE editor as IDE, all is ready to do everything from its "tools" menu.
 
 What is new ?
 -------------
 
-V307
+### V308
 
-    54 bytes added to (Kernel + Conditional_Compilation + Assembler).
+* 16 bytes removed from (Kernel + Conditional_Compilation + Assembler).
+    
+* Source file copy from TERMINAL to the SD\_Card of any I2C\_FastForth target works fine.
+    
+* The bootstrap call is modified: `' BOOT IS WARM` to enable it, `' BOOT [PFA] IS WARM` to remove it.
+
+* ASM definitions are renamed HDNCODE (HiDdeN CODE), ENDASM is replaced by ENDCODE.
 
-    Source file copy from I2C_TERMINAL to the SD_Card of any I2C_target works now.
+    HDNCODE definitions are identical to low level CODE ones, but are hidden because defined in the ASSEMBLER word set, and can be used only
+    in the scope of another low level CODE definition. See use in \MSP430-FORTH\UARTI2CS.f.
     
-    The bootstrap call is modified: ' BOOT IS WARM          to start it,
-                                    ' BOOT 2 + @ IS WARM    to stop it.
+* FastForth passes CORETEST + COREPLUSTEST tests. See modified \MSP430-FORTH\CORETEST.4TH
+
+* Double number word D< corrected in \MSP430-FORTH\DOUBLE.f
+
+
+### V307
 
-    In addition of target's ID test made by Teraterm macro, a preamble has been added to all
+* 54 bytes added to (Kernel + Conditional_Compilation + Assembler).
+
+* ~~Source file copy from I2C_TERMINAL to the SD_Card of any I2C_target works now.~~
+    
+* In addition of target's ID test made by Teraterm macro, a preamble has been added to all
     \MSP430-FORTH\source.f files to prohibit their downloading with another version of FastForth.
 
-    Words @ ! ALLOT come back from "ANS_COMP" add-on to core.
+* Words @ ! ALLOT come back from "ANS_COMP" add-on to core.
 
-    Recognized prefixes are $ # % and ' respectively for hex decimal binary and ASCII 'char' numbers.
-    Examples: 'U' - $55 = 0, '3' - #33 = 0, '@' - %0100_0000 = 0. 
+* Recognized prefixes are $ # % and ' respectively for hex decimal binary and ASCII 'char' numbers.  
+    Examples: 'U' - $55 = 0, '3' - #33 = 0, '@' - %0100_0000 = 0.  
     When use in source.f files, all ASCII special chars are available. See \inc\FastForthREGtoTI.pat.
 
-    Assembler allows "argument+offset" into FORTH area (0 to $FFFF). 
-    Examples: MOV #RXON,&SLEEP+2 to store RXON addr at SLEEP+2 addr.
             MOV.B BUFFER+-1(X),TOS to load the byte at BUFFER-1(X) addr in the register TOS.
+* Assembler allows "argument+offset" into FORTH area (0 to $FFFF). Examples:  
+ `MOV #RXON,&SLEEP+2` to store RXON addr at SLEEP+2 addr.  
`MOV.B BUFFER+-1(X),TOS` to load the byte at BUFFER-1(X) addr in the register TOS.
     
-    COLD does same than hardware RST.
-    WIPE does same than hardware SW1+RST (DEEP_RESET).
+* COLD does same than hardware RST.  
+  WIPE does same than hardware SW1+RST (DEEP_RESET).
 
 
-    More complicated:
-
-    In the FastForth init process, COLD WARM SLEEP are modified and INI_FORTH is added.
-    They start each with an immediate call to a paired assembly subroutine:
+* More complicated:
 
+In the FastForth init process, COLD WARM SLEEP are modified and INI_FORTH is added.
+They start each with an immediate call to a paired assembly subroutine:
+      
           RST_SYS failures ->+       +<- ABORT_TERM <- ABORT" <-(error)<-+-<-COMPILE/EXECUTE<-INTERPRET<-+
                              |       |                                   |                               ^
                              |       v                                   v                               |
           SW1+RST->+<-RST    |       +--> INI_FORTH -> ABORT" ->+->QUIT>-+->ACCEPT->+         +->ACCEPT->+
                    |         |            ---------             ^                   |         ^
-                   v         v                                  |                   v         |
-    words: WIPE -->+->COLD-->+-> RESET -> INI_FORTH --> WARM -->+                   +->SLEEP->+
-                      ----                ---------     ----                           -----
+                   v         v                                  |                   v         |  
+          WIPE --->+->COLD-->+--> PUC --> INI_FORTH --> WARM -->+                   +->SLEEP->+  
+                      ----                ---------     ----                           -----  
+      
     subroutine:       COLD_APP            INI_SOFT_APP  INI_HARD_APP                   BACKGND_APP
     default CALL#     COLD_TERM           RET_ADR       INIT_TERM                      RXON
     Default action:   wait TERMINAL idle  do nothing    init TERM UCAx                 enable TERMINAL TX
                                                         + unlock I/O's                 (send RXON + /RTS)
 
    
-    On the other hand, MARKER is modified in such a way that MARKER_DOES executes a CALL to
-    the content of BODY+4, by default RET_ADR:
+On the other hand, MARKER is modified in such a way that MARKER\_DOES executes a CALL to
+the content of BODY+4,   by default RET_ADR:
     
     MARKER [CFA]    = DODOES
            [PFA]    = MARKER_DOES
@@ -154,53 +182,58 @@ V307
            [BODY+2] = previous VOCLINK (if word-set addon)
            [BODY+4] = RET_ADR
 
-    By replacing [BODY+4] with the address of a new defined subroutine (named for example: STOP_XXX), 
-    MARKER_DOES will execute it to restore all critical pointers saved at BODY+6, BODY+8...
-    
-    Thus, with MARKER and the definition of subroutines COLD_XXX, INI_SOFT_XXX, INI_HARD_XXX, BACKGND_XXX 
-    the programmer has full control of his "XXX" real time application using interrupts, 
-    with everything he needs to start it, stop it, and also to properly remove it with
-    a 'soft' MARKER word, avoiding the use of a WIPE or a SW1+RST of the last chance. 
+By replacing [BODY+4] with the address of a new defined subroutine (named for example: STOP_XXX), 
+MARKER_DOES will execute it to restore all critical pointers saved at BODY+6, BODY+8...
+
+Thus, with MARKER and the definition of subroutines COLD_XXX, INI_SOFT_XXX, INI_HARD_XXX, BACKGND_XXX 
+the programmer has full control of his "XXX" real time application using interrupts, 
+with everything he needs to start it, stop it, and also to properly remove it with
+a 'soft' MARKER word, avoiding the use of a WIPE or a SW1+RST of the last chance. 
+
+See examples in  /MSP430-FORTH/UARTI2CS.f,  /MSP430-FORTH/RTC.f.
+
+notes:
 
-    See examples in  /MSP430-FORTH/UARTI2CS.f,  /MSP430-FORTH/RTC.f.
+* RST and SW1+RST (deep RST) are hardware redirected to COLD via NMI and the USER\_NMI vector. 
+* INI\_SOFT\_SD is used as INI\_SOFT\_APP alias by the SD_CARD driver to reinit handles.  
+
+* WIPE|SW1+RST initialises this four APP calls plus TERMINAL\_INT Vector.  
 
-    notes: RST and SW1+RST (deep RST) are hardware redirected to COLD via NMI and the USER_NMI vector.
-           INI_SOFT_SD is used as INI_SOFT_APP alias by the SD_CARD driver to reinit handles.
-           WIPE|SW1+RST initialises this four APP calls plus TERMINAL_INT Vector.
 
 
-V306
+### V306
 
-    8 bytes added to (Kernel + Conditional_Compilation + Assembler).
+* 8 bytes added to (Kernel + Conditional_Compilation + Assembler).
     
-    Fixed the crash caused by forgetting the prefix '&' in the last term of an assembly instruction.
+* Fixed the crash caused by forgetting the prefix '&' in the last term of an assembly instruction.
     (the TI's symbolic mode is not implemented).
     
-    Added in the macro \config\SendFile.ttl the word ?ID to prevent any crash during download
+* Added in the macro \config\SendFile.ttl the word ?ID to prevent any crash during download
     due to a device confusion:
-        When downloading a source_file.f asked from the scite editor or by the use
-        of SendSourceFileToTarget.bat, Teraterm macro first sends ?ID definition then 
-        the string:  %deviceID% ?ID.
-        By executing ?ID, FastForth substracts %deviceID% value from the target's one then 
-        executes ABORT" DeviceID mismatch!" : the downloading is aborted if DeviceID mismatch.
-        %deviceID% is provided by the file \config\select.bat.
-    
-        When downloading a source_file.4TH, it's up to you to be careful because 
-        Teraterm sends the string 0 ?ID, so that ?ID bypasses the substraction. 
-        (when a source_file.4TH is issued from a source_file.f, you can verify
-        the target name in its header).
+
+   when downloading a source_file.f asked from the scite editor or by the use
+   of SendSourceFileToTarget.bat, Teraterm macro first sends ?ID definition then 
+   the string:  %deviceID% ?ID.  
+   By executing ?ID, FastForth substracts %deviceID% value from the target's one then 
+   executes ABORT" DeviceID mismatch!" : the downloading is aborted if DeviceID mismatch.  
+   %deviceID% is provided by the file \config\select.bat.
+
+   When downloading a source_file.4TH, it's up to you to be careful because 
+   Teraterm sends the string 0 ?ID, so that ?ID bypasses the substraction.   
     
-    Added the word set DOUBLE in the \MSP430-FORTH\DOUBLE.f file.
+* Added the word set DOUBLE in the \MSP430-FORTH\DOUBLE.f file.
 
-V305
+### V305
 
-    -48 bytes.
+    48 bytes removed.
     
     from Scite menu, we can program MSP430FRxxxx also with BSL_Scripter.
     
     To do, save file \prog\BSL_Scripter.exe from: 
     https://github.com/drcrane/bslscripter-vs2017/releases/download/v3.4.2/BSL-Scripter-v3.4.2.zip,
-    but erasing a MSP430FR2355 doesn't work.
+    but erasing a MSP430FR2355 or MSP430FR2476 doesn't work, thanks to BSL V. 00.09.36.B4 & B5.
+    See SLAU550Z tables 16 & 17.
     
     and buy a USB2UART module CP2102 6 pin. On the web, search: "CP2102 3.3V DTR RTS" 
     For wiring, see \config\BSL_Prog.bat.
@@ -213,28 +246,18 @@ V305
     UART version of ACCEPT and KEY are shortened.
     EVALUATE is moved to CORE_ANS.
 
-V304
+### V304
 
-    -36 bytes.
-    
-    for kernel compiling use the last version of srecord (1.64) to enable overlapping in vectors area.
-    
-    The forthMSP430FR.lst output file is more readable because purged of all unused conditionnal parts.
+    36 bytes removed.
     
     Fixed: word F. issue in FIXPOINT.asm
     
-    Moved: words ALLOT and DOES> to CORE_ANS.asm/f files.
-    
-    CORDIC.f also works without hardware MPY (MSP430FR4133).
-    
-    By compiling :NONAME CODENNM DEFER IS, the new option DEFERRED superseeds the old NONAME option.
+    the new kernel DEFERRED option adds :NONAME CODENNM DEFER IS.
     
     pin RESET is software replaced by pin NMI and so, RESET executes COLD, allowing code insert before BOR.
     however SYSRSTIV numbering remains unchanged: = 4 for RESET, = 6 for COLD.
     
-    Deep RESET reinitializes vectors interrupts and SIGNATURES area, as WIPE.
-    
-    Fast Forth Deep RESET is done by switches S1 + RST.
+    Hardware Deep RESET (S1+RST) reinitializes vectors interrupts and SIGNATURES area, as WIPE.
     
     
     A newcomer: FastForth for I2C TERMINAL. With the driver UART2I2CS running on another FastForth target,
@@ -252,29 +275,23 @@ V304
     |           |      ¦            |< 20cm>|                            ¦       up to 112 I2C_Slave targets  
     +-----------+      +-------------------------------------------------+
 
-    With the indicated MCLK and UART speeds, Coretest.4th is downloaded to (and executed by) I2C_Slave in 800ms.
-    The driver UARTI2CS works without error from 1MHz up to 24MHz MCLK and from 115200Bds up to 6MBds UART.
+    With the indicated MCLK and UART speed, Coretest.4th is downloaded to (and executed by) I2C_Slave in 800ms.
+    The driver UARTI2CS works without error from 1MHz to 24MHz MCLK and from 115200Bds up to 6MBds UART.
     With I2C_Master running at 24 MHz, the I2C bus frequency is about 1MHz, and it works fine even if I2C_slave is running at 1 MHz.
     Don't forget to add two 3k3 pullup resistors on SCL and SDA...
 
-    the copy of a file to I2C target SD_Card doesn't work.
-    
     the Multi Master Mode works but is not tested in multi master environment.
     
-    "Cherry on the pudding", when they wait for a TERMINAL input (idle state), 
+    "cerise sur le gâteau": when they wait for a TERMINAL input (idle state), 
     both I2C_Master and I2C_Slave(s) are sleeping in LPMx mode and the bus I2C is freed. 
-    Sleep modes down to LPM4 are available for I2C_Slave devices.
+    LPM4 mode is available for I2C_Slave devices.
     
     The driver UART2I2CS doesn't use the UCBx I2C_Master hardware, really too bad, but
     profitably its software version, much more faster, which consumes just two I/O (better in the range Px0-Px3),
     the UCBx remaining available for another I2C_Slave or SPI driver.
     
-    On the side of I2C_Slave, pins SDA SCL are those defined as BUS_TERM in the file \inc\your_target.asm.
-    I2CSLA0, in the file forthMSP430FR.asm, defines the I2C_Slave address of the I2C FastForth module.
-    for I2C_Master, see \inc\your_UARTI2CS_bridge.pat the correspondence of SM_SCL and SM_SDA.
-    
 
-#####HOW TO DO ?
+##### HOW TO DO ?
 
     first you make a I2C cable (GND,SDA,SCL,3V3) between your 2 LaunchPad, with 3,3k pullup resistors on SDA and SCL lines.
     see each of two /inc/target.pat files to know SDA ans SCL pins.
@@ -285,15 +302,15 @@ V304
     3-  compile file then prog your I2C_Slave LaunchPad.
     
     with the another LaunchPad running FastForth:
-    At line 610 of UART2I2CS.f file set the <slave address you want>, i.e. $10.
+    At the end of UART2I2CS.f file set the <slave address you want>, i.e. $10.
     then download it, it's done: TERMINAL is linked to I2C_Slave.
     
     Type `Alt+B` on teraterm (send UART break) to unlink I2C_Slave.
 
-V302
+### V302
 
-    -646 bytes
-    Kernel + FIXPOINT input + DOUBLE input + :NONAME + Conditional Compilation + Assembler under 5 kB.
+    646 bytes removed
+    Kernel + FIXPOINT input + DOUBLE input + Conditional Compilation + Assembler under 5 kB.
     
     the FORTH kernel is drastically reduced to 55 words.
     All others are moved in the \ADDON\ANS_COMPLEMENT.asm file, 
@@ -305,9 +322,9 @@ V302
     
     FF_SPECS.f displays FastForth environment.
 
-V301
+### V301
 
-    -584 bytes, Kernel + Conditional Compilation + Assembler under 5.5 kb.
+    584 bytes removed, Kernel + Conditional Compilation + Assembler under 5.5 kb.
     
     the FORTH kernel is drastically reduced to 82 words, just what the operating system needs.
     All others are moved in the \ADDON\ANS_COMPLEMENT.asm file, the conditionnal compilation
@@ -323,9 +340,9 @@ V301
     By rewriting the defered word SLEEP, we can easily disable the TERMINAL_INPUT interrupt.
     See BACKGROUND, START and STOP  in \MSP430-FORTH\RC5toLCD.f.
 
-V300
+### V300
 
-    -4 bytes.
+    4 bytes removed.
     The prompt "ok" becomes a compilation option.
     
     Taking into account the digit separator '_' in ?NUMBER, to better see when typing binary numbers.
@@ -341,234 +358,72 @@ V300
     
     RePeaTed instructions RRUX,RRCX,RLAX,ADDX,SUBX work fine! See TESTASMX.4TH
 
-V209
 
-    -26 bytes.
-    V3 prerelease
-    added experimental extended_assembler (MSP430FR5969,MSP430FR5994,MSP430FR6989)
+### PREVIOUS versions
+    
+Unlocking I/O's is transfered from RESET to WARM.
+Thus, by redirecting WARM, you can add I/O's configuration of your application before unlock them.
 
-V208
 
-    -58 bytes.
-    Simplified directory structure of project.
-    Added switch DOUBLE_INPUT as kernel compilation ADDON, removed switch LOWERCASE.
-    Added \MSP430-FORTH\CORDIC.f for aficionados.
-    Added FF_SPECS.4th to show all specificities of FastForth compilation.
-    Corrected LITERAL (double LITERAL part).
-    Modified ACCEPT COLD WARM ?ABORT, S", QNUMBER.
+The structure of primary DEFERred words as KEY,EMIT,CR,WARM... is modified,
+the address of their default execute part, without name, can be found with:
+ `' <name> >BODY`
 
-V207 
+example, after this entry: `' DROP IS KEY` KEY runs DROP i.e. runs the redirection
+made by IS,  
+but `' KEY >BODY EXECUTE` runs KEY, the default action at the BODY address.
 
-    -50 bytes.
-    Unlocking I/O's is transfered from RESET to WARM.
-    Thus, by redirecting WARM, you can add I/O's configuration of your application before unlock them.
-    
-        two options to do this:
-    
-            Light option: 
-            your START routine is inserted in WARM and continues with the default WARM. 
-            Search "START" in the \MSP430_FORTH\IR_RC5.f file as application example.
-    
-            Complete option: 
-            START routine replaces WARM and continues with ABORT (without WARM message).
-            In this case, you can also change the Reset events handling but you will need to unlock I/O's 
-            and configure TERMINAL I/O's in your START routine. 
-            Search "activate I/O" in \MSP430_FORTH\RC5toLCD.f file to see how to do.
-    
-    Bugs corrected in target.asm, target.pat and device.inc files.
+and: `' KEY >BODY IS KEY`
+restore the default action of this **primary** DEFERred word.
 
-V206
 
-    The terminal baudrate can be changed on the fly. Download MSP430-FORTH\CHNGBAUD.f to do.
-    
-    forthMSP430FR.asm: 
-    
-         Bugs corrected: ALSO and :NONAME (option).
-    
-         The structure of primary DEFERred words as KEY,EMIT,CR,WARM... is modified,
-                          -------
-         the address of their default execute part, without name, can be found with:
-         ' <name> >BODY
-    
-             example, after this entry: ' DROP IS KEY
-             KEY (or ' KEY EXECUTE) runs DROP i.e. runs the redirection made by IS,
-             ' KEY >BODY EXECUTE runs KEY, the default action at the BODY address.
-    
-             and: ' KEY >BODY IS KEY
-             restore the default action of this primary DEFERred word.
-                                                -------
-    
-        WARNING! you cannot do that with words created by DEFER !
-        DEFER creates only secondary DEFERred words, without BODY !
-                            ---------
-    
-        to build a primary DEFERred FORTH word, 
-                    -------
-        you must create a DEFERred word followed by a
-        :NONAME definition, ended by ; IS <name>
-    
-             DEFER truc
-    
-             :NONAME         \ does nothing (for the example)
-                 DUP
-                 DROP
-             ; IS truc
-    
-        The advantage of creating primary DEFERred words is to set their
-        default state, enabling to reinitialize them easily.
-    
-    forthMSP430FR_ASM.asm:
-    
-        All assembly code is revamped.
-    
-        POPM and PUSHM instructions now follow the TI syntax :-(
-    
-        Added CODENNM as assembly counterpart of :NONAME (option)
-    
-        to build the primary DEFERred assembly word "machin" :
-                     -------
-    
-            DEFER machin
-    
-            CODENNM
-                NOP2        \ assembly instruction
-                NOP3        \ assembly instruction
-                MOV @IP+,PC \ mandatory before ENDCODE
-            ENDCODE IS machin
+WARNING! you cannot do that with words created by DEFER which creates only **secondary** DEFERred words, without BODY !
+
+to build a **primary** DEFERred FORTH word, you must create a DEFERred word followed by a
+:NONAME definition:
+
+    DEFER truc
+    :NONAME         \ does nothing (for the example)
+        DUP
+        DROP
+    ; IS truc       \
     
-        you can obviously mix LOW/HIGH levels in CODENNM and :NONAME areas...
+The advantage of creating primary DEFERred words is to set their
+default state, enabling to reinitialize them easily.
 
-V205
+CODENNM is the low level equivalent of :NONAME
 
-    Added MSP-EXP430FR2355 launchpad
-    Added word :NONAME (option).
-    FastForth terminal via Bluetooth v2.1 + EDR (Microchip RN42) works fine in full duplex mode,
-    up to 460800bds, 4 WIRES (GND,RX,TX,RTS); but with, as wireless effect, a bad troughput of 6kb/s
-    instead of 30kb/s with a bridge UART2USB.
-    Added 4Mbds,5Mbds terminal @16MHZ, for use with UART2USB PL2303HXD.
-    Words AND, OR, XOR are moved as complement in ANS_COMP.f file.
-    Simplified preprocessor files in \config\gema\ folder: only two for one target:
-        one for the device, other for the target (launchpad or user application/module).
-        and similarly with the assembly files: Device.inc and Target.asm, for compiling FastForth.
-    Corrected startup time in target.asm files.
-    Modified Clock config in MSP_EXP430FR2433.asm and MSP_EXP430FR4133.ASM, allowing clock modulation.
+to build the primary DEFERred low level definition "machin" :
+             -------
 
-V202
+    DEFER machin
 
-    added the line number in case of error occurring when download a source file (*f,*.4th)
-    in HALFDUPLEX mode (scite command CTRL+2) or in default NOECHO mode (scite cmd CTRL+0).
-    However, in case of download a file.f (with preprocessing), this line number refers
-    to the contents of the file named LAST.4th.
+    CODENNM
+        NOP2        \ assembly instruction
+        NOP3        \ assembly instruction
+        MOV @IP+,PC \ mandatory before ENDCODE
+    ENDCODE IS machin
 
-V201
+you can obviously mix LOW/HIGH levels in CODENNM and :NONAME
 
-    modified OPEN file primitive in forthMSP430FR_SD_LOAD.asm; modified forthMSP430FR_SD_INIT.asm
-    reordered files preprocessor in only one folder.
-    
-    You can now compile FastForth from Linux, see FastForth.pdf
-    ...But desperately searching for the linux equivalent of TERATERM !
+All interpretation/compilation errors now execute PWR_STATE, so any incorrect definition
+and all its source file will be automatically erased.
     
-    FastForth V2.0, major version.
-    
-    Word TIB is deprecated and replaced by CIB (Current Input Buffer)
-    Word CR generates CR+LF instead of CR. TYPE is rewritten in assembly.
-    
-    Added fixed point s15q16 numbers. Thus FAST FORTH recognises : 
-    unsigned/signed numbers u/n (u <= 65535) / (-32768 <= n <= 32767), 
-    unsigned/signed double numbers ud/d by adding a decimal point 
-    (ud <= .4294967295) / (-.2147483648 <= d <= .2147483647),
-    and s15q16 signed numbers by adding a comma (-32768,00000 <= s15q16 <= 32767,00000).
-    
-    Fixed issue about the word LOAD": when called from a word, returns well into this calling word.
-    Note that with MSP430FR57xx family, SDIB uses PAD, due to lack of RAM.
-    
-    With the BOOTLOADER option, QUIT becomes a DEFERed word to easily enable/disable bootloader:
-    ' BOOT IS WARM enables bootloader.
-    WIPE disables bootloader.
 
-V162
+Accept SD_Card from 64 MB (FAT16) up to 64 GB (FAT32).  
+Note that Windows 10 no longer offers the FAT32 format for the highest sizes of SD_CARD memory.
+So you must use an alternative to do, for example: https://www.partitionwizard.com.
 
-    Added a set of words to enable conditional interpretation/compilation : MARKER [DEFINED] [UNDEFINED] 
-    [IF] [ELSE] [THEN]. A MARKER word (defined as {word} to well see it) allows you to wipe some program 
-    even if loaded in memory below RST_STATE boundary.
-    
-    All interpretation/compilation errors now execute POWER_STATE, so any incorrect definition
-    and all its source file will be automatically erased.
-    
-    Added a bootloader option which loads BOOT.4TH from SD_Card memory.
 
-V161
+Added direct file transfer from PC to the target SD_CARD.  
+Measured throughput with "HCI" SD CARD: 90 kbytes/s at 3Mbauds TERMINAL and 16MHz MCLK.
+You can do it from scite editor (menu Tools) or by using specific bat file.
+Double click on it to see how to do.
 
-    SD_Card driver works also with software multiplier (with MSP430FR4133)
-    added SLEEP word enabling user access to background task, 
-    see ACCEPT in forthMSP430FR.asm and see use in RC5toLCD.f
-    
-    You can type double numbers by inserting a decimal point.
-    Example :   `$-12` is processed as 16 bits negative number.
-                `$-.12` or `$-1.2` or `$-12.` are processed as 32 bits negative numbers.
-    
-    FAST FORTH V160, major version.
-    
-    Accept SD_Card from 64 MB (FAT16) up to 64 GB (FAT32). 
-    Note that Windows 10 no longer offers the FAT32 format for the highest sizes of SD_CARD memory.
-    So you must use an alternative to do, for example: https://www.partitionwizard.com.
-    
-    
-    in SD_TOOLS the word SECTOR dumps a sector (use a 32 bits number).
-                the word CLUSTER dumps first sector of a cluster. 
-                Usage (notice the point): number. CLUSTER or number. SECTOR
-    
-    PREVIOUS versions
-    
-    Added direct file transfer from PC to the target SD_CARD. 
-    Measured throughput with "HCI" SD CARD: 90 kbytes/s at 3Mbauds and 16MHz target clock.
-    You can do it from scite editor (menu Tools) or by using specific bat file.
-    Double click on it to see how to do.
-    
-    JTAG and BSL signatures (FF80h-FF88h) are protected against overwrite, typically during 
-    source file download. 
-    
-    added signed number prefixes $ (hex), % (bin) and # (decimal) to supersede current BASE.
-    
-    Added words ASM and ENDASM to create assembler words that are not interpretable by FORTH
-    i.e. that are called by {CALL|INTERRUPT} and ended by {RET|RETI}. These so created words 
-    can be used only in ASSEMBLER context.
-    
-    In the embedded assembler, added 3 backward BW1 BW2 BW3 and 3 forward FW1 FW2 FW3 jump labels 
-    to use with GOTO, ?GOTO.
-    These labels are for single use (one jump for one label) but immediately reusable once resolved.
-    
-    you can compile up to 32 threads vocabularies.
-    
-    Memory management :
-    Fast Forth defines 4 levels of program memory with this words :
-        WIPE (and system failures) that resets program memory, vectors interrupts and any DEFERred words,
-        RST_HERE/RST_STATE that sets/resets the boundary of program protected against <reset> and COLD,
-        PWR_HERE/PWR_STATE that sets/resets the boundary of program protected against power ON/OFF,
-        and nothing, i.e. volatile program.
-    
-    You can download source files with hardware and/or software control flow (i.e. without line 
-    or char delays) up to:
-        134400  bds @ 500kHz
-        268800  bds @ 1MHz
-        614400  bds @ 2MHz
-        1228800 bds @ 4MHz
-        2457600 bds @ 8MHz
-        3000000 bds @ 16MHZ
-        6000000 bds @ 24MHz with MSP430FR57xx devices
-    See main file DTCforthMSP430FR5xxx.asm for the list of reliable baudrates.
-    
-    FAST FORTH can be adjusted by selection of SWITCHES in the source file to reduce its size according   
-    to your convenience. To do, comment/uncomment their line.
-    
-    for your application, select the mode LPM{0,1,2,3,4} that enables wake on FAST FORTH input, 
-    depending of family: FR2xxx: LPM0, FR57xx : LPM0 to LPM2, FR59xx : LPM0 to LPM4.
-    
-    DEEP_RST (RESET + WIPE) can be hardware performed via the programmation interface 
-    (Vcc,RX,TX,RST,TEST,GND).
+JTAG and BSL signatures (FF80h-FF88h) are protected against overwrite during source file download. 
 
-Many thanks to Brad Rodriguez
------------------------------
+
+## Many thanks to Brad Rodriguez
 
 for his CamelForth which served me as a kind of canvas.
 And also to Matthias Koch for its ideas about Q15.16 implementation.
@@ -581,8 +436,7 @@ Its core is fully compliant with the standard ANS.
 It is optimized for the speed, especially in the interpreter mode, so that you can load an application program written in FORTH/Assembler faster than its binary via MSP430 Flasher.exe.
 Everything can be done from your text editor, the preprocessor and a serial terminal.
 
-What's this and why?
----
+## What's this and why?
 
 I have first programmed atmel tiny devices.
 Particularly I2C master driver to have both I2C slave and I2C master on a ATtiny461.
@@ -596,44 +450,183 @@ Today I dropped the ATMEL chips and proprietary interfaces, I program my applica
 
 And that's the magic: After I finished editing (or modify) the source file, I press the "send" button in my text editor and I can test result on target in the second following. This is the whole point of an IDE reduced to its simplest form: a text editor, a cable, a target.
 
-Content
--------
 
-See [FastForth.pdf](https://gitlab.com/Jean-Michel/FastForthForMSP430fr5xxx/-/blob/master/FastForth.pdf)
+## build your FastForth local copy
+
+download https://framagit.org/Jean-Mi/FAST-FORTH/tree/master
+Once you have unzipped it into your folder, share it - with you - and notice its network path.
+Then right clic on the root of your notepad to create a network drive by recopying this network path (change backslashes \ to / ); then set drive letter as you want.
+
+In explorer you should obtain this back your driver letter:
+
+
+    \ForthMSP430FR.asm                main FASTFORTH program
+    \ForthMSP430FR_ASM.asm            assembler
+    \ForthMSP430FR_EXTD_ASM.asm       extended assembler 
+    \ForthMSP430FR_CONDCOMP.asm       conditionnal compilation
+    \ForthMSP430FR_SD_ACCEPT.asm      ACCEPT for SD_Card
+    \ForthMSP430FR_SD_INIT.asm        init SD_CARD (FAT16/32)
+    \ForthMSP430FR_SD_LOAD.asm        load source files from SD_CARD
+    \ForthMSP430FR_SD_LowLevel.asm    SPI routines + Read / write sector 
+    \ForthMSP430FR_SD_RW.asm          read create write del SD_CARD files + file copy to SD_CARD
+    \ForthMSP430FR_TERM_I2C.asm       I2C terminal
+    \ForthMSP430FR_TERM_UART.asm      full duplex UART terminal
+    \ForthMSP430FR_TERM_UART_HALF.asm half duplex UART terminal
+    \SciTEDirectories.properties      copy of \config\scite\AS_MSP430\SciTEDirectories.properties
+
+    \ADD-ON\                          FASTFORTH OPTIONAL KERNEL ADD-ON (not erasable version)
+           \CORE_ANS.asm              set of complementary words to pass CORETEST.4TH
+           \FIXPOINT.asm              adds HOLDS F+ F- F* F/ F#S F. S>F
+           \SD_TOOLS.asm              adds some trivial words to display sectors content
+           \UTILITY.asm               adds WORDS, DUMP, ? .S .RS
+    
+    \binaries\files.txt              ready for drag'n drop to prog.bat
+             \prog(.bat)             to do what ?...
+   
+    \config\
+           \asm.properties                     configuration for *.inc,*.asm files
+           \forth.properties                   configuration for *.f,*.4th files
+           \fortran.properties                 configuration for *.pat files
+           \SendFile.ttl                       TERATERM macro file to send source file to FASTFORTH
+           \SendToSD.ttl                       TERATERM macro file to send source file to embedded SD_CARD 
+           \build(.bat)                        called by scite to build target.txt program 
+           \BSL_prog(.bat)                     to flash target with target.txt file with BSL_Scripter
+           \FET_prog(.bat)                     to flash target with target.txt file with MSP430Flasher
+           \CopyTo_SD_Card(.bat)               to copy in your MSP430-FORTH
+           \SendSource(.bat)                   to send file to FASTFORTH
+           \Preprocess(.bat)                   to convert generic .f file to specific .4th file
+           \CopySourceFileToTarget_SD_Card.bat copy it in any user folder for drag'n drop use
+           \SendSourceFileToTarget.bat         copy it in any user folder for drag'n drop use
+           \PreprocessSourceFile.bat           copy it in any user folder for drag'n drop use
+           \SelectTarget.bat                   called to select target, device and deviceID
+    
+    \inc\                         MACRO ASsembler files.inc, files.asm, GEMA preprocessor files.pat
+        \MSP430FRxxxx.inc         device configuration for AS assembler
+        \MSP430FRxxxx.asm         device init code for AS assembler 
+        \MSP_EXP430FRxxxx.asm     target configuration for AS assembler
+        \FastForthREGtoTI.pat     converts FORTH symbolic registers names to TI Rx registers
+        \tiREGtoFastForth.pat     converts TI Rx registers to FORTH symbolic registers names 
+        \MSP430FRxxxx.pat         device configuration for gema preprocessor
+        \MSP_EXP430FRxxxx.pat     target configuration for gema preprocessor
+        \ThingsInFirst.inc        general pre configuration for AS assembler
+        \ThingsInLast.inc         general post configuration for AS assembler
+
+    \prog\        SciTEGlobal.properties, TERATERM.INI + programs.url
+        
+### FORTH generic_source_files.f and targeted_source_files.4th
+
+    \MSP430-FORTH\
+                 \PreprocessSourceFile.bat            (link)
+                 \SendSourceFileToTarget.bat          (link)
+                 \CopySourceFileToTarget_SD_Card.bat  (link)
+                 \*.f            source files which must be preprocessed before downloading  
+                 \*.4th          source files ready to download to any target
+                 \LAST.4TH       last source target file issued by preprocessor
+                 \BOOT.f         performs bootstrap
+                 \CHNGBAUD.f     allows you to change terminal baudrate
+                 \CORE_ANS.f     same as CORE_ANS.asm, (but erasable) 
+                 \CORETEST.4TH   ANS core tests 
+                 \CORDIC.f       for afficionados 
+                 \DOUBLE.f       adds DOUBLE word set
+                 \FIXPOINT.f     same as FIXPOINT.asm, (but erasable)
+                 \FF_SPECS.f     shows all specificities of FAST-FORTH compiled on your target 
+                 \RTC.f          set date and time, one example of MARKER use.
+                 \RC5toLCD.f     multitasking example 
+                 \SD_test.f      tests for SD_CARD driver
+                 \SD_TOOLS.f     same as SD_TOOLS.asm, (but erasable)
+                 \TESTASM.f      some tests for embedded assembler
+                 \TESTXASM.f     some tests for embedded extended assembler
+                 \UARTI2CS.f     I2C_Master driver to link TERMINAL UART with any I2CSlave target
+                 \UTILITY.f      same as UTILITY.asm, (but erasable)
+    
+
+Note: all actions (flashing target, download files) can be made by using bat files directly,.
+The next is to download IDE (WINDOWS):
+
+## First get TI's programs
+
+[MSP430-FLASHER](https://www.ti.com/tool/MSP430-FLASHER), [MSP430_FET_Drivers](http://software-dl.ti.com/msp430/msp430_public_sw/mcu/msp430/MSP430_FET_Drivers/latest/index_FDS.html)
+
+install in the suggested directory, then copy MSP430Flasher.exe and MSP430.dll to \prog\
+
+## download IDE
+
+* [modified BSL-Scripter.zip](https://github.com/drcrane/bslscripter-vs2017/releases) and unzip as \prog\BSL-Scriper.exe
+
+* [teraterm](https://osdn.net/projects/ttssh2/releases/)
+
+* [GEMA general purpose preprocessor](https://sourceforge.net/projects/gema/files/latest/download), unzip in drive:\prog\
+
+* [sCiTE single file executable](https://www.scintilla.org/SciTEDownload.html) to drive:\prog\, then rename Scxxx.exe to scite.exe
+
+* [Macro AS](http://john.ccac.rwth-aachen.de:8000/ftp/as/precompiled/i386-unknown-win32/aswcurr.zip), unzip in drive:\prog\  
+
+* [srecord](https://sourceforge.net/projects/srecord/files/srecord-win32/1.64/), unzip in drive:\prog\  
+
+
+In explorer you should obtain that (minimum requested programs):
+
+
+    \prog\as.msg
+         \asw.exe
+         \BSL-Scripter.exe
+         \cmdarg.msg
+         \gema.exe
+         \ioerrs.msg
+         \MSP430.dll
+         \MSP430Flasher.exe
+         \P2hex.exe    
+         \P2hex.msg
+         \srec_cat.exe
+         \sCiTE.exe
+         \SciTEGlobal.properties
+         \tools.msg
+    
+
+Next we need to change the drive letter in hard links below:
+
+    \binaries\prog.bat
+    \MSP430-FORTH\SendSourceFileToTarget.bat
+                 \CopySourceFileToTarget_SD_Card.bat
+                 \PreprocessSourceFile.bat
+
+to do, right clic on them
+     select "properties"
+            set your drive letter in "target"
 
-Organize your gitlab copy of FastForth
--------
+The last step is ask Windows to associate scite editor with file types:
 
-See [FastForth.pdf](https://gitlab.com/Jean-Michel/FastForthForMSP430fr5xxx/-/blob/master/FastForth.pdf)
+right clic on a .asm file, 
+    select "open with", 
+            select "other application" then select: drive:\prog\scite.exe
 
-Minimal Software
------
+repeat for .inc, .lst, .f, .4th, .pat, .properties, .TTL files.
 
-See [FastForth.pdf](https://gitlab.com/Jean-Michel/FastForthForMSP430fr5xxx/-/blob/master/FastForth.pdf)
 
-Build the program file
-----------------------
+IT's done ! See  forthMSP430FRxxxx.asm to configure TeraTerm
+
+
+## Build the program file
 
 \forthMSP430FR.asm is the main file to compile FastForth:    
 
-Open forthMSP430FR.asm with scite editor
+Open forthMSP430FR.asm with scite editor
 
-uncomment the target as you want, i.e. MSP_EXP430FR5969
+uncomment the target as you want, i.e. MSP_EXP430FR5969
 
-choose frequency, baudrate, flow control.
+choose frequency, baudrate, flow control.
 
-uncomment options switches as your convenience.
+* comment / uncomment options switches as your convenience.
 
-save file.
+save file.
 
-assemble (CTRL+0). A window asks you for 4 parameters:
+assemble (CTRL+0). A window asks you for 4 parameters:
 
-set target as first param, i.e. MSP_EXP430FR5969
+set target as first param, i.e. MSP_EXP430FR5969
 
-then execute. the output will be \binaries\MSP_EXP430FR5969.txt
+then execute. the output will be \binaries\MSP_EXP430FR5969.txt
 
-Load Txt file (TI format) to target
------------------------------------
+## Load Txt file (TI format) to target
 
     in \binaries folder, drag your target.txt file and drop it on prog.bat
     
@@ -644,8 +637,7 @@ nota : programming the device use SBW2 interface, so UARTn is free for serial te
 If you want to program your own MSP430FRxxxx board, wire its pins TST, RST, 3V3 and GND 
 to same pins of the launchpad, on eZ-FET side of the programming connector.
 
-Connect the FAST FORTH target to a serial terminal
--------------------------------------------------
+## Connect the FAST FORTH target to a serial terminal
 
 you will need an USBtoUART cable with a PL2303TA or PL2303HXD device that allows both XON/XOFF 
 and hardware control flow :
@@ -662,17 +654,8 @@ or with a CP2102 device and 3.3V/5V that allows XON/XOFF control flow up to 9216
 
 WARNING! always verify VCC PIN = 3.3V before use to supply your target with.
 
-or with a FT232RL device and 3.3V/5V but without XON/XOFF control flow:
-
-[FT232RL 3.3V](http://www.google.com/search?q=FT232RL+3.3V)
-[FT232RL driver](https://www.ftdichip.com/Drivers/VCP.htm)    
-
-WARNING! always verify VCC PIN = 3.3V before use to supply your target with.
 
-or compatible 921600bds wireless module: RN42 (bluesmirf), RN4878...
-
-Send a source file.f or file.4th to the FAST FORH target
-------------------
+## Send a source file.f or file.4th to the FAST FORH target
 
 Three bat files are done in \MSP430-FORTH that enable you to do all you want.
 drag and drop your source file on to.
@@ -681,11 +664,9 @@ you can also open any source file with scite editor, and do all you want via its
 If you have any downloading error, first verify in "LAST.4th" that all lines are 
 correctly ended with CR+LF.
 
-SD_Card Load, Read, Write and Delete
-=============================================
+## SD_Card driver
 
-First, hardware
----------------
+#### First, hardware
 
 If you have MSP-EXP430FR5994, nothing to do.
 
@@ -693,37 +674,34 @@ For the choice of a SD card socket be carefull, pin CD (Card Detect) must be pre
 google search: "micro SD card 9 pin"
 Look for the good wiring in /Launchpad.asm file
 
-Compile with SD_Card addon
---------------
+#### Compile with SD_Card addon
 
 in forthMSP430FR.asm, uncomment lines SD_CARD_LOADER,  SD_CARD_READ_WRITE, SD_TOOLS 
 then compile for your target
 
-the commands
-------------
+### the commands
 
-With the LOAD" pathame" command you load your source files from a SD_CARD memory in both execute 
-and compile modes. Idem for READ", WRITE" and DEL" commands.
+With the LOAD" pathame" command FastForth loads source files from a SD_CARD memory.
 
 See "SD_TESTS.f", a FORTH program done for example
 
-If you remove the SD memory card reader and then reset, all SD\_IO pins are available except SD_CD obviously.
-
-HowTo LOAD a sourcefile
---------------
+If you remove the SD memory card reader and then reset, all SD\_IO pins are available except SD_CD.  
+Drive letters are always ignored.  
 
     LOAD" path\filename.4th".
 
-The file is interpreted by FORTH in same manner than from the serial terminal.
+The file is interpreted by FORTH in same manner than from the serial terminal.  
+When EOF is reached, the file is automatically closed.  
+A source file can LOAD" another source file, and so on in the limit of 8 handles. 
 
-When EOF is reached, the file is automatically closed.
+LOAD" may be used as Change Directory command: 
 
-A source file can _LOAD"_ an other source file, and so on in the limit of available handles (up to 8).
+    LOAD" \misc".       \misc becomes the current folder.
+    LOAD" ..\"          parent folder becomes the current folder.
+    LOAD" \"            Root becomes the current folder.
 
-HowTo READ a file
---------------
 
-    READ" path\filename.ext".
+     READ" path\filename.ext".  
 
 The first sector of this file is loaded in BUFFER.
 To read next sectors, use the command READ that loads the next sector in the buffer, 
@@ -734,9 +712,6 @@ The variable BufferLen keep the count of bytes to be read (0 to 512).
 
 If you want to anticipate the end, use the CLOSE command.
 
-HowTo WRITE a file
----------------
-
     WRITE" path\filename.ext".
 
 If the file does not exist, create it, else open it and set the write pointer at the end of the file, 
@@ -748,56 +723,21 @@ To overwrite an existing file: DEL" file" then  WRITE" file".
 
 Use CLOSE to close the file.
 
-HowTo delete a file
----------------
 
     DEL" path\filename.ext". If the file is not found, do nothing, no error.
 
-HowTo change DIRectory
----------------
-
-    LOAD" \misc".             \misc becomes the current folder.
-    LOAD" ..\"                parent folder becomes the current folder.
-    LOAD" \"                Root becomes the current folder.
 
-Drive letters are always ignored.
+#### Copy source file to SD_Card
 
-Downloading source file to SD_Card
-------------------------------------------
-
-to download a source file (.f or.4th) onto SD_CARD target, use CopySourceFileToTarget\_SD\_Card.bat.
+to copy a source file (.f or.4th) to SD_CARD target, use CopySourceFileToTarget\_SD\_Card.bat.
 Double click on one of this bat files to see how to do.
 
 or use scite.
 
-If you have any downloading error, first verify in "LAST.4th" that all lines are 
+If you have any copy error, first verify in "LAST.4th" that all lines are 
 correctly ended with CR+LF.
 
-Other interesting specificities :
-=====
-
-Management of vocabularies (not ANSI) with the option VOCABULARY_SET:
-VOCABULARY, DEFINITIONS, ONLY, ALSO, PREVIOUS, CONTEXT, CURRENT, FORTH, ASSEMBLER. 
-In fact, it's the the assembler that requires the vocabularies management.
-
-Recognizing prefixed numbers %101011 (bin), $00FE (hex) and #220 (decimal).
-you can insert underscores in numbers: %1100\_1101\_0000\_0001 instead of %1100110100000001.
-
-ECHO / NOECHO
-
-The words DEFER and IS are implemented. CR, EMIT, KEY, ACCEPT, QUIT and WARM are deferred words.
-
-Error messages are colored (reverse video on ANSI terminal).
-
-Assembly jumps are as FORTH one's : IF, ELSE, THEN, BEGIN, AGAIN, UNTIL, WHILE.
-Not canonical jumps are also available with GOTO|?GOTO to 
-backward labels BW1 BW2 BW3 or forward labels FW1 FW2 FW3.
-Backward labels BWx are live until new definition, forward labels FWx are for single use.
-Switch  within definitions between FORTH and Assembly contexts with words HI2LO and LO2HI. 
-See examples in the TstWords.f file. This is perhaps the most interesting feature for development...
-
-The system is not responding ?
-======
+## The system is not responding ?
 
 First, remove the USBtoUART bridge then reconnect it. Perhaps it was in suspend state...
 
@@ -807,69 +747,78 @@ as it was after the last RST_HERE command.
 If the system does not restart again, press `SW1+RESET`. 
 FORTH restarts in the state it is in its object txt file.
 
-Here is the FastForth initialization process, in itself one of its major assets :
+Here is the FastForth memory management, one of its major assets :
 
-    case 1 : when you type `PWR_STATE` ==> the program beyond PWR_HERE is lost.
+    case 1 : when you type `PWR_STATE` the program beyond PWR_HERE marker is lost.
 
-    case 1.1 : when you type `WARM`, FORTH interpreter is restarted, the program
-               beyond of last PWR_HERE (or last RST_HERE) is lost. 
-               The WARM display is preceded by "#0". 
+    case 1.1 : when you type `WARM`, FORTH interpreter is restarted, the program beyond PWR_HERE is lost. 
+               The WARM display starts with "#0". 
     
-    case 1.2 : Power ON ==> performs a reset and the program beyond PWR_HERE is lost.
-               the WARM display is preceded by the SYSRSTIV value "#2".
+    case 1.2 : Power ON performs a reset and the program beyond PWR_HERE is lost.
+               the WARM display starts with the SYSRSTIV value "#2".
     
-    case 1.3 : SVSHIFG SVSH event ==> same effects,
-               the WARM display is preceded by the SYSRSTIV decimal value "#14".
-
+    case 1.3 : SVSHIFG SVSH event, same effects,
+               the WARM display starts with the SYSRSTIV decimal value "#14".
 
     
-    case 2 : when you type `RST_STATE` ==> the program beyond RST_HERE is lost.
+    case 2 : when you type `RST_STATE` the program beyond RST_HERE marker is lost.
 
-    case 2.1 : <RESET>  ==> performs reset and the program beyond RST_HERE is lost,
-               the WARM display is preceded by the SYSRSTIV value "#4".
+    case 2.1 : <RESET> performs reset and the program beyond RST_HERE is lost,
+               the WARM display starts with the SYSRSTIV value "#4".
     
-    case 2.2 : when you type `COLD` (software reset) ==> same effects,
-               the WARM display is preceded by the SYSRSTIV value "#6".
+    case 2.2 : when you type `COLD` (software reset), same effects,
+               the WARM display starts with the SYSRSTIV value "#6".
     
-    case 2.3 : PUC on failure ===> same effects,
-               The WARM display is preceded by the SYSRSTIV decimal value.
-
+    case 2.3 : PUC on failure, same effects,
+               The WARM display starts with the SYSRSTIV decimal value.
 
     
-    case 3 : when you type `WIPE` ==> all programs donwloaded from the terminal or the SD_Card
-             are lost, the default state of COLD_APP, INI_SOFT_APP, INI_HARD_APP and BACKGND_APP
-             are restored, all "defered" words are initialised with their default value,
-             same thing for interrupts vectors, and SIGNATURES area is cleared (FFh).
-             The WARM display is preceded by #-1.
+    case 3 : when you type `WIPE` (software Deep Reset) 
+            * all programs donwloaded from the terminal or the SD_Card are lost,
+            * the default state of COLD_APP, INI_SOFT_APP, INI_HARD_APP and BACKGND_APP are restored,
+            * all "defered" words are initialised with their default value,
+            * same thing for interrupts vectors, 
+            * and SIGNATURES area is cleared (FFh).
+             The WARM display starts with #-1.
 
-    case 3.1 : <SW1+RESET> ==> performs deep reset, same effects. 
-               The WARM display is preceded by #-1.
+    case 3.1 : <SW1+RESET> performs hardware deep reset, same effects. 
+               The WARM display starts with #-1.
     
-    case 3.2 : after compiling new FastForth ==> same effects, obviously!
-               The WARM display is preceded by #-3.
+    case 3.2 : after compiling new FastForth, same effects obviously!
+               The WARM display starts with #-3.
 
-    
-    If you have previously set 'NOECHO', there is no WARM display.
 
+    case 4 : FastForth keeps the memory of all resident definitions. During source file download the
+             conditionnal compilation allows to compile only non-resident definitions.
+
+    case 4.1 : Running a "MARKER" definition will delete anything compiled beyond that.
+               By starting a source file with this "MARKER" tag, the memory is first cleared of
+               all the contents of that source file each time it is reloaded.
+
+
+
+As all other words FORTH, PWR_STATE PWR_HERE RST_STATE RST_HERE and MARKER defn. may be also used in definitions.    
+
+If you have previously set 'NOECHO', there is no WARM display.
 
-    If an error occurs from the interpreter, FORTH is restarted,
-    The error is always displayed and the program beyond PWR_HERE is lost. 
+With I2C_FastForth, WARM display is preceded by the I2C slave address, example; `@18`. 
 
-    In this way, any error is followed by the complete erasure of a bad definined word causing this error, 
-    or by that of the downloaded source file including it. 
+If an error occurs from the interpreter, FORTH is restarted, the error is always displayed and the program beyond PWR_HERE is lost. 
 
-    It is therefore recommended to end a source file with at least 'PWR_HERE' to protect it
-    from any subsequent error.
+In this way, any error is followed by the complete erasure of a bad definined word causing this error, 
+or by that of the downloaded source file including it. 
 
+It is therefore recommended to end a source file with at least 'PWR_HERE' to protect it
+from any subsequent error.
 
-VOCABULARY ADD-ON
-====
+
+## VOCABULARY ADD-ON
 
 These words are not ANS94 compliant, they are those of F83 standard.
 
 For example, after loading SD_TOOLS add-on, you can type: ALSO ASSEMBLER WORDS PREVIOUS WORDS
 
-    With ALSO ASSEMBLER, the vocabulary ASSEMBLER is added to the search CONTEXT thus the ASSEMBLER words
+    With `ALSO ASSEMBLER`, the vocabulary ASSEMBLER is added to the search CONTEXT thus the ASSEMBLER words
     become visible,
     
     WORDS display the words of ASSEMBLER then those of FORTH,
@@ -886,58 +835,61 @@ The CONTEXT can grow up to 6 vocabularies by using the word ALSO.
 If you want add words to the assembler you must type: ALSO ASSEMBLER DEFINITIONS,
 The vocabulary ASSEMBLER is added to the search CONTEXT as previously but also becomes the CURRENT vocabulary in which the new words will be stored.
 
-Finally, FORTH ONLY DEFINITIONS limits the search CONTEXT to FORTH and the CURRENT vocabulary is FORTH. 
+Finally, `FORTH ONLY DEFINITIONS` limits the search CONTEXT to FORTH and the CURRENT vocabulary is FORTH. 
+
+**WARNING !** it is discouraged to execute any definition included in the assembler word-set.
 
-EMBEDDED ASSEMBLER
-======
+## EMBEDDED ASSEMBLER
 
-With the preprocessor GEMA the embedded assembler allows access to all system variables. 
+The preprocessor GEMA allows the embedded assembler to access all system variables. 
 See files \\inc\\Target.pat. 
-You can also access to VARIABLE. See \\MSP430-FORTH\\TESTASM.4th.
 
-HOW TO MIX assembly and FORTH ?
----
+### HOW TO MIX assembly and FORTH ?
 
-FAST FORTH knows three kinds of words :
+FAST FORTH knows three kinds of definitions :
 
-    high level FORTH words beginning with : <name> and ended with ;
+* high level FORTH definitions : <name> ... ;
 
-    low level assembly words starting with CODE <name> and ended with ENDCODE
+* low level definitions CODE <name> ... ENDCODE
 
-    low level assembly words starting with ASM <name> and ended by ENDASM
-    these words are hidden because they are not FORTH executable.
-    they can be used only by calling from CODE words.
+* low level hidden definitions HDNCODE <name> ... ENDCODE
+    they are hidden because not FORTH executable.
     
-Examples
+Examples:
     
-    : NOOP          \ FORTH word "NOOP", do nothing
+    : NOOP              \ FORTH definiton "NOOP", do nothing
         DUP
         DROP
     ;
 
-    CODE ADD        \ Assembly word "ADD", alias of word +
+
+    CODE ADD            \ low level definition "ADD", alias of word +
         ADD @PSP+,TOS
         MOV @IP+,PC
     ENDCODE
 
-    ASM WDT_INT     \ Watchdog interrupt
+
+    HDNCODE WDT_INT     \ low level hidden definition "WDT_INT" (Watchdog interrupt)
     BIT #8,&TERM_STATW  \ break (ALT+b) sent by TERMINAL ?
     0<> IF              \ if yes
-        MOV #WARM,PC    \   continue with WARM
+        MOV #ABORT,PC   \   continue with ABORT (no return)
     THEN
-    RETI                \ else return to background task SLEEP
-    ENDASM
+                        \ else return to background task SLEEP
+    MOV @RSP+,SR        \ restore SR flags
+    BIC #%1111_1000,SR  \ but force CPU Active Mode
+    RET                 \ (instead of RETI)
+    ENDCODE
     
     
-To end a low level assembly word, the instruction MOV @IP+,PC jumps to the next FORTH word
+A the end of low level CODE definition, the instruction MOV @IP+,PC jumps to the next definition
 This faster (4 cycles) and shorter (one word) instruction replaces the famous pair of assembly 
 instructions : CALL #LABEL ... RET (4+4 cycles, 2+1 words). The register IP is the Interpretative Pointer. 
 
-High level FORTH word starts with a boot code DOCOL that save the IP pointer, load it with the first address
+High level FORTH definitions starts with a boot code "DOCOL" that save the IP pointer, reload it with the first address
 of a list of execution addresses, then performs a postincrement branch to this first address. 
-The list ends with the address of another piece of code EXIT (6 cycles) that restores IP before the instruction MOV @IP+,PC.
+The list ends with the address of another piece of code: EXIT (6 cycles) that restores IP before the instruction MOV @IP+,PC.
 
-here, the compilation of low level word ADD :
+here, the compilation of low level ADD definition :
 
                     header          \ compiled by the word CODE
     execution addr  ADD @PSP+,TOS
@@ -946,15 +898,14 @@ here, the compilation of low level word ADD :
 and the one of the high level word NOOP :
 
                     header          \ compiled by the word :
-    execution addr  CALL rDOCOL     \ boot code compiled by the word :
+    execution addr  CALL rDOCOL     \ boot code "DOCOL" compiled by the word :
                     addr of DUP     \ execution addr of DUP
                     addr of DROP    \ execution addr of DROP
                     addr of EXIT    \ execution addr of EXIT compiled by the word ;
 
 _A high level FORTH word is a list of execution addresses preceded by a boot code and ending with EXIT address._
 
-WRITING RULES
---
+### WRITING RULES
 
 any low level FORTH words must be ended with the instruction MOV @IP+,PC (NEXT).
 
@@ -987,13 +938,13 @@ A little more complex, the case of mixing FORTH and assembly with use of the wor
             ... 
             ...
             MOV @RSP+,IP        \ restore IP stacked by :
-            MOV @IP+,PC         \ NEXT
-        ENDCODE                 \ end of low level word
+            MOV @IP+,PC         \ goto NEXT
+        ENDCODE                 \ end of low level word, compile nothing
 
 If we see the code "MIX\_FORTH\_ASM" after compilation :
 
             header              \ compiled by :
-    exec@   CALL rDOCOL         \ boot code (which saves IP onto stack) compiled by :
+    exec@   CALL rDOCOL         \ boot code "DOCOL" (which saves IP onto stack) compiled by :
             addr of SWAP
             addr of DUP
             next addr           \ addr of asm1, compiled by HI2LO
@@ -1012,17 +963,17 @@ going a step further :
         COLON                   \ starts high level
             word1
             word2
-        ;                       \ end of high level word
+        ;                       \ end of high level word, compile EXIT
 
 If we see this code "MIX\_ASM\_FORTH" after compilation :
 
             header              \ compiled by CODE
     exec@   asm1
             asm2
-            CALL rDOCOL         \ compiled by COLON
+            CALL rDOCOL         \ "DOCOL" compiled by COLON
             addr of word1
             addr of word2
-            addr of EXIT        \ the word ; compiles address of EXIT that restores IP then executes MOV @IP+,PC
+            addr of EXIT        \ EXIT restores IP from stack then executes MOV @IP+,PC
 
 A new step
 
@@ -1042,7 +993,7 @@ A new step
 the compiled result    
 
             header              \ compiled by :
-    exec@   CALL rDOCOL         \ boot code compiled by the word :
+    exec@   CALL rDOCOL         \ "DOCOL" boot code compiled by :
             addr of word1
             addr of word2
             ...
@@ -1050,10 +1001,10 @@ the compiled result
             MOV #0,IP           \ IP is free for use
             asm1                \ assembly instruction
             ...
-            CALL #EXIT          \ compiled by LO2HI (10 cycles switch)
+            CALL #EXIT          \ compiled by LO2HI
             addr of word3
             addr of word4
-            addr of EXIT
+            addr of EXIT        \ compiled by ;
 
 EXIT is used twice !
 
@@ -1072,14 +1023,14 @@ Still another step :
         CODE MIX_ASM_FORTH_ASM  \ CODE starts a low level word
             asm1
             asm2
-        COLON                   \ switches from assembly to FORTH (COLON saves IP)
+        COLON                   \ switch to start FORTH word (COLON saves IP)
             word
             ... 
         HI2LO                   \ FORTH to assembler switch
             asm3
             asm4
             MOV @RSP+,IP        \ restore IP
-            MOV @IP+,PC         \ NEXT
+            MOV @IP+,PC         \ goto NEXT
         ENDCODE                 \ end of low level word
 
 In fact, an exclusive of FAST FORTH, the start of a word FORTH can be placed anywhere :
@@ -1107,7 +1058,7 @@ with the compiled result :
             header              \ compiled by CODE
     exec@   asm
             asm
-            CALL rDOCOL         \ compiled by COLON
+            CALL rDOCOL         \ "DOCOL" compiled by COLON
             addr
             addr
             next address        \ compiled by HI2LO
@@ -1118,10 +1069,8 @@ with the compiled result :
             addr
             EXIT addr           \ that restores IP from return stack and then executes MOV @IP+,PC
 
-As we see, IP is saved once time, it's logical.                      
 
-ASSEMBLER WITHOUT LABELS ? YES !
----
+### ASSEMBLER WITHOUT LABELS ? YES !
 
 To compare AS macro assembler and FastForth embedded assembler,
 compare files \ADDON\FIXPOINT.asm and \MSP430-FORTH\FIXPOINT.f
@@ -1216,7 +1165,7 @@ another nest :
         AGAIN
     ENDCODE
 
-you can also MIX conditional branches with a mix of FORTH/assembly: see TEST5 in the demo file \MSP430-FORTH\TESTASM.4TH
+you can MIX conditional branches with a mix of FORTH/assembly: see TEST5 in the demo file \MSP430-FORTH\TESTASM.4TH
 
 
 FAST FORTH have one pass assembler, not able to make forward jump.
@@ -1256,20 +1205,18 @@ I have added possibility of several "non canonical" jumps, up to 3 backward and
 Forward labels FWx are for single use, backward labels BWx can solve several jumps,
 until new definition.
 
-SYMBOLIC ASSEMBLER ? YES !
---
+### SYMBOLIC ASSEMBLER ? YES !
 
 I have discovered a little semantic preprocessor "GEMA", just like that FAST FORTH have its symbolic assembler !
 
-    \inc\DEVICE.pat contains memory map and vectors for a specified DEVICE
-    \inc\LAUNCHPAD.pat is the I/O config file for specific LAUNCHPAD (or application)
+* \inc\DEVICE.pat contains memory map and vectors for a specified DEVICE
+* \inc\LAUNCHPAD.pat is the I/O config file for specific LAUNCHPAD (or application)
 
-gema translates also FORTH registers in ASM registers (R0 to R15)
+Gema translates FORTH registers in ASM registers (R0 to R15) via \inc\ThingsInFirst.pat
 
 With the three bat files in \MSP430_FORTH folder all is done automatically.
 
-COMPILE FAST FORTH FOR YOUR MODULE
---
+# COMPILE FAST FORTH FOR YOUR TARGET
 
 1- in forthMSP430FR.asm "TARGET configuration"  create a line for your target, example:
 
@@ -1283,7 +1230,7 @@ Notice that you must define here only the necessary for FAST-FORTH compilation.
         .IFDEF MY_MSP430FR5738_1
     UCA0_UART   ; defines uart used by FORTH input terminal 
     LF_XTAL     ; defines if your module have a 32768 Hz xtal, to enable it.
-    UCB0_SD     ; defines UC used for SD Card driver if used
+    UCB0_SD     ; defines UC used for SD Card driver if any
         .include "MSP430FR5738.inc"  ; include device declarations
         .ENDIF
 
@@ -1293,157 +1240,17 @@ Notice that you must define here only the necessary for FAST-FORTH compilation.
             .include MY_MSP430FR5738_1.asm
         .ENDIF
 
-Then, for the preprocessor which you will use when downloading source files:
+Then, for the preprocessor which you will use when downloading FORTH source files:
 
-1- create your \inc\device.pat file if not exist, from your \inc\device.inc and another \inc\device.pat as pattern.
+1- create your \inc\device.pat file if not exist, from your \inc\device.inc and/or another \inc\device.pat as pattern.
 
-2- create your \inc\target.pat file from your \inc\target.asm and another \inc\target.pat as pattern.
+2- create your \inc\target.pat file from your \inc\target.asm and/or another \inc\target.pat as pattern.
 
 Best practice, I suggest you that all digital pins you define (input or output) in your projects have their idle state high, with external pull up resistor
 that is the reset state of FastForth...
 
-START YOUR PROJECT
---
-
-How to start your project ?
-
-I show you, assuming you are working from the scite editor with its enhanced tools menu.
-
-First you create two files : project.f and test.f
-
-PROJECT.f :
-
-    ; ----------------------------------------------------
-    ; MSP_EXP430FR5969 16MHZ 4Mbds PROJECT.f
-    ; ----------------------------------------------------
-    
-    [DEFINED] {PROJECT} [IF] {PROJECT} [THEN] \ remove {PROJECT} if exist (memory managment)
-    
-    MARKER {PROJECT}
-
-here you append your already tested routines :
-
-    CODE FIRST  \ assembler CODE words are FORTH executable
-        ...
-    MOV @IP+,PC \ NEXT
-    ENCODE
-    
-    ASM TWO     \ assembler ASM words are not FORTH executable and can only be used in assembler mode
-        ...     \ used to define interrupt routines, or subroutines called by CALL...
-    RET         \ and ended by RET or RETI.
-    ENDASM
-    
-    CODE THREE
-        ...
-    CALL #TWO   \ CALL only ASM words
-        ...
-    MOV @IP+,PC \ NEXT
-    ENCODE
-    
-    ASM WDT_INT             \ interrupt routine
-        ...
-        ...
-    BIC #WDTIFG,&SFRIFG1    \ reset WDT_INT flag
-    BIC #$F8,0(RSP)         \ set CPU ON and GIE OFF in saved SR
-    RETI                    \   
-    ENDASM
-    
-    ;
-
-then finish with this 2 "magic" words plus one optional : START, STOP and optional BACKGROUND
-
-    ASM BACKGROUND          \ (optional)
-    BW1
-        ...                 \ insert here your background task
-        ...
-        ...
-    BIS &LPM_MODE,SR        \
-    GOTO BW1
-    ENDASM                  \
-    
-    
-    
-    
-    
-    CODE START              \ to init your app
-        ...                 \ init assembly part
-    MOV #WDT_INT,&VEC_WDT   \ init WDT vector interrupt
-        ...
-    BIC #RC5,&P1REN         \ init I/O
-        ...
-    
-    MOV #SLEEP,X            \ redirect default background task
-    MOV #BACKGROUND,2(X)    \ to yours (optional)
-    
-    COLON
-        ...                 \ init FORTH part
-    
-        LIT RECURSE IS WARM \ replace WARM by START
-        ['] WARM >BODY      \ and end START with default WARM
-        EXECUTE             \ that unlock I/O, start FORTH process
-    ;                       \ then fall down to sleep state, waiting any interrupt...
-    
-    
-    CODE STOP               \ to properly stop your app
-        MOV #SLEEP,X        \ restore the default background (optional)
-        ADD #4,X            \ (word SLEEP can only be seen in assembler mode, not in FORTH)
-        MOV X,-2(X)
-    COLON
-        ['] WARM >BODY
-        IS WARM             \ remove START from FORTH init process 
-        ECHO                \ to always retrieve FORTH input terminal
-        COLD                \ reset CPU, interrupt vectors and restart FORTH.
-    ;
-    
-    
-    RST_HERE
-    
-    START       ; let's go!
-
-end of file
-
-Each time you download this project file, the word {PROJECT} removes all subsequent definitions,
-and the word RST_HERE protects the PROJECT against RESET. 
-
-The word START allows you to include your app init into FORTH's one.
-The word STOP unlink your app from FORTH init process.
-
-Look at the file RC5toLCD.f to retrieve this structure.
-
-TEST.f :
-
-    \ ----------------------------------
-    \ MSP-EXP430FR5969_8MHZ_TEST.f
-    \ ----------------------------------
-    
-    RST_STATE   \ memory managment
-    
-    here you write your routine to test
-    
-    CODE TEST
-    ...
-    ...
-    MOV @IP+,PC
-    ENDCODE
-    
-    
-    PWR_HERE    \ test.f content is protected against POWER OFF, but volatile with <reset>
 
-Each time you download this TEST file, the word RST\_STATE clears memory content beyond PROJECT. 
-
-let's go
---
-
-With the SCITE menu tools : send a file.f, you download first your project.f file, then your test.f file that include the routine to test.
-
-If the test don't work, modify it in the test.f file, then reload it.
-
-When the routine "test" works as you want, you cut it in test.f file and copy it in project.f, then when you reload it, test is done !
-
-Good luck !
-
-ANNEXE
-==
+# ANNEXES
 
 Here you have a good view of MSP430 assembly:
 [MSP430 ISA](http://www.ece.utep.edu/courses/web3376/Notes_files/ee3376-isa.pdf)
@@ -1473,72 +1280,67 @@ REGISTERS correspondence (you can use freely ASM or TI or FASTFORTH registers's
 
 **REGISTERS use**
 
-    The FASTFORTH registers rDOCOL, rDOVAR, rDOCON and rDODOES must be preserved. 
-    If you use them you can either PUSHM #4,M before and POPM #4,M after,
-    or use then restore FastForth default values:
-    xdocol, xdovar, xdocon, xdodoes. See device.pat.
-    
-    But if you use this registers you must not at the same time call any FORTH words
-    created by them! 
-    
-    don't use R3 and use R2 only with BIC, BIT, BIS instructions in register mode.
+The FASTFORTH registers rDOCOL, rDOVAR, rDOCON and rDODOES must be preserved. 
+If you use them you may either PUSHM #4,M before and POPM #4,M after,
+or use then restore FastForth default values:
+xdocol, xdovar, xdocon, xdodoes. See device.pat.
+
+When you use these registers you can't call any FORTH words created by them at the same time! 
 
-    The bits 0-11 of SR register are saved by interrupts and restored by the instruction RETI.
-    you can use freely UF9 UF10 and UF11 as SR bits 9-11. 
-    FastForth uses UF9 for double numbers interpreting.
+don't use R3 and use R2 only with BIC, BIT, BIS instructions in register mode.
+
+The bits 0-11 of SR register are saved by interrupts and restored by the instruction RETI.
+you can use freely UF9 UF10 and UF11 as SR bits 9-11. 
+FastForth uses UF9 for double numbers interpreting.
     
 
 **PARAMETERS STACK**
 
-    The register TOS (Top Of Stack) is the first cell of the Parameters stack. 
-    The register PSP (Parameters Stack Pointer) points the second cell.
-    
-    to push one cell on the PSP stack :
-    
-        SUB #2,PSP                  \ insert a empty 2th cell
-        MOV TOS,0(PSP)              \ fill this 2th cell with first cell
-        MOV <what you want>,TOS     \ MOV or MOV.B <what you want>,TOS ; i.e. update first cell
-    
-    to pop one cell from the PSP stack :
-    
-        MOV @PSP+,TOS               \ first cell TOS is lost and replaced by the 2th.
-    
-    don't never pop a byte with instruction MOV.B @PSP+, because it generates a stack misalignement...
+The register TOS (Top Of Stack) is the first cell of the Parameters stack. 
+The register PSP (Parameters Stack Pointer) points the second cell.
+
+to push one cell on the PSP stack :
+
+    SUB #2,PSP                  \ insert a empty 2th cell
+    MOV TOS,0(PSP)              \ fill this 2th cell with first cell
+    MOV <what you want>,TOS     \ MOV or MOV.B <what you want>,TOS ; i.e. update first cell
+
+to pop one cell from the PSP stack :
+
+    MOV @PSP+,TOS               \ first cell TOS is lost and replaced by the 2th.
+
+don't never pop a byte with instruction MOV.B @PSP+, because it generates a stack misalignement...
 
 **RETURN STACK**
 
-    register RSP is the Return Stack Pointer (SP).
-    
-    to push one cell on the RSP stack :
-    
-        PUSH <what you want>        \
-    
-    to pop one cell from the RSP stack :
-    
-        MOV @RSP+,<where you want>   \
-    
-    don't never pop a byte with instruction MOV.B @RSP+, ...
-    
-    
-    to push multiple registers on the RSP stack :
-    
-        PUSHM #n,Rx                 \  with 0 <= x-(n-1) < 16
-    
-    to pop multiple registers from the RSP stack :
-    
-        POPM #n,Rx                  \  with 0 <= x-(n-1) < 16
-    
+register RSP is the Return Stack Pointer (SP).
+
+to push one cell on the RSP stack : `PUSH <what you want>`
+
+to pop one cell from the RSP stack : `MOV @RSP+,<where you want>`
+
+don't never pop a byte with instruction `MOV.B @RSP+, ...`
+
+
+to push multiple registers on the RSP stack :
+
+    PUSHM #n,Rx                 \  with 0 <= x-(n-1) < 16
+
+to pop multiple registers from the RSP stack :
+
+    POPM #n,Rx                  \  with 0 <= x-(n-1) < 16
+
     PUSHM order : PSP,TOS, IP, S , T , W , X , Y ,rDOVAR,rDOCON,rDODOES,rDOCOL, R3, SR,RSP, PC
     PUSHM order : R15,R14,R13,R12,R11,R10, R9, R8,  R7  ,  R6  ,  R5   ,  R4  , R3, R2, R1, R0
-    
-    example : PUSHM #6,IP pushes IP,S,T,W,X,Y registers to return stack
-    
+
+example : `PUSHM #6,IP` pushes `IP,S,T,W,X,Y` registers to return stack
+
     POPM  order :  PC,RSP, SR, R3,rDOCOL,rDODOES,rDOCON,rDOVAR, Y , X , W , T , S , IP,TOS,PSP
     POPM  order :  R0, R1, R2, R3,  R4  ,  R5   ,  R6  ,   R7 , R8, R9,R10,R11,R12,R13,R14,R15
-    
-    example : POPM #6,IP pulls Y,X,W,T,S,IP registers from return stack
-    
-    error occurs if n is out of bounds
+
+example : `POPM #6,IP` pulls `Y,X,W,T,S,IP` registers from return stack
+
+Error occurs if `#n` is out of bounds.
 
 **conditionnal jumps use**
 
@@ -1550,3 +1352,591 @@ REGISTERS correspondence (you can use freely ASM or TI or FASTFORTH registers's
     S>=   with IF UNTIL WHILE ?GOTO   
     0>=   with IF UNTIL WHILE
     0<    with ?GOTO 
+
+
+# FAST FORTH resumed
+
+
+    RETURN-STACK-CELLS  = 48            maximum size of the return stack, in cells  
+    STACK-CELLS         = 48            maximum size of the data stack, in cells  
+    /COUNTED-STRING     = 255           maximum size of a counted string, in characters  
+    /HOLD                 = 34            size of the pictured numeric output string buffer, in characters  
+    /PAD                   = 84            size of the scratch area pointed to by PAD, in characters  
+    ADDRESS-UNIT-BITS   = 16            size of one address unit, in bits  
+    FLOORED             = true          true if floored division is the default  
+    MAX-CHAR           = 255           maximum value of any character in the implementation-defined character set  
+    MAX-N               = 32767         largest usable signed integer  
+    MAX-U               = 65535         largest usable unsigned integer  
+    MAX-D                 = 2147483647    largest usable signed double number  
+    MAX-UD              = 4294967295    largest usable unsigned double number  
+    DeFiNiTiOnS aRe CaSe-InSeNsItIvE    Strings are case-sensitive
+
+
+## FORTH word-set
+
+It is reduced to a minimum, but nevertheless extensible up to ... $FF80 !
+
+    RST_HERE        PWR_HERE        RST_STATE       PWR_STATE       CREATE          ;               :               IMMEDIATE       
+    POSTPONE        ]               [               \               '               [']             ABORT"          INTERPRET       
+    COUNT           LITERAL         ALLOT           ,               >NUMBER         FIND            WORD            ."              
+    S"              .               U.              SIGN            HOLD            #>              #S              #               
+    <#              !               @               CR              TYPE            NOECHO          ECHO            EMIT            
+    KEY             ACCEPT          COLD            WARM            WIPE            
+
+[CREATE     ](https://forth-standard.org/standard/core/CREATE)
+[;          ](https://forth-standard.org/standard/core/Semi)
+[:          ](https://forth-standard.org/standard/core/Colon)
+[IMMEDIATE  ](https://forth-standard.org/standard/core/IMMEDIATE)
+[POSTPONE   ](https://forth-standard.org/standard/core/POSTPONE)
+[\]         ](https://forth-standard.org/standard/core/right-bracket)
+[\[         ](https://forth-standard.org/standard/core/Bracket)
+[\\         ](https://forth-standard.org/standard/block/bs)
+[\[\'\]     ](https://forth-standard.org/standard/core/BracketTick)
+[\'         ](https://forth-standard.org/standard/core/Tick)
+[ABORT"     ](https://forth-standard.org/standard/core/ABORTq)
+[COUNT      ](https://forth-standard.org/standard/core/COUNT)
+[LITERAL    ](https://forth-standard.org/standard/core/LITERAL)
+[ALLOT      ](https://forth-standard.org/standard/core/ALLOT)
+[,          ](https://forth-standard.org/standard/core/Comma)
+[>NUMBER    ](https://forth-standard.org/standard/core/toNUMBER)
+[FIND       ](https://forth-standard.org/standard/core/FIND)
+[WORD       ](https://forth-standard.org/standard/core/WORD)
+[."         ](https://forth-standard.org/standard/core/Dotq)
+[S"         ](https://forth-standard.org/standard/core/Sq)
+[.          ](https://forth-standard.org/standard/core/d)
+[U.         ](https://forth-standard.org/standard/core/Ud)
+[SIGN       ](https://forth-standard.org/standard/core/SIGN)
+[HOLD       ](https://forth-standard.org/standard/core/HOLD)
+[#>         ](https://forth-standard.org/standard/core/num-end)
+[#S         ](https://forth-standard.org/standard/core/numS)
+[#          ](https://forth-standard.org/standard/core/num)
+[<#         ](https://forth-standard.org/standard/core/num-start)
+[!          ](https://forth-standard.org/standard/core/Store)
+[@          ](https://forth-standard.org/standard/core/Fetch)
+[CR         ](https://forth-standard.org/standard/core/CR)
+[TYPE       ](https://forth-standard.org/standard/core/TYPE)
+[EMIT       ](https://forth-standard.org/standard/core/EMIT)
+[KEY        ](https://forth-standard.org/standard/core/KEY)
+[ACCEPT     ](https://forth-standard.org/standard/core/ACCEPT)  
+
+    COLD            PFA of COLD content = STOP_APP subroutine address, by default --> STOP_TERM  
+    WARM            PFA of WARM content = INI_APP subroutine address, by default --> ENABLE_IO  
+    WIPE            resets the program memory to its original state (Deep_RST have same effect).
+    RST_HERE        defines the bound of the program memory protected against COLD or hardware reset.  
+    PWR_HERE        defines the bound of the program memory protected against ON/OFF and also against any error occurring.  
+    RST_STATE       removes all words defined after RST_HERE (COLD or <reset> have same effet)  
+    PWR_STATE       removes all words defined after PWR_HERE (an error has same effect)  
+    INTERPRET       text interpreter, common part of EVALUATE and QUIT.  
+    NOECHO          stop display on output   
+    ECHO            start display on output  
+
+### words added by the option MSP430ASSEMBLER:
+
+    HDNCODE         CODE            HI2LO
+
+    CODE <word>     creates a word written in assembler.
+                    this defined <word> must be ended with ENDCODE unless COLON or LO2HI use.  
+    HDNCODE <word>  creates a word written in assembler but not interpretable by FORTH (because ended by RET instr.).  
+                    Visible only from assembler  
+    HI2LO           used to switch compilation from high level (FORTH) to low level (assembler).
+
+### Other words are useable in any source_files.f, see \inc\device.pat file :
+
+    SLEEP               CODE_WITHOUT_RETURN: CPU shutdown  
+    LIT                 CODE compiled by LITERAL  
+    XSQUOTE             CODE compiled by S" and S_  
+    HEREXEC             CODE HERE and BEGIN execute address  
+    QFBRAN              CODE compiled by IF UNTIL  
+    BRAN                CODE compiled by ELSE REPEAT AGAIN  
+    NEXT_ADR            CODE NEXT instruction (MOV @IP+,PC)  
+    XDO                 CODE compiled by DO  
+    XPLOOP              CODE compiled by +LOOP  
+    XLOOP               CODE compiled by LOOP  
+    MUSMOD              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD  
+    MDIV1DIV2           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/  
+    MDIV1               ASM input for 48/16 unsigned division, see DOUBLE M*/  
+    RET_ADR             ASM content of INI_FORTH_PFA and MARKER+8 definitions,  
+    SETIB               CODE Set Input Buffer with org & len values, reset >IN pointer  
+    REFILL              CODE accept one line from input and leave org len of input buffer  
+    CIB_ADR             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG  
+    XDODOES             to restore rDODOES: `MOV #XDODOES,rDODOES`  
+    XDOCON              to restore rDOCON: `MOV #XDOCON,rDOCON`  
+    XDOVAR              to restore rDOVAR: `MOV #XDOVAR,rDOVAR`  
+    !                   to restore rDOCOL: `MOV &WIPE_DOCOL,rDOCOL`  
+    INI_FORTH           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine  
+    QABORT              CODE_WITHOUT_RETURN run-time part of ABORT"  
+    ABORT_TERM          CODE_WITHOUT_RETURN called by QABORT, also by QREVEAL and INTERPRET     
+    UART_COLD_TERM      ASM, content of COLD_PFA by default  
+    UART_INIT_TERM      ASM, content of WARM_PFA by default  
+    UART_RXON           ASM, content of SLEEP_PFA by default  
+    UART_RXOFF          ASM, called by ACCEPT before Receiving char LF.  
+    I2C_COLD_TERM       ASM, content of COLD_PFA by default  
+    I2C_INIT_TERM       ASM, content of WARM_PFA by default  
+    I2C_RXON            ASM, content of SLEEP_PFA by default  
+    I2C_CTRL_CH         ASM, used as is: `MOV.B #CTRL_CHAR,Y`  
+    !                                    `CALL #I2C_CTRL_CH`
+    ABORT               ABORT address
+    QUIT                QUIT address
+
+
+### Other variables useable in source_files.f, see \inc\device.pat file :
+
+    FREQ_KHZ        FREQUENCY (in kHz)
+    TERMBRW_RST     TERMBRW_RST
+    TERMMCTLW_RST   TERMMCTLW_RST
+    I2CSLAVEADR     I2C_SLAVE address
+    I2CSLAVEADR1       
+    LPM_MODE        LPM_MODE value, LPM0+GIE is the default value
+    RSTIV_MEM       SYSRSTIV memory, set to -1 to do Deep RESET
+    RST_DP          RST value for DP
+    RST_VOC         RST value for VOClink
+    VERSION 
+    THREADS 
+    KERNEL_ADDON    
+
+    WIPE_INI                MOV #WIPE_INI,X
+    WIPE_COLD       WIPE value for PFA_COLD
+    WIPE_INI_FORTH  WIPE value for PFA_INI_FORTH
+    WIPE_SLEEP      WIPE value for PFA_SLEEP
+    WIPE_WARM       WIPE value for PFA_WARM
+    WIPE_TERM_INT   WIPE value for TERMINAL vector
+    WIPE_DP         WIPE value for RST_DP   
+    WIPE_VOC        WIPE value for RST_VOC
+
+    INI_FORTH_INI   MOV #INI_FORTH_INI,X    \ >BODY instruction of default INI_SOFT_APP
+    INIT_ACCEPT     FORTH value for PFAACCEPT
+    INIT_CR         FORTH value for PFACR
+    INIT_EMIT       FORTH value for PFAEMIT
+    INIT_KEY        FORTH value for PFAKEY
+    INIT_CIB        FORTH value for CIB_ADR
+    HALF_FORTH_INI  to preserve the state of DEFERed words, used by user INI_SOFT_APP as:
+    !                   ADD #4,0(RSP)           \ skip INI_FORTH >BODY instruction "MOV #INI_FORTH_INI,X"
+    !                   MOV #HALF_FORTH_INI,X   \ replace it by "MOV #HALF_FORTH_INI,X"
+    !                   MOV @RSP+,PC            \ then RET
+    INIT_DOCOL      FORTH value for rDOCOL   (R4) to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+    INIT_DODOES     FORTH value for rDODOES  (R5)
+    INIT_DOCON      FORTH value for rDOCON   (R6)
+    INIT_DOVAR      FORTH value for rDOVAR   (R7)
+    INIT_CAPS       FORTH value for CAPS
+    INIT_BASE       FORTH value for BASE
+    
+
+
+## MSP430ASSEMBLER word-set
+
+    ?GOTO           GOTO            FW3             FW2             FW1             BW3             BW2             
+    BW1             REPEAT          WHILE           AGAIN           UNTIL           ELSE            THEN            
+    IF              0=              0<>             U>=             U<              0<              0>=             
+    S<              S>=             RRUM            RLAM            RRAM            RRCM            POPM            
+    PUSHM           CALL            PUSH.B          PUSH            SXT             RRA.B           RRA             
+    SWPB            RRC.B           RRC             AND.B           AND             XOR.B           XOR             
+    BIS.B           BIS             BIC.B           BIC             BIT.B           BIT             DADD.B          
+    DADD            CMP.B           CMP             SUB.B           SUB             SUBC.B          SUBC            
+    ADDC.B          ADDC            ADD.B           ADD             MOV.B           MOV             RETI            
+    LO2HI           COLON           ENDASM          ENDCODE
+
+[ADD, ADD.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=135),
+[ADDC, ADDC.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=136),
+[AND, AND.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=137),
+[BIC, BIC.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=138),
+[BIS, BIS.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=139),
+[BIT, BIT.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=140),
+[CALL           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=142),
+[CMP, CMP.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=147),
+[DADD, DADD.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=149),
+[MOV, MOV.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=165),
+[PUSH, PUSH.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=168),
+[RETI           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=170),
+[RRA, RRA.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=173),
+[RRC, RRC.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=174)
+[SUB, SUB.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=179)
+[SUBC, SUBC.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=180)
+[SWPB           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=181)
+[SXT            ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=182)
+[XOR, XOR.B     ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=184)
+[RRUM           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=218)
+[RLAM           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=208)
+[RRAM           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=211)
+[RRCM           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=214)
+[POPM           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=204)
+[PUSHM          ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=205)
+
+    ?GOTO           used after a conditionnal (0=,0<>,U>=,U<,0<,S<,S>=) to branch to a label FWx or BWx  
+    GOTO            used as unconditionnal branch to a label FWx or BWx  
+    BW3             BACKWARD branch destination n°3  
+    BW2                                         n°2  
+    BW1                                         n°1  
+    FW3             FORWARD branch destination  n°3  
+    FW2                                         n°2  
+    FW1                                         n°1  
+    REPEAT          assembler version of the FORTH word REPEAT  
+    WHILE           idem  
+    AGAIN           idem  
+    UNTIL           idem  
+    ELSE            idem  
+    THEN            idem  
+    IF              idem  
+    0=              conditionnal       
+    0<>             conditionnal  
+    U>=             conditionnal  
+    U<              conditionnal  
+    0<              conditionnal, to use only with ?GOTO  
+    0>=             conditionnal, to use only with IF UNTIL WHILE  
+    S<              conditionnal  
+    S>=             conditionnal  
+    LO2HI           switches compilation between low level and high level modes without saving IP register.  
+    COLON           pushes IP then performs LO2HI, used as: CODE <word> ... assembler instr ... COLON ... FORTH words ... ;
+    ENDCODE         to end a CODE or HDNCODE definition.  
+
+#### EXTENDED_MEM WORDS set:
+
+Gives access to addresses beyond $FFFF
+
+[POPM.A         ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=204),
+[PUSHM.A        ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=205),
+[ADDA           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=229),
+[CALLA          ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=232),
+[CMPA           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=235),
+[MOVA           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=238),
+[SUBA           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=241)
+
+#### EXTENDED_ASM WORDS set:
+
+Full 20 bits address/data assembler
+
+[ADDX, ADDX.A, ADDX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=187),
+[ADDCX, ADDCX.A, ADDCX.B](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=188),
+[ANDX ANDX.A, ANDX.B    ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=189),
+[BICX, BICX.A, BICX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=190),
+[BISX, BISX.A, BISX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=191),
+[BITX, BITX.A, BITX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=192),
+[CMPX, CMPX.A, CMPX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=194),
+[DADDX, DADDX.A, DADDX.B](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=196),
+[MOVX, MOVX.A, MOVX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=202),
+[PUSHX, PUSHX.A, PUSHX.B](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=207),
+[RRAX, RRAX.A, RRAX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=212),
+[RRCX, RRCX.A, RRCX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=216),
+[RRUX, RRUX.A, RRUX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=219),
+[SUBX, SUBX.A, SUBX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=221),
+[SUBCX, SUBCX.A, SUBCX.B](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=222),
+[SWPBX, SWPBX.A         ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=223),
+[SXTX, SXTX.A           ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=225),
+[XORX, XORX.A, XORX.B   ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=227),
+[RPT                    ](http://www.ti.com/lit/ug/slau272d/slau272d.pdf#page=119)
+
+### CONDCOMP ADD-ON
+
+[MARKER         ](https://forth-standard.org/standard/core/MARKER),
+[\[DEFINED\]    ](https://forth-standard.org/standard/tools/BracketDEFINED),
+[\[UNDEFINED\]  ](https://forth-standard.org/standard/tools/BracketUNDEFINED),
+[\[IF\]         ](https://forth-standard.org/standard/tools/BracketIF),
+[\[ELSE\]       ](https://forth-standard.org/standard/tools/BracketELSE),
+[\[THEN\]       ](https://forth-standard.org/standard/tools/BracketTHEN)
+
+
+### VOCABULARY ADD-ON
+
+[DEFINITIONS     ](https://forth-standard.org/standard/search/DEFINITIONS),
+[ONLY            ](https://forth-standard.org/standard/search/ONLY),
+[PREVIOUS        ](https://forth-standard.org/standard/search/PREVIOUS),
+[ALSO            ](https://forth-standard.org/standard/search/ALSO)  
+
+ASSEMBLER sets ASSEMBLER as CONTEXT word set  
+FORTH sets FORTH as CONTEXT word set  
+VOCABULARY <name> creates a new word-set 
+
+
+### NONAME ADD-ON
+
+[\:NONAME      ](https://forth-standard.org/standard/core/ColonNONAME),
+[DEFER         ](https://forth-standard.org/standard/core/DEFER),
+[IS            ](https://forth-standard.org/standard/core/IS)
+
+CODENNM is the assembly counterpart of :NONAME.
+
+
+### SD_CARD_LOADER ADD-ON
+
+    LOAD"           LOAD" SD_TEST.4TH" loads source file SD_TEST.4TH from SD_Card and compile it.
+
+
+### SD_CARD_READ_WRITE ADD-ON
+
+    TERM2SD"        SD_EMIT         WRITE           READ            CLOSE           DEL"            WRITE"          
+    READ"
+
+    TERM2SD"        TERM2SD" SD_TEST.4TH" copy input file to SD_CARD (use CopySourceFileToTarget_SD_Card.bat to do)
+    SD_EMIT         sends output stream at the end of last opened as write file.
+    WRITE           write sequentially BUFFER content to a sector
+    READ            read sequentially a sector to BUFFER
+    CLOSE           close last opened file.
+    DEL"            DEL" SD_TEST.4TH" remove this file from SD_CARD.
+    WRITE"          WRITE" TRUC" open or create TRUC file ready to write to the end of this file
+    READ"           READ" TRUC" open TRUC and load its first sector in BUFFER
+
+
+
+### BOOTLOADER
+
+to enable bootloader: `' BOOT IS WARM`,
+to disable bootloader: `' BOOT [PFA] IS WARM`
+
+Once bootloader enabled, any PUC event loads (and executes) the file \BOOT.4TH from the SD_Card.
+
+
+## OPTIONNAL ADD-ON
+
+* when ADD-ONs are compiled with the kernel, their respective MARKER word identified with braces {} does nothing.  
+  Sources are in the folder \ADDON, as source.asm file.
+
+* when ADD-ONs are downloaded, their respective MARKER word identified with braces {} removes all ADD-ONs words.  
+  Sources are in the folder \MSP430-FORTH\, as source.f file.
+
+### ANS_COMP
+
+Adds complement to pass FORTH ANS94 core test.
+
+[VALUE           ](https://forth-standard.org/standard/core/VALUE),
+[TO              ](https://forth-standard.org/standard/core/TO),
+[BEGIN           ](https://forth-standard.org/standard/core/BEGIN),
+[DOES>           ](https://forth-standard.org/standard/core/DOES),
+[SPACES          ](https://forth-standard.org/standard/core/SPACES),
+[SPACE           ](https://forth-standard.org/standard/core/SPACE),
+[BL              ](https://forth-standard.org/standard/core/BL),
+[PAD             ](https://forth-standard.org/standard/core/PAD),       
+[>IN             ](https://forth-standard.org/standard/core/toIN),
+[BASE            ](https://forth-standard.org/standard/core/BASE),
+[STATE           ](https://forth-standard.org/standard/core/STATE),
+[CONSTANT        ](https://forth-standard.org/standard/core/CONSTANT),
+[VARIABLE        ](https://forth-standard.org/standard/core/VARIABLE),
+[SOURCE          ](https://forth-standard.org/standard/core/SOURCE),
+[RECURSE         ](https://forth-standard.org/standard/core/RECURSE),
+[EVALUATE        ](https://forth-standard.org/standard/core/EVALUATE),
+[EXECUTE         ](https://forth-standard.org/standard/core/EXECUTE),
+[>BODY           ](https://forth-standard.org/standard/core/toBODY),
+[.(              ](https://forth-standard.org/standard/core/Dotp),
+[(               ](https://forth-standard.org/standard/core/p),
+[DECIMAL         ](https://forth-standard.org/standard/core/DECIMAL),
+[HEX             ](https://forth-standard.org/standard/core/HEX),
+[HERE            ](https://forth-standard.org/standard/core/HERE),
+[FILL            ](https://forth-standard.org/standard/core/FILL),
+[MOVE            ](https://forth-standard.org/standard/core/MOVE),
+[+!              ](https://forth-standard.org/standard/core/PlusStore),
+[[CHAR]          ](https://forth-standard.org/standard/core/BracketCHAR),
+[CHAR            ](https://forth-standard.org/standard/core/CHAR),
+[CELL+           ](https://forth-standard.org/standard/core/CELLPlus),
+[CELLS           ](https://forth-standard.org/standard/core/CELLS),
+[CHAR+           ](https://forth-standard.org/standard/core/CHARPlus),
+[CHARS           ](https://forth-standard.org/standard/core/CHARS),
+[ALIGN           ](https://forth-standard.org/standard/core/ALIGN),
+[ALIGNED         ](https://forth-standard.org/standard/core/ALIGNED),
+[2OVER           ](https://forth-standard.org/standard/core/TwoOVER),
+[2SWAP           ](https://forth-standard.org/standard/core/TwoSWAP),
+[2DROP           ](https://forth-standard.org/standard/core/TwoDROP),
+[2DUP            ](https://forth-standard.org/standard/core/TwoDUP),
+[2!              ](https://forth-standard.org/standard/core/TwoStore),
+[2@              ](https://forth-standard.org/standard/core/TwoFetch),
+[R@              ](https://forth-standard.org/standard/core/RFetch),
+[ROT             ](https://forth-standard.org/standard/core/ROT),
+[OVER            ](https://forth-standard.org/standard/core/OVER),
+[*/              ](https://forth-standard.org/standard/core/TimesDiv),
+[*/MOD           ](https://forth-standard.org/standard/core/TimesDivMOD),
+[MOD             ](https://forth-standard.org/standard/core/MOD),
+[/               ](https://forth-standard.org/standard/core/Div),
+[/MOD            ](https://forth-standard.org/standard/core/DivMOD),
+[*               ](https://forth-standard.org/standard/core/Times),
+[FM/MOD          ](https://forth-standard.org/standard/core/FMDivMOD),
+[ABS             ](https://forth-standard.org/standard/core/ABS),
+[NEGATE          ](https://forth-standard.org/standard/core/NEGATE),
+[SM/REM          ](https://forth-standard.org/standard/core/SMDivREM),
+[UM/MOD          ](https://forth-standard.org/standard/core/UMDivMOD),
+[M*              ](https://forth-standard.org/standard/core/MTimes),
+[UM*             ](https://forth-standard.org/standard/core/UMTimes),
+[2/              ](https://forth-standard.org/standard/core/TwoDiv),
+[2*              ](https://forth-standard.org/standard/core/TwoTimes),
+[MIN             ](https://forth-standard.org/standard/core/MIN),
+[MAX             ](https://forth-standard.org/standard/core/MAX),
+[RSHIFT          ](https://forth-standard.org/standard/core/RSHIFT),
+[LSHIFT          ](https://forth-standard.org/standard/core/LSHIFT),
+[INVERT          ](https://forth-standard.org/standard/core/INVERT),
+[1-              ](https://forth-standard.org/standard/core/OneMinus),
+[1+              ](https://forth-standard.org/standard/core/OnePlus),
+[S>D             ](https://forth-standard.org/standard/core/StoD),
+[XOR             ](https://forth-standard.org/standard/core/XOR),
+[OR              ](https://forth-standard.org/standard/core/OR),
+[AND             ](https://forth-standard.org/standard/core/AND),
+[LEAVE           ](https://forth-standard.org/standard/core/LEAVE),
+[UNLOOP          ](https://forth-standard.org/standard/core/UNLOOP),
+[J               ](https://forth-standard.org/standard/core/J),
+[I               ](https://forth-standard.org/standard/core/I),
+[+LOOP           ](https://forth-standard.org/standard/core/PlusLOOP),
+[LOOP            ](https://forth-standard.org/standard/core/LOOP),
+[DO              ](https://forth-standard.org/standard/core/DO),  
+[REPEAT          ](https://forth-standard.org/standard/core/REPEAT),
+[WHILE           ](https://forth-standard.org/standard/core/WHILE),
+[AGAIN           ](https://forth-standard.org/standard/core/AGAIN),
+[UNTIL           ](https://forth-standard.org/standard/core/UNTIL),
+[THEN            ](https://forth-standard.org/standard/core/THEN),
+[ELSE            ](https://forth-standard.org/standard/core/ELSE),
+[IF              ](https://forth-standard.org/standard/core/IF),
+[>               ](https://forth-standard.org/standard/core/more),
+[<               ](https://forth-standard.org/standard/core/less),
+[U<              ](https://forth-standard.org/standard/core/Uless),
+[=               ](https://forth-standard.org/standard/core/Equal),
+[0<              ](https://forth-standard.org/standard/core/Zeroless),
+[0=              ](https://forth-standard.org/standard/core/ZeroEqual),
+[C,              ](https://forth-standard.org/standard/core/CComma),
+[C!              ](https://forth-standard.org/standard/core/CStore),
+[C@              ](https://forth-standard.org/standard/core/CFetch),
+[R>              ](https://forth-standard.org/standard/core/Rfrom),
+[>R              ](https://forth-standard.org/standard/core/toR),
+[NIP             ](https://forth-standard.org/standard/core/NIP),
+[DROP            ](https://forth-standard.org/standard/core/DROP),
+[SWAP            ](https://forth-standard.org/standard/core/SWAP),
+[DEPTH           ](https://forth-standard.org/standard/core/DEPTH),
+[EXIT            ](https://forth-standard.org/standard/core/EXIT),
+[?DUP            ](https://forth-standard.org/standard/core/qDUP),
+[DUP             ](https://forth-standard.org/standard/core/DUP),
+[-               ](https://forth-standard.org/standard/core/Minus),
+[+               ](https://forth-standard.org/standard/core/Plus)
+
+
+### FIXPOINT
+
+    S>F             F.              F*              F#S             F/              F-              F+              
+    HOLDS           {FIXPOINT}
+
+    S>F             u/n -- Qlo Qhi       convert u/n in a Q15.16 value
+    F.              display a Q15.16 value
+    F*              Q15.16 multiplication  
+    F#S             Qlo Qhi u -- Qhi 0    
+                    convert fractionnal part of a Q15.16 value displaying u digits
+    F/              Q15.16 division        
+    F-              Q15.16 soustraction
+    F+              Q15.16 addition
+    HOLDS           https://forth-standard.org/standard/core/HOLDS
+
+
+### UTILITY
+
+    DUMP            U.R             WORDS           ?               .RS             .S              {TOOLS}
+
+[DUMP           ](https://forth-standard.org/standard/tools/DUMP), 
+[U.R            ](https://forth-standard.org/standard/core/UDotR),
+[WORDS          ](https://forth-standard.org/standard/tools/WORDS),  
+[?              ](https://forth-standard.org/standard/tools/q), 
+[.S             ](https://forth-standard.org/standard/tools/DotS),
+
+    .RS             displays Return Stack content  
+
+### SD_TOOLS
+
+    DIR             FAT             CLUSTER         SECTOR          {SD_TOOLS}
+
+    DIR             dump first sector of current directory  
+    FAT             dump first sector of FAT1  
+    CLUSTER         .123 CLUSTER displays first sector of cluster 123  
+    SECTOR          .123456789 SECTOR displays sector 123456789  
+
+### DOUBLE word set
+
+[D.R             ](https://forth-standard.org/standard/double/DDotR),
+[2LITERAL        ](https://forth-standard.org/standard/double/TwoLITERAL),
+[2VALUE          ](https://forth-standard.org/standard/double/TwoVALUE),
+[2CONSTANT       ](https://forth-standard.org/standard/double/TwoCONSTANT),
+[2VARIABLE       ](https://forth-standard.org/standard/double/TwoVARIABLE),
+[M*/             ](https://forth-standard.org/standard/double/MTimesDiv),
+[DMIN            ](https://forth-standard.org/standard/double/DMIN),
+[DMAX            ](https://forth-standard.org/standard/double/DMAX),
+[D2*             ](https://forth-standard.org/standard/double/DTwoTimes),
+[D2/             ](https://forth-standard.org/standard/double/DTwoDiv),
+[DABS            ](https://forth-standard.org/standard/double/DABS),
+[DNEGATE         ](https://forth-standard.org/standard/double/DNEGATE),
+[D-              ](https://forth-standard.org/standard/double/DMinus),
+[M+              ](https://forth-standard.org/standard/double/MPlus),
+[D+              ](https://forth-standard.org/standard/double/DPlus),
+[DU<             ](https://forth-standard.org/standard/double/DUless),
+[D<              ](https://forth-standard.org/standard/double/Dless),
+[D=              ](https://forth-standard.org/standard/double/DEqual),
+[D0<             ](https://forth-standard.org/standard/double/DZeroless),
+[D0=             ](https://forth-standard.org/standard/double/DZeroEqual),
+[D>S             ](https://forth-standard.org/standard/double/DtoS),
+[2ROT            ](https://forth-standard.org/standard/double/TwoROT),
+[D.              ](https://forth-standard.org/standard/double/Dd),
+[2R>             ](https://forth-standard.org/standard/core/TwoRfrom),
+[2R@             ](https://forth-standard.org/standard/core/TwoRFetch),
+[2>R             ](https://forth-standard.org/standard/core/TwotoR)
+
+
+## IDE for linux UBUNTU 
+
+First search from ti.com: [MSP430Flasher](http://software-dl.ti.com/msp430/msp430_public_sw/mcu/msp430/MSP430Flasher/latest/index_FDS.html)
+
+untar in a home folder then:
+* open MSPFlasher-1.3.16-linux-x64-installer.run
+* install in MSP430Flasher (under home)
+
+open a terminal in MSP430Flasher/Drivers: 
+    sudo ./msp430uif_install.sh
+    
+copy MSP430Flasher/MSP430Flasher to /usr/local/bin/MSP430Flasher 
+copy MSP430Flasher/libmsp430.so  to /usr/local/lib/MSP430Flasher/libmsp430.so
+
+open an editor as superuser in /etc/ld.so.conf.d/
+     write on first line (of new file): /usr/local/lib/msp430flasher/
+     save this new file as libmsp430.conf
+then in a terminal: sudo /sbin/ldconfig
+
+
+#### install the package srecord
+
+install the package scite
+as super user, edit /etc/scite/SciTEGlobal.properties
+uncomment (line 18): position.maximize=1
+uncomment (line 257): properties.directory.enable=1
+add line 7: PLAT_WIN=0
+add line 8: PLAT_GTK=1
+save file
+
+at the end of your ~.profile file, add these two lines:
+FF="/the_root_of_your_FastForth_local_copy"
+export FF
+
+https://sourceforge.net/projects/gema/files/gema/gema-1.4-RC/gema-1.4RC-src.tgz/download
+untar in a home folder then:
+make (ignore warnings)
+sudo make install (ignore warnings)
+make clean
+result in: /usr/local/bin/gema
+
+http://john.ccac.rwth-aachen.de:8000/ftp/as/source/c_version/asl-current.tar.gz
+untar in a home folder then:
+copy /Makefile.def-samples/Makefile.def-i386-unknown-linux2.x,x to ../Makefile.def
+edit this Makefile.def to remove "-march=i586" option from line 7 (if any)
+make
+make test
+sudo make install
+make clean
+result: asl files are in /usr/local
+
+
+#### install minicom package
+
+
+sudo gpasswd --add ${USER} dialout
+
+copy /config/msp430/.minirc.dfl in your home directory.
+
+In /inc/RemoveComments.pat, deselect windows part, select linux part. 
+
+
+With scite editor you can 
+   - assemble FastForth then download it to eZFET target, 
+   - edit your source files
+   - preprocess file.f to file.4th 
+
+With minicom you can send a file.4th to your target via dev/ttyUSB0, up to 4Mbauds:
+CTRL_A + Y to send a file
+
+
+
index e6b86fa..efd288c 100644 (file)
@@ -123,7 +123,7 @@ load.on.activate=1
 #save.on.deactivate=1\r
 are.you.sure.on.reload=1\r
 reload.preserves.undo=1\r
-#check.if.already.open=1\r
+check.if.already.open=1\r
 #temp.files.sync.load=1\r
 default.file.ext=.txt\r
 #source.default.extensions=.h|.cxx|.bat\r
@@ -240,9 +240,9 @@ properties.directory.enable=1
 \r
 # Status Bar\r
 statusbar.number=4\r
-statusbar.text.1=\\r
-li=$(LineNumber) co=$(ColumnNumber) $(OverType) ($(EOLMode)) $(FileAttr)\r
 statusbar.text.2=\\r
+li=$(LineNumber) co=$(ColumnNumber) $(OverType) ($(EOLMode)) $(FileAttr)\r
+statusbar.text.1=\\r
 $(BufferLength) chars in $(NbOfLines) lines. Sel: $(SelHeight) lines, $(SelLength) chars.\r
 statusbar.text.3=\\r
 Now is: Date=$(CurrentDate) Time=$(CurrentTime)\r
@@ -551,8 +551,20 @@ if PLAT_WIN
     import \config\fortran\r
     import \config\hex\r
     import \config\others\r
-#if PLAT_GTK\r
-#    import /home/thoorens/CloudStation/projets/msp430/config/asm\r#    import /home/thoorens/CloudStation/projets/msp430/config/forth\r#    import /home/thoorens/CloudStation/projets/msp430/config/fortran\r#    import /home/thoorens/CloudStation/projets/msp430/config/hex\r#    import /home/thoorens/CloudStation/projets/msp430/config/others\r\rimport Z:\config\asm\r
+if PLAT_GTK\r
+FF=$HOME/CloudStation/projets/msp430\r
+export FF\r
+PATH=/usr/local/MSPFlasher:$PATH\r
+export PATH\r
+#    import /home/thoorens/CloudStation/projets/msp430/config/asm\r
+#    import /home/thoorens/CloudStation/projets/msp430/config/forth\r
+#    import /home/thoorens/CloudStation/projets/msp430/config/fortran\r
+#    import /home/thoorens/CloudStation/projets/msp430/config/hex\r
+#    import /home/thoorens/CloudStation/projets/msp430/config/others\r
+\r
+\r
+\r
+import Z:\config\asm\r
 import Z:\config\forth\r
 import Z:\config\fortran\r
 import Z:\config\hex\r
index 55517a4..2b62606 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF FE D7 0A D0 33 01
-10 00 81 06 B4 C5 AA C4 B6 C5 8A C5 82 C6 FE D7
-0A D0 70 C6 92 C7 FE C6 DA C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 28 CC 42 CC 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF E6 D7 F2 CF 34 01
+10 00 41 07 B6 C5 AA C4 B8 C5 8C C5 84 C6 E6 D7
+F2 CF 72 C6 82 C7 00 C7 DC C6 3C 21 50 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6E C6 B2 49 90 C7 B2 49 FC C6 B2 49 D8 C6
+B2 49 70 C6 B2 49 80 C7 B2 49 FE C6 B2 49 DA C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 E0 C7 16 C7 4A C7 34 C4 28 CC 14 C4
-05 1B 5B 37 6D 40 5C C7 0A C4 02 18 E0 C7 D6 C8
-A8 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5C C7 A0 C8 5C C7 14 C4
-04 1B 5B 30 6D 00 5C C7 28 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 F2 B0 10 00 00 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12
-8A C5 78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D
-0A 1B 5B 37 6D 40 5C C7 0A C4 02 18 E0 C7 D6 C8
-0A C4 23 00 FA C6 D6 C8 14 C4 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 5C C7 0A C4 40 FF 28 C4 D4 C7 A0 C8
-14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
-7C C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
-3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
-58 43 B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
-3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
-04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
-38 40 05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
-F1 3F 2C C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 00 00 04 54 59 50 45 00 0E 93 11 24
-0D 12 3D 40 78 C7 28 4F 2F 83 8F 4E 00 00 7E 48
-8F 48 02 00 10 42 FC C6 7A C7 2D 83 1E 83 F3 23
-3D 41 2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40
-92 C7 0D 12 84 12 14 C4 02 0D 0A 00 5C C7 60 C8
+B2 49 DC 21 3D 41 30 40 BE D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 D0 C7 18 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4C C7 0A C4 02 18 D0 C7
+C6 C8 98 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4C C7 90 C8 4C C7
+14 C4 04 1B 5B 30 6D 00 4C C7 18 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 F2 B0 10 00 00 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00
+B0 12 8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4
+07 0D 0A 1B 5B 37 6D 40 4C C7 0A C4 02 18 D0 C7
+C6 C8 0A C4 23 00 FC C6 C6 C8 14 C4 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 4C C7 0A C4 40 FF 28 C4 C4 C7
+90 C8 14 C4 0A 62 79 74 65 73 20 66 72 65 65 00
+3A C4 7E C5 00 00 06 41 43 43 45 50 54 00 30 40
+72 C6 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05
+B7 22 3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC C6 2F 83 8F 4E
+00 00 58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42
+4C 05 30 4D 00 00 04 45 4D 49 54 00 30 40 00 C7
+08 4E 3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D
+F6 C6 04 45 43 48 4F 00 B2 40 C2 48 0A C7 82 43
+DE 21 38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A C7 92 43 DE 21
+28 42 F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24
+0D 12 3D 40 68 C7 28 4F 2F 83 8F 4E 00 00 7E 48
+8F 48 02 00 10 42 FE C6 6A C7 2D 83 1E 83 F3 23
+3D 41 2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40
+82 C7 0D 12 84 12 14 C4 02 0D 0A 00 4C C7 50 C8
 2F 83 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E
 FE FF AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00
 0E 4A 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11
-2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40
-2E 4E 30 4D DE C7 01 21 BE 4F 00 00 3E 4F 30 4D
+2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 66 C6 01 40
+2E 4E 30 4D CE C7 01 21 BE 4F 00 00 3E 4F 30 4D
 1E 83 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F
 03 24 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00
-B2 40 B2 21 B2 21 30 4D 8A C7 01 23 1B 42 DC 21
+B2 40 B2 21 B2 21 30 4D 7A C7 01 23 1B 42 DC 21
 2C 4F 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00
 02 28 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42
-B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
-84 12 1C C8 56 C8 2D 83 09 93 E2 23 0E 93 E0 23
-3D 41 30 4D 4A C8 02 23 3E 00 9F 42 B2 21 00 00
+B2 21 C8 4A 00 00 30 4D 0A C8 02 23 53 00 0D 12
+84 12 0C C8 46 C8 2D 83 09 93 E2 23 0E 93 E0 23
+3D 41 30 4D 3A C8 02 23 3E 00 9F 42 B2 21 00 00
 3E 40 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00
 4A 4E 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93
-3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
+3E 4F 7A 40 2D 00 D1 33 30 4D 46 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 24 CC FA C6
-60 C8 44 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
+00 C8 3E C8 EE C4 7E C8 5A C8 4C C7 04 CC FC C6
+50 C8 2E C7 01 2E 0E 93 E3 37 38 43 E2 3F 78 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
-5A CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
+4A CB 0A C4 22 00 1C C9 EA C8 B2 40 20 00 B4 21
 6E 4E 1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D
-D4 C8 82 2E 22 00 0D 12 84 12 E4 C8 0A C4 5C C7
-5A CB 60 C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21
+C4 C8 82 2E 22 00 0D 12 84 12 D4 C8 0A C4 4C C7
+4A CB 50 C8 FA C5 04 57 4F 52 44 00 3C 40 C0 21
 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A
 FC 27 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00
 00 00 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A
@@ -105,12 +104,12 @@ CA 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
 22 C3 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
 E3 23 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D
-32 C0 00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AE CA
+32 C0 00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9E CA
 09 43 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90
 27 00 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90
 03 00 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43
 02 00 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F B0 CA
+3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F A0 CA
 31 24 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20
 32 D0 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20
 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
@@ -122,215 +121,215 @@ B0 12 66 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 C7 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 48 CB 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
-30 4D 66 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
-00 00 5E 4E FF FF 30 4D 7A C8 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C4 24 CC 2C C9 E0 CB
-94 26 3D 40 E8 CB D6 3E EA CB 0A 4E 3E 4F 3D 40
-04 CC 2E 27 3D 40 DA CB 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 CC 3E 4F 3D 40 DA CB BB 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CD
-CC 3F 0E CC 86 12 20 00 3F 40 80 20 0E 43 31 40
-E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E C7
-BC C4 D4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
+30 4D 56 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
+00 00 5E 4E FF FF 30 4D 6A C8 09 49 4E 54 45 52
+50 52 45 54 0D 12 84 12 AC C4 04 CC 1C C9 C0 CB
+9C 26 3D 40 C8 CB DE 3E CA CB 0A 4E 3E 4F 3D 40
+E4 CB 36 27 3D 40 BA CB 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 E6 CB 3E 4F 3D 40 BA CB BB 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 86 CD
+CC 3F EE CB 86 12 20 00 D6 C7 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
+E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 7E C7
+BC C4 B4 CB B4 C7 E6 C7 14 C4 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4
-FE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C5 3A C4 3E CC 6C CB 86 41 42 4F 52 54 22 00
-0D 12 84 12 E4 C8 0A C4 2A C5 5A CB 60 C8 8E C9
-01 27 0D 12 84 12 24 CC 2C C9 94 C9 34 C4 22 CC
-60 C8 00 00 83 5B 27 5D 0D 12 84 12 92 CC 0A C4
-0A C4 5A CB 5A CB 60 C8 A4 CC 81 5B 82 43 BE 21
-30 4D 0C C8 01 5D B2 43 BE 21 30 4D C4 CC 81 5C
+EE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
+2A C5 3A C4 2E CC 0A CC 86 41 42 4F 52 54 22 00
+0D 12 84 12 D4 C8 0A C4 2A C5 4A CB 50 C8 7E C9
+01 27 0D 12 84 12 04 CC 1C C9 84 C9 34 C4 02 CC
+50 C8 00 00 83 5B 27 5D 0D 12 84 12 82 CC 0A C4
+0A C4 4A CB 4A CB 50 C8 94 CC 81 5B 82 43 BE 21
+30 4D FC C7 01 5D B2 43 BE 21 30 4D B4 CC 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CC 2C C9 94 C9 A8 C7
-34 C4 22 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
-5A CB 0A C4 5A CB 5A CB 60 C8 BA CC 01 3A 30 12
-56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 CC
-2C C9 24 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
+4F 4E 45 00 0D 12 84 12 04 CC 1C C9 84 C9 98 C7
+34 C4 02 CC E6 C7 34 C4 F6 CC 0A C4 0A C4 4A CB
+4A CB 0A C4 4A CB 4A CB 50 C8 AA CC 01 3A 30 12
+46 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 04 CC
+1C C9 14 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
 82 4A C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12
 FE FF B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42
 B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 36 C5 0C CD 81 3B 82 93 BE 21
-97 27 0D 12 84 12 0A C4 60 C8 5A CB 68 CD BC CC
-60 C8 CA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 CB 06 43 52 45
-41 54 45 00 B0 12 12 CD BA 40 86 12 FC FF 8A 4A
-FE FF C9 3F CC CD 04 43 4F 44 45 00 B0 12 12 CD
-A2 82 C6 21 0D 12 84 12 0C D0 E6 CF 60 C8 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD 26 D0
-60 C8 78 CC 03 41 53 4D B2 40 EA CF DA 21 E6 3F
-00 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CE
-44 D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 D0 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 CD 85 48 49 32
-4C 4F 0D 12 84 12 28 C4 B4 CF 5A CB BC CC F4 CD
-60 C8 9A CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
-00 00 D8 C7 24 CC 2C C9 BA CB A0 C7 34 C4 1C CF
-AE C7 14 C4 06 5B 54 48 45 4E 5D 00 8E CE F6 CE
-B2 CE D4 CE 60 C8 AE C7 14 C4 06 5B 45 4C 53 45
-5D 00 8E CE 0C CF B2 CE D2 CE 60 C8 14 C4 04 5B
-49 46 5D 00 8E CE D4 CE 3A C4 D2 CE 82 C7 14 C4
-05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4 D4 CE
-C2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CF 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CC 2C C9 94 C9 40 CF 60 C8 46 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CF F0 C7 60 C8 78 CF B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C CB 06 4D 41 52 4B 45 52 00
-B0 12 12 CD BA 40 85 12 FC FF BA 40 76 CF FE FF
-28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 E8 C7 60 C8
-85 12 B8 CF 14 CE E6 CD 10 C7 90 CC 6C CE D2 C6
-88 CF 12 C9 B0 D0 C4 D0 9C C8 26 C9 00 00 60 CF
-CE CC F4 C9 00 00 85 12 B8 CF 74 D6 DA D6 1C D6
-2A D7 E2 D5 00 00 AE D3 00 00 F2 D7 D6 D7 46 D6
-84 D6 BE D4 00 00 00 00 46 D7 E4 CF 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 CF 3E D0
-44 D0 54 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CC 09 50 57 52 5F 53
-54 41 54 45 85 12 4C D0 FE D7 E0 C8 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 D0 F3 3F 8A D0
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 D0
-30 4D 9C D0 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 D1 FE FF 29 83 B9 40 E0 C5 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 E2 C5 B2 49 FA C4
-B2 49 02 C4 B2 49 00 C6 B2 49 E0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 43 02 02 B2 D3 06 02
-D2 43 24 02 F2 D3 26 02 F2 40 FD 00 22 02 F2 40
-A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40
-00 A5 60 01 B2 40 29 01 80 01 B2 40 0B 00 82 01
-B2 40 E9 01 84 01 39 40 00 01 B2 D0 10 00 86 01
-38 40 17 11 18 83 FE 23 19 83 FA 23 1E 42 08 18
-82 43 08 18 1E D2 5E 01 B0 12 F8 C4 FE C5 38 40
-C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
-03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
-30 4D 1C 15 0E 12 12 12 C4 21 84 12 2C C9 94 C9
-F0 C7 34 C4 EE D1 50 CA 34 C4 08 D2 02 D2 F0 D1
-3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
-2E 83 21 52 1B 17 30 41 0A D2 B2 41 C4 21 3E 41
-84 12 0A C4 2B 00 2C C9 94 C9 F0 C7 34 C4 26 D2
-50 CA 34 C4 22 CC BA C7 2C C9 50 CA 34 C4 22 CC
-32 D2 3E 5F E7 3F 3E 40 28 00 B0 12 D2 D1 19 42
-C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
-C0 21 C4 21 02 20 30 40 80 CD 1C 15 12 12 C4 21
-92 53 C4 21 84 12 2C C9 50 CA 34 C4 7A D2 70 D2
-21 53 3E 90 10 00 C6 2B 7F 2D 7C D2 B2 41 C4 21
-C1 3F 0D 12 84 12 24 CC AE D1 8C D2 0C 43 1B 42
-C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
-92 53 C4 21 B0 12 D2 D1 3C 40 00 03 0E 93 1C 24
-3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
-3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
-3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
-26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 D2 D1
-ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
-B0 12 5A D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
-5A D2 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
-0C 5E DA 3F B0 12 5A D2 FA 23 3C 50 10 00 B0 12
-36 D2 EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
-84 12 24 CC AE D1 58 D3 FE 90 26 00 00 00 3E 40
-20 00 03 20 3C 50 82 00 C7 3F B0 12 5A D2 E0 23
-3C 50 80 00 B0 12 36 D2 DB 3F 00 00 04 52 45 54
-49 00 0D 12 84 12 0A C4 00 13 5A CB 60 C8 0A C4
-2C 00 82 D2 4E D3 98 D3 09 4B 2E 4E 0E DC A2 3F
-5A CE 03 4D 4F 56 85 12 8E D3 00 40 A2 D3 05 4D
-4F 56 2E 42 85 12 8E D3 40 40 00 00 03 41 44 44
-85 12 8E D3 00 50 BC D3 05 41 44 44 2E 42 85 12
-8E D3 40 50 C8 D3 04 41 44 44 43 00 85 12 8E D3
-00 60 D6 D3 06 41 44 44 43 2E 42 00 85 12 8E D3
-40 60 7C D3 04 53 55 42 43 00 85 12 8E D3 00 70
-F4 D3 06 53 55 42 43 2E 42 00 85 12 8E D3 40 70
-02 D4 03 53 55 42 85 12 8E D3 00 80 12 D4 05 53
-55 42 2E 42 85 12 8E D3 40 80 36 CE 03 43 4D 50
-85 12 8E D3 00 90 2C D4 05 43 4D 50 2E 42 85 12
-8E D3 40 90 22 CE 04 44 41 44 44 00 85 12 8E D3
-00 A0 46 D4 06 44 41 44 44 2E 42 00 85 12 8E D3
-40 A0 38 D4 03 42 49 54 85 12 8E D3 00 B0 64 D4
-05 42 49 54 2E 42 85 12 8E D3 40 B0 70 D4 03 42
-49 43 85 12 8E D3 00 C0 7E D4 05 42 49 43 2E 42
-85 12 8E D3 40 C0 8A D4 03 42 49 53 85 12 8E D3
-00 D0 98 D4 05 42 49 53 2E 42 85 12 8E D3 40 D0
-00 00 03 58 4F 52 85 12 8E D3 00 E0 B2 D4 05 58
-4F 52 2E 42 85 12 8E D3 40 E0 E4 D3 03 41 4E 44
-85 12 8E D3 00 F0 CC D4 05 41 4E 44 2E 42 85 12
-8E D3 40 F0 24 CC 82 D2 EA D4 0A 4C 3C F0 70 00
-8A 10 3A F0 0F 00 0C DA 4F 3F 1E D4 03 52 52 43
-85 12 E4 D4 00 10 FC D4 05 52 52 43 2E 42 85 12
-E4 D4 40 10 08 D5 04 53 57 50 42 00 85 12 E4 D4
-80 10 16 D5 03 52 52 41 85 12 E4 D4 00 11 24 D5
-05 52 52 41 2E 42 85 12 E4 D4 40 11 30 D5 03 53
-58 54 85 12 E4 D4 80 11 00 00 04 50 55 53 48 00
-85 12 E4 D4 00 12 4A D5 06 50 55 53 48 2E 42 00
-85 12 E4 D4 40 12 A4 D4 04 43 41 4C 4C 00 85 12
-E4 D4 80 12 1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4
-0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5
-3E D5 03 53 3E 3D 86 12 00 38 92 D5 02 53 3C 00
-86 12 00 34 58 D5 03 30 3E 3D 86 12 00 30 A6 D5
-02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
-00 2C BA D5 03 55 3E 3D 86 12 00 28 B0 D5 03 30
-3C 3E 86 12 00 24 CE D5 02 30 3D 00 86 12 00 20
-00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
-C6 21 0E 4A 30 4D C4 D5 04 54 48 45 4E 00 1A 42
-C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 B1 2F 88 DA 00 00 30 4D 54 D4 04 45 4C 53
-45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
-2F 83 8F 4A 00 00 E3 3F 68 D5 05 42 45 47 49 4E
-30 40 28 C4 F8 D5 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
-8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
-30 4D D8 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C
-E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 E6 D5
-BA C7 60 C8 9C D5 06 52 45 50 45 41 54 00 0D 12
-84 12 7A D6 FE D5 60 C8 AA D6 3D 41 08 4E 3E 4F
-2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
-3A D6 03 42 57 31 85 12 A8 D6 00 00 C2 D6 03 42
-57 32 85 12 A8 D6 00 00 CE D6 03 42 57 33 85 12
-A8 D6 00 00 E6 D6 3D 41 1A 42 C6 21 28 4E B2 92
-C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
-3E 4F 30 4D 00 00 03 46 57 31 85 12 E4 D6 00 00
-06 D7 03 46 57 32 85 12 E4 D6 00 00 12 D7 03 46
-57 33 85 12 E4 D6 00 00 1E D7 04 47 4F 54 4F 00
-2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 CC
-FE CB 60 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30
-F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
-EC 3F 24 CC AE D1 68 D7 92 53 C4 21 3E 40 2C 00
-84 12 2C C9 50 CA 34 C4 22 CC 44 D3 7E D7 0A 4E
-3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
-01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
-2A 92 E8 2E 8A 10 5A 06 A6 3E 96 D6 04 52 52 43
-4D 00 85 12 62 D7 50 00 AC D7 04 52 52 41 4D 00
-85 12 62 D7 50 01 BA D7 04 52 4C 41 4D 00 85 12
-62 D7 50 02 C8 D7 04 52 52 55 4D 00 85 12 62 D7
-50 03 D8 D5 05 50 55 53 48 4D 85 12 62 D7 00 15
-E4 D7 04 50 4F 50 4D 00 85 12 62 D7 00 17
+6D 61 74 63 68 21 36 C5 FC CC 81 3B 82 93 BE 21
+97 27 0D 12 84 12 0A C4 50 C8 4A CB 58 CD AC CC
+50 C8 AA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D 94 CB 06 43 52 45
+41 54 45 00 B0 12 02 CD BA 40 86 12 FC FF 8A 4A
+FE FF C9 3F BC CD 04 43 4F 44 45 00 B0 12 02 CD
+A2 82 C6 21 0D 12 84 12 F4 CF CE CF 50 C8 A4 CD
+07 48 44 4E 43 4F 44 45 B2 40 D2 CF DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 58 CD
+0E D0 2C D0 50 C8 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 0E D0 2C D0 50 C8
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F F0 CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4
+9C CF 4A CB AC CC E4 CD 50 C8 8A CD 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 6C CE 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C4 00 00 C8 C7 04 CC 1C C9
+9A CB 90 C7 34 C4 04 CF 9E C7 14 C4 06 5B 54 48
+45 4E 5D 00 76 CE DE CE 9A CE BC CE 50 C8 9E C7
+14 C4 06 5B 45 4C 53 45 5D 00 76 CE F4 CE 9A CE
+BA CE 50 C8 14 C4 04 5B 49 46 5D 00 76 CE BC CE
+3A C4 BA CE 72 C7 14 C4 05 0D 0A 6B 6F 20 4C C7
+BC C4 AC C4 3A C4 BC CE AA CE 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 1A CF 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 04 CC 1C C9
+84 C9 28 CF 50 C8 2E CF 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 38 CF E0 C7 50 C8 60 CF
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5C CB
+06 4D 41 52 4B 45 52 00 B0 12 02 CD BA 40 85 12
+FC FF BA 40 5E CF FE FF 28 83 8A 48 00 00 BA 40
+AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A C4 CA 21 D8 C7 50 C8 85 12 A0 CF 68 CC D6 CD
+12 C7 80 CC 54 CE D4 C6 70 CF 02 C9 98 D0 AC D0
+8C C8 16 C9 00 00 48 CF BE CC E4 C9 00 00 85 12
+A0 CF 5C D6 C2 D6 04 D6 12 D7 CA D5 00 00 96 D3
+00 00 DA D7 BE D7 2E D6 6C D6 A6 D4 00 00 00 00
+2E D7 CC CF 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D A8 CF 26 D0 2C D0 3C D0 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+CA CC 09 50 57 52 5F 53 54 41 54 45 85 12 34 D0
+E6 D7 D0 C8 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 80 D0 F3 3F 72 D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 80 D0 30 4D 84 D0 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 0A D1 FE FF 29 83
+B9 40 E2 C5 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 E4 C5 B2 49 FA C4 B2 49 02 C4 B2 49 02 C6
+B2 49 E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 43 02 02 B2 D3 06 02 D2 43 24 02 F2 D3 26 02
+F2 40 FD 00 22 02 F2 40 A5 00 A1 01 F2 40 10 00
+A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40 29 01
+80 01 B2 40 0B 00 82 01 B2 40 E9 01 84 01 39 40
+00 01 B2 D0 10 00 86 01 38 40 17 11 18 83 FE 23
+19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
+B0 12 F8 C4 00 C6 38 40 C0 21 0A 4E 39 48 2E 48
+09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
+0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
+C4 21 84 12 1C C9 84 C9 E0 C7 34 C4 D6 D1 40 CA
+34 C4 F0 D1 EA D1 D8 D1 3C 4E 3C 80 87 12 05 24
+1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
+F2 D1 B2 41 C4 21 3E 41 84 12 0A C4 2B 00 1C C9
+84 C9 E0 C7 34 C4 0E D2 40 CA 34 C4 02 CC AA C7
+1C C9 40 CA 34 C4 02 CC 1A D2 3E 5F E7 3F 3E 40
+28 00 B0 12 BA D1 19 42 C6 21 A2 53 C6 21 89 4E
+00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
+70 CD 1C 15 12 12 C4 21 92 53 C4 21 84 12 1C C9
+40 CA 34 C4 62 D2 58 D2 21 53 3E 90 10 00 C6 2B
+7F 2D 64 D2 B2 41 C4 21 C1 3F 0D 12 84 12 04 CC
+96 D1 74 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
+3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 BA D1
+3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
+3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
+3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
+3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
+92 53 C4 21 B0 12 BA D1 ED 3F 7A 90 40 00 16 20
+3C 40 20 00 92 53 C4 21 B0 12 42 D2 0C 20 3C 50
+10 00 3E 40 2B 00 B0 12 42 D2 92 92 C0 21 C4 21
+02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 42 D2
+FA 23 3C 50 10 00 B0 12 1E D2 EF 3F 0C 43 1B 42
+C6 21 A2 53 C6 21 0D 12 84 12 04 CC 96 D1 40 D3
+FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
+C7 3F B0 12 42 D2 E0 23 3C 50 80 00 B0 12 1E D2
+DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A C4
+00 13 4A CB 50 C8 0A C4 2C 00 6A D2 36 D3 80 D3
+09 4B 2E 4E 0E DC A2 3F 42 CE 03 4D 4F 56 85 12
+76 D3 00 40 8A D3 05 4D 4F 56 2E 42 85 12 76 D3
+40 40 00 00 03 41 44 44 85 12 76 D3 00 50 A4 D3
+05 41 44 44 2E 42 85 12 76 D3 40 50 B0 D3 04 41
+44 44 43 00 85 12 76 D3 00 60 BE D3 06 41 44 44
+43 2E 42 00 85 12 76 D3 40 60 64 D3 04 53 55 42
+43 00 85 12 76 D3 00 70 DC D3 06 53 55 42 43 2E
+42 00 85 12 76 D3 40 70 EA D3 03 53 55 42 85 12
+76 D3 00 80 FA D3 05 53 55 42 2E 42 85 12 76 D3
+40 80 18 CE 03 43 4D 50 85 12 76 D3 00 90 14 D4
+05 43 4D 50 2E 42 85 12 76 D3 40 90 02 CE 04 44
+41 44 44 00 85 12 76 D3 00 A0 2E D4 06 44 41 44
+44 2E 42 00 85 12 76 D3 40 A0 20 D4 03 42 49 54
+85 12 76 D3 00 B0 4C D4 05 42 49 54 2E 42 85 12
+76 D3 40 B0 58 D4 03 42 49 43 85 12 76 D3 00 C0
+66 D4 05 42 49 43 2E 42 85 12 76 D3 40 C0 72 D4
+03 42 49 53 85 12 76 D3 00 D0 80 D4 05 42 49 53
+2E 42 85 12 76 D3 40 D0 00 00 03 58 4F 52 85 12
+76 D3 00 E0 9A D4 05 58 4F 52 2E 42 85 12 76 D3
+40 E0 CC D3 03 41 4E 44 85 12 76 D3 00 F0 B4 D4
+05 41 4E 44 2E 42 85 12 76 D3 40 F0 04 CC 6A D2
+D2 D4 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
+4F 3F 06 D4 03 52 52 43 85 12 CC D4 00 10 E4 D4
+05 52 52 43 2E 42 85 12 CC D4 40 10 F0 D4 04 53
+57 50 42 00 85 12 CC D4 80 10 FE D4 03 52 52 41
+85 12 CC D4 00 11 0C D5 05 52 52 41 2E 42 85 12
+CC D4 40 11 18 D5 03 53 58 54 85 12 CC D4 80 11
+00 00 04 50 55 53 48 00 85 12 CC D4 00 12 32 D5
+06 50 55 53 48 2E 42 00 85 12 CC D4 40 12 8C D4
+04 43 41 4C 4C 00 85 12 CC D4 80 12 1A 53 0E 4A
+0D 12 84 12 C6 C8 14 C4 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 36 C5 26 D5 03 53 3E 3D 86 12
+00 38 7A D5 02 53 3C 00 86 12 00 34 40 D5 03 30
+3E 3D 86 12 00 30 8E D5 02 30 3C 00 86 12 00 30
+00 00 02 55 3C 00 86 12 00 2C A2 D5 03 55 3E 3D
+86 12 00 28 98 D5 03 30 3C 3E 86 12 00 24 B6 D5
+02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
+C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D AC D5
+04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
+30 4D 3C D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40
+00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
+50 D5 05 42 45 47 49 4E 30 40 28 C4 E0 D5 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
+0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 C6 21 30 4D C0 D4 05 41 47 41
+49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
+4C 45 0D 12 84 12 CE D5 AA C7 50 C8 84 D5 06 52
+45 50 45 41 54 00 0D 12 84 12 62 D6 E6 D5 50 C8
+92 D6 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
+98 42 C6 21 00 00 30 4D 22 D6 03 42 57 31 85 12
+90 D6 00 00 AA D6 03 42 57 32 85 12 90 D6 00 00
+B6 D6 03 42 57 33 85 12 90 D6 00 00 CE D6 3D 41
+1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
+A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
+57 31 85 12 CC D6 00 00 EE D6 03 46 57 32 85 12
+CC D6 00 00 FA D6 03 46 57 33 85 12 CC D6 00 00
+06 D7 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
+00 3C 0D 12 84 12 82 CC DE CB 50 C8 00 00 05 3F
+47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
+00 10 EF 27 3E E0 00 08 EC 3F 04 CC 96 D1 50 D7
+92 53 C4 21 3E 40 2C 00 84 12 1C C9 40 CA 34 C4
+02 CC 2C D3 66 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E
+59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
+10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
+A6 3E 7E D6 04 52 52 43 4D 00 85 12 4A D7 50 00
+94 D7 04 52 52 41 4D 00 85 12 4A D7 50 01 A2 D7
+04 52 4C 41 4D 00 85 12 4A D7 50 02 B0 D7 04 52
+52 55 4D 00 85 12 4A D7 50 03 C0 D5 05 50 55 53
+48 4D 85 12 4A D7 00 15 CC D7 04 50 4F 50 4D 00
+85 12 4A D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-82 C6 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 22 D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+84 C6 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 0A D1
 q
index db96a7c..52235ad 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 04 D8 0C D0 33 01
-10 00 81 36 94 C5 AA C4 C6 C5 9C C5 96 C6 04 D8
-0C D0 7C C6 94 C7 26 C7 00 C7 3C 21 62 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 2A CC 44 CC 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF FC D7 04 D0 34 01
+10 00 41 33 94 C5 AA C4 DA C5 9C C5 96 C6 FC D7
+04 D0 7C C6 94 C7 26 C7 00 C7 3C 21 62 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 7A C6 B2 49 92 C7 B2 49 24 C7 B2 49 FE C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D8 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 D0 D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E2 C7 34 C7
 14 C4 04 1B 5B 37 6D 00 5E C7 AA C7 34 C4 86 C5
@@ -52,7 +52,7 @@ FD 27 C2 48 0E 05 30 4D CA C6 2D 83 92 B3 1C 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 1C 05 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53
 DE 21 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 C7
-2F 83 8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27
 1E 42 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 C7 08 4E 3E 4F C8 3F 1C C7 04 45
 43 48 4F 00 B2 40 C2 48 C2 C6 82 43 DE 21 30 4D
@@ -80,7 +80,7 @@ E0 23 3D 41 30 4D 4C C8 02 23 3E 00 9F 42 B2 21
 0E 93 3E 4F 7A 40 2D 00 D1 33 30 4D 58 C7 02 55
 2E 00 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12
 3E F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
-84 12 12 C8 50 C8 EE C4 90 C8 6C C8 5E C7 26 CC
+84 12 12 C8 50 C8 EE C4 90 C8 6C C8 5E C7 16 CC
 22 C7 62 C8 42 C7 01 2E 0E 93 E3 37 38 43 E2 3F
 8A C8 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4
 14 C4 5C CB 0A C4 22 00 2E C9 FC C8 B2 40 20 00
@@ -122,35 +122,35 @@ AF 4F 04 00 4A 93 2B 17 0E 4C 82 4B DC 21 06 24
 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
 00 02 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21
-8A 4E 00 00 A2 53 C6 21 3E 4F 30 4D E8 C7 05 41
-4C 4C 4F 54 82 5E C6 21 3E 4F 30 4D 5A CB 87 4C
+8A 4E 00 00 A2 53 C6 21 3E 4F 30 4D 5A CB 87 4C
 49 54 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42
 C6 21 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00
 3E 4F 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00
 EE 3F 30 4D 68 C8 05 43 4F 55 4E 54 2F 83 1E 53
 8F 4E 00 00 5E 4E FF FF 30 4D 7C C8 09 49 4E 54
-45 52 50 52 45 54 0D 12 84 12 AC C4 26 CC 2E C9
-E2 CB 94 26 3D 40 EA CB D6 3E EC CB 0A 4E 3E 4F
-3D 40 06 CC 2E 27 3D 40 DC CB 1A E2 BE 21 AE 27
-0E 12 3E 4F 30 41 08 CC 3E 4F 3D 40 DC CB BB 23
+45 52 50 52 45 54 0D 12 84 12 AC C4 16 CC 2E C9
+D2 CB 9C 26 3D 40 DA CB DE 3E DC CB 0A 4E 3E 4F
+3D 40 F6 CB 36 27 3D 40 CC CB 1A E2 BE 21 B6 27
+0E 12 3E 4F 30 41 F8 CB 3E 4F 3D 40 CC CB BB 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-98 CD CC 3F 10 CC 86 12 20 00 3F 40 80 20 0E 43
+98 CD CC 3F 00 CC 86 12 20 00 E8 C7 05 41 4C 4C
+4F 54 82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43
 31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12
-90 C7 BC C4 D6 CB C6 C7 F8 C7 14 C4 0C 73 74 61
+90 C7 BC C4 C6 CB C6 C7 F8 C7 14 C4 0C 73 74 61
 63 6B 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF
 28 C4 00 C8 14 C4 0A 46 52 41 4D 20 66 75 6C 6C
-21 00 2A C5 3A C4 40 CC 6E CB 86 41 42 4F 52 54
+21 00 2A C5 3A C4 40 CC 1C CC 86 41 42 4F 52 54
 22 00 0D 12 84 12 E6 C8 0A C4 2A C5 5C CB 62 C8
-90 C9 01 27 0D 12 84 12 26 CC 2E C9 96 C9 34 C4
-24 CC 62 C8 00 00 83 5B 27 5D 0D 12 84 12 94 CC
+90 C9 01 27 0D 12 84 12 16 CC 2E C9 96 C9 34 C4
+14 CC 62 C8 00 00 83 5B 27 5D 0D 12 84 12 94 CC
 0A C4 0A C4 5C CB 5C CB 62 C8 A6 CC 81 5B 82 43
 BE 21 30 4D 0E C8 01 5D B2 43 BE 21 30 4D C6 CC
 81 5C 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53
-54 50 4F 4E 45 00 0D 12 84 12 26 CC 2E C9 96 C9
-AA C7 34 C4 24 CC F8 C7 34 C4 08 CD 0A C4 0A C4
+54 50 4F 4E 45 00 0D 12 84 12 16 CC 2E C9 96 C9
+AA C7 34 C4 14 CC F8 C7 34 C4 08 CD 0A C4 0A C4
 5C CB 5C CB 0A C4 5C CB 5C CB 62 C8 BC CC 01 3A
 30 12 58 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12
-26 CC 2E C9 26 CD 3D 41 08 4E 7A 4E 5A D3 5A 53
+16 CC 2E C9 26 CD 3D 41 08 4E 7A 4E 5A D3 5A 53
 0A 58 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F
 82 48 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21
 2A 52 82 4A C6 21 30 41 BA 40 0D 12 FC FF BA 40
@@ -159,172 +159,171 @@ AA C7 34 C4 24 CC F8 C7 34 C4 08 CD 0A C4 0A C4
 30 4D 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D
 69 73 6D 61 74 63 68 21 36 C5 0E CD 81 3B 82 93
 BE 21 97 27 0D 12 84 12 0A C4 62 C8 5C CB 6A CD
-BE CC 62 C8 CC CB 09 49 4D 4D 45 44 49 41 54 45
-18 42 B6 21 F8 D0 80 00 00 00 30 4D B6 CB 06 43
+BE CC 62 C8 BC CB 09 49 4D 4D 45 44 49 41 54 45
+18 42 B6 21 F8 D0 80 00 00 00 30 4D A6 CB 06 43
 52 45 41 54 45 00 B0 12 14 CD BA 40 86 12 FC FF
 8A 4A FE FF C9 3F CE CD 04 43 4F 44 45 00 B0 12
-14 CD A2 82 C6 21 0D 12 84 12 0E D0 E8 CF 62 C8
-00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 6A CD
-28 D0 62 C8 7A CC 03 41 53 4D B2 40 EC CF DA 21
-E6 3F 02 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12
-0A CE 46 D0 62 C8 00 00 05 43 4F 4C 4F 4E 1A 42
-C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
-C6 21 B2 43 BE 21 30 40 28 D0 00 00 05 4C 4F 32
-48 49 A2 83 C6 21 1A 42 C6 21 EE 3F B6 CD 85 48
-49 32 4C 4F 0D 12 84 12 28 C4 B6 CF 5C CB BE CC
-F6 CD 62 C8 9C CD 86 5B 54 48 45 4E 5D 00 30 4D
-0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
-06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
-3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
-F7 3F 86 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12
-0A C4 00 00 DA C7 26 CC 2E C9 BC CB A2 C7 34 C4
-1E CF B0 C7 14 C4 06 5B 54 48 45 4E 5D 00 90 CE
-F8 CE B4 CE D6 CE 62 C8 B0 C7 14 C4 06 5B 45 4C
-53 45 5D 00 90 CE 0E CF B4 CE D4 CE 62 C8 14 C4
-04 5B 49 46 5D 00 90 CE D6 CE 3A C4 D4 CE 84 C7
-14 C4 05 0D 0A 6B 6F 20 5E C7 BC C4 AC C4 3A C4
-D6 CE C4 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
-30 4D 2F 53 30 4D 34 CF 89 5B 44 45 46 49 4E 45
-44 5D 0D 12 84 12 26 CC 2E C9 96 C9 42 CF 62 C8
-48 CF 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
-84 12 52 CF F2 C7 62 C8 7A CF B2 4E 0A 18 2E 53
-BE 12 3E 4F 3D 41 90 3C 7E CB 06 4D 41 52 4B 45
-52 00 B0 12 14 CD BA 40 85 12 FC FF BA 40 78 CF
-FE FF 28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50
-06 00 C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 EA C7
-62 C8 85 12 BA CF 16 CE E8 CD 2E C7 92 CC 6E CE
-F8 C6 8A CF 14 C9 B2 D0 C6 D0 9E C8 28 C9 00 00
-62 CF D0 CC F6 C9 00 00 85 12 BA CF 7A D6 E0 D6
-22 D6 30 D7 E8 D5 00 00 B4 D3 00 00 F8 D7 DC D7
-4C D6 8A D6 C4 D4 00 00 00 00 4C D7 E6 CF 3A 40
-0C 00 39 40 D6 21 08 49 28 53 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-82 43 CC 21 30 4D 92 42 CA 21 DA 21 30 4D C2 CF
-40 D0 46 D0 56 D0 1A 42 20 18 82 4A C8 21 2E 4E
-82 4E C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D DC CC 09 50 57 52
-5F 53 54 41 54 45 85 12 4E D0 04 D8 E2 C8 09 52
-53 54 5F 53 54 41 54 45 92 42 0A 18 9A D0 F3 3F
-8C D0 08 50 57 52 5F 48 45 52 45 00 92 42 C6 21
-9A D0 30 4D 9E D0 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
-E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
-FC 23 B9 40 24 D1 FE FF 29 83 B9 40 02 C6 FE FF
-39 90 AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49
-FA C4 B2 49 02 C4 B2 49 22 C6 B2 49 E4 FF B2 49
-0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
-B2 40 80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40
-00 10 29 83 89 43 00 20 FC 23 B2 43 02 02 B2 D3
-06 02 D2 43 24 02 F2 D3 26 02 F2 40 FD 00 22 02
-E2 D2 24 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
-D2 43 A1 01 B2 40 00 A5 60 01 B2 40 29 01 80 01
-B2 40 0B 00 82 01 B2 40 E9 01 84 01 39 40 00 01
-B2 D0 10 00 86 01 38 40 17 11 18 83 FE 23 19 83
-FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
-F8 C4 20 C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
-1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
-2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
-84 12 2E C9 96 C9 F2 C7 34 C4 F4 D1 52 CA 34 C4
-0E D2 08 D2 F6 D1 3C 4E 3C 80 87 12 05 24 1C 53
-02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 10 D2
-B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2E C9 96 C9
-F2 C7 34 C4 2C D2 52 CA 34 C4 24 CC BC C7 2E C9
-52 CA 34 C4 24 CC 38 D2 3E 5F E7 3F 3E 40 28 00
-B0 12 D8 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 82 CD
-1C 15 12 12 C4 21 92 53 C4 21 84 12 2E C9 52 CA
-34 C4 80 D2 76 D2 21 53 3E 90 10 00 C6 2B 7F 2D
-82 D2 B2 41 C4 21 C1 3F 0D 12 84 12 26 CC B4 D1
-92 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
-7A 90 23 00 27 20 92 53 C4 21 B0 12 D8 D1 3C 40
-00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
-20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
-30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
-30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
-3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
-C4 21 B0 12 D8 D1 ED 3F 7A 90 40 00 16 20 3C 40
-20 00 92 53 C4 21 B0 12 60 D2 0C 20 3C 50 10 00
-3E 40 2B 00 B0 12 60 D2 92 92 C0 21 C4 21 02 24
-92 53 C4 21 8E 10 0C 5E DA 3F B0 12 60 D2 FA 23
-3C 50 10 00 B0 12 3C D2 EF 3F 0C 43 1B 42 C6 21
-A2 53 C6 21 0D 12 84 12 26 CC B4 D1 5E D3 FE 90
-26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
-B0 12 60 D2 E0 23 3C 50 80 00 B0 12 3C D2 DB 3F
-00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
-5C CB 62 C8 0A C4 2C 00 88 D2 54 D3 9E D3 09 4B
-2E 4E 0E DC A2 3F 5C CE 03 4D 4F 56 85 12 94 D3
-00 40 A8 D3 05 4D 4F 56 2E 42 85 12 94 D3 40 40
-00 00 03 41 44 44 85 12 94 D3 00 50 C2 D3 05 41
-44 44 2E 42 85 12 94 D3 40 50 CE D3 04 41 44 44
-43 00 85 12 94 D3 00 60 DC D3 06 41 44 44 43 2E
-42 00 85 12 94 D3 40 60 82 D3 04 53 55 42 43 00
-85 12 94 D3 00 70 FA D3 06 53 55 42 43 2E 42 00
-85 12 94 D3 40 70 08 D4 03 53 55 42 85 12 94 D3
-00 80 18 D4 05 53 55 42 2E 42 85 12 94 D3 40 80
-38 CE 03 43 4D 50 85 12 94 D3 00 90 32 D4 05 43
-4D 50 2E 42 85 12 94 D3 40 90 24 CE 04 44 41 44
-44 00 85 12 94 D3 00 A0 4C D4 06 44 41 44 44 2E
-42 00 85 12 94 D3 40 A0 3E D4 03 42 49 54 85 12
-94 D3 00 B0 6A D4 05 42 49 54 2E 42 85 12 94 D3
-40 B0 76 D4 03 42 49 43 85 12 94 D3 00 C0 84 D4
-05 42 49 43 2E 42 85 12 94 D3 40 C0 90 D4 03 42
-49 53 85 12 94 D3 00 D0 9E D4 05 42 49 53 2E 42
-85 12 94 D3 40 D0 00 00 03 58 4F 52 85 12 94 D3
-00 E0 B8 D4 05 58 4F 52 2E 42 85 12 94 D3 40 E0
-EA D3 03 41 4E 44 85 12 94 D3 00 F0 D2 D4 05 41
-4E 44 2E 42 85 12 94 D3 40 F0 26 CC 88 D2 F0 D4
-0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
-24 D4 03 52 52 43 85 12 EA D4 00 10 02 D5 05 52
-52 43 2E 42 85 12 EA D4 40 10 0E D5 04 53 57 50
-42 00 85 12 EA D4 80 10 1C D5 03 52 52 41 85 12
-EA D4 00 11 2A D5 05 52 52 41 2E 42 85 12 EA D4
-40 11 36 D5 03 53 58 54 85 12 EA D4 80 11 00 00
-04 50 55 53 48 00 85 12 EA D4 00 12 50 D5 06 50
-55 53 48 2E 42 00 85 12 EA D4 40 12 AA D4 04 43
-41 4C 4C 00 85 12 EA D4 80 12 1A 53 0E 4A 0D 12
-84 12 D8 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 36 C5 44 D5 03 53 3E 3D 86 12 00 38
-98 D5 02 53 3C 00 86 12 00 34 5E D5 03 30 3E 3D
-86 12 00 30 AC D5 02 30 3C 00 86 12 00 30 00 00
-02 55 3C 00 86 12 00 2C C0 D5 03 55 3E 3D 86 12
-00 28 B6 D5 03 30 3C 3E 86 12 00 24 D4 D5 02 30
-3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
-8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D CA D5 04 54
-48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
-5A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
-00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 6E D5
-05 42 45 47 49 4E 30 40 28 C4 FE D5 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
-0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 C6 21 30 4D DE D4 05 41 47 41 49 4E
-0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
-0D 12 84 12 EC D5 BC C7 62 C8 A2 D5 06 52 45 50
-45 41 54 00 0D 12 84 12 80 D6 04 D6 62 C8 B0 D6
-3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
-C6 21 00 00 30 4D 40 D6 03 42 57 31 85 12 AE D6
-00 00 C8 D6 03 42 57 32 85 12 AE D6 00 00 D4 D6
-03 42 57 33 85 12 AE D6 00 00 EC D6 3D 41 1A 42
-C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
-C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
-85 12 EA D6 00 00 0C D7 03 46 57 32 85 12 EA D6
-00 00 18 D7 03 46 57 33 85 12 EA D6 00 00 24 D7
-04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
-0D 12 84 12 94 CC 00 CC 62 C8 00 00 05 3F 47 4F
-54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
-EF 27 3E E0 00 08 EC 3F 26 CC B4 D1 6E D7 92 53
-C4 21 3E 40 2C 00 84 12 2E C9 52 CA 34 C4 24 CC
-4A D3 84 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
-0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
-EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
-9C D6 04 52 52 43 4D 00 85 12 68 D7 50 00 B2 D7
-04 52 52 41 4D 00 85 12 68 D7 50 01 C0 D7 04 52
-4C 41 4D 00 85 12 68 D7 50 02 CE D7 04 52 52 55
-4D 00 85 12 68 D7 50 03 DE D5 05 50 55 53 48 4D
-85 12 68 D7 00 15 EA D7 04 50 4F 50 4D 00 85 12
-68 D7 00 17
+14 CD A2 82 C6 21 0D 12 84 12 06 D0 E0 CF 62 C8
+B6 CD 07 48 44 4E 43 4F 44 45 B2 40 E4 CF DA 21
+EE 3F 00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12
+6A CD 20 D0 3E D0 62 C8 00 00 05 43 4F 4C 4F 4E
+1A 42 C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00
+A2 52 C6 21 B2 43 BE 21 0D 12 84 12 20 D0 3E D0
+62 C8 00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42
+C6 21 EB 3F 02 CE 85 48 49 32 4C 4F 0D 12 84 12
+28 C4 AE CF 5C CB BE CC F6 CD 62 C8 9C CD 86 5B
+54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
+0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
+F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
+00 00 F9 23 2F 53 2D 53 F7 3F 7E CE 86 5B 45 4C
+53 45 5D 00 0D 12 84 12 0A C4 00 00 DA C7 16 CC
+2E C9 AC CB A2 C7 34 C4 16 CF B0 C7 14 C4 06 5B
+54 48 45 4E 5D 00 88 CE F0 CE AC CE CE CE 62 C8
+B0 C7 14 C4 06 5B 45 4C 53 45 5D 00 88 CE 06 CF
+AC CE CC CE 62 C8 14 C4 04 5B 49 46 5D 00 88 CE
+CE CE 3A C4 CC CE 84 C7 14 C4 05 0D 0A 6B 6F 20
+5E C7 BC C4 AC C4 3A C4 CE CE BC CE 84 5B 49 46
+5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2C CF
+89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 16 CC
+2E C9 96 C9 3A CF 62 C8 40 CF 8B 5B 55 4E 44 45
+46 49 4E 45 44 5D 0D 12 84 12 4A CF F2 C7 62 C8
+72 CF B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
+6E CB 06 4D 41 52 4B 45 52 00 B0 12 14 CD BA 40
+85 12 FC FF BA 40 70 CF FE FF 28 83 8A 48 00 00
+BA 40 AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53
+30 4D 0A C4 CA 21 EA C7 62 C8 85 12 B2 CF 7A CC
+E8 CD 2E C7 92 CC 66 CE F8 C6 82 CF 14 C9 AA D0
+BE D0 9E C8 28 C9 00 00 5A CF D0 CC F6 C9 00 00
+85 12 B2 CF 72 D6 D8 D6 1A D6 28 D7 E0 D5 00 00
+AC D3 00 00 F0 D7 D4 D7 44 D6 82 D6 BC D4 00 00
+00 00 44 D7 DE CF 3A 40 0C 00 39 40 D6 21 08 49
+28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
+3A 40 0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42
+CA 21 DA 21 30 4D BA CF 38 D0 3E D0 4E D0 1A 42
+20 18 82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D DC CC 09 50 57 52 5F 53 54 41 54 45 85 12
+46 D0 FC D7 E2 C8 09 52 53 54 5F 53 54 41 54 45
+92 42 0A 18 92 D0 F3 3F 84 D0 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 21 92 D0 30 4D 96 D0 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F
+3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
+10 00 29 83 B9 43 80 FF FC 23 B9 40 1C D1 FE FF
+29 83 B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40
+14 18 B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49
+22 C6 B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00
+04 01 B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40
+E0 20 3F 40 80 20 39 40 00 10 29 83 89 43 00 20
+FC 23 B2 43 02 02 B2 D3 06 02 D2 43 24 02 F2 D3
+26 02 F2 40 FD 00 22 02 E2 D2 24 02 F2 40 A5 00
+A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5
+60 01 B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40
+E9 01 84 01 39 40 00 01 B2 D0 10 00 86 01 38 40
+17 11 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
+08 18 1E D2 5E 01 B0 12 F8 C4 20 C6 38 40 C0 21
+0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
+7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
+1C 15 0E 12 12 12 C4 21 84 12 2E C9 96 C9 F2 C7
+34 C4 EC D1 52 CA 34 C4 06 D2 00 D2 EE D1 3C 4E
+3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
+21 52 1B 17 30 41 08 D2 B2 41 C4 21 3E 41 84 12
+0A C4 2B 00 2E C9 96 C9 F2 C7 34 C4 24 D2 52 CA
+34 C4 14 CC BC C7 2E C9 52 CA 34 C4 14 CC 30 D2
+3E 5F E7 3F 3E 40 28 00 B0 12 D0 D1 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
+C4 21 02 20 30 40 82 CD 1C 15 12 12 C4 21 92 53
+C4 21 84 12 2E C9 52 CA 34 C4 78 D2 6E D2 21 53
+3E 90 10 00 C6 2B 7F 2D 7A D2 B2 41 C4 21 C1 3F
+0D 12 84 12 16 CC AC D1 8A D2 0C 43 1B 42 C6 21
+A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
+C4 21 B0 12 D0 D1 3C 40 00 03 0E 93 1C 24 3C 40
+10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
+20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
+30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
+C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
+07 20 3C 40 10 02 92 53 C4 21 B0 12 D0 D1 ED 3F
+7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
+58 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 58 D2
+92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
+DA 3F B0 12 58 D2 FA 23 3C 50 10 00 B0 12 34 D2
+EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
+16 CC AC D1 56 D3 FE 90 26 00 00 00 3E 40 20 00
+03 20 3C 50 82 00 C7 3F B0 12 58 D2 E0 23 3C 50
+80 00 B0 12 34 D2 DB 3F 00 00 04 52 45 54 49 00
+0D 12 84 12 0A C4 00 13 5C CB 62 C8 0A C4 2C 00
+80 D2 4C D3 96 D3 09 4B 2E 4E 0E DC A2 3F 54 CE
+03 4D 4F 56 85 12 8C D3 00 40 A0 D3 05 4D 4F 56
+2E 42 85 12 8C D3 40 40 00 00 03 41 44 44 85 12
+8C D3 00 50 BA D3 05 41 44 44 2E 42 85 12 8C D3
+40 50 C6 D3 04 41 44 44 43 00 85 12 8C D3 00 60
+D4 D3 06 41 44 44 43 2E 42 00 85 12 8C D3 40 60
+7A D3 04 53 55 42 43 00 85 12 8C D3 00 70 F2 D3
+06 53 55 42 43 2E 42 00 85 12 8C D3 40 70 00 D4
+03 53 55 42 85 12 8C D3 00 80 10 D4 05 53 55 42
+2E 42 85 12 8C D3 40 80 2A CE 03 43 4D 50 85 12
+8C D3 00 90 2A D4 05 43 4D 50 2E 42 85 12 8C D3
+40 90 14 CE 04 44 41 44 44 00 85 12 8C D3 00 A0
+44 D4 06 44 41 44 44 2E 42 00 85 12 8C D3 40 A0
+36 D4 03 42 49 54 85 12 8C D3 00 B0 62 D4 05 42
+49 54 2E 42 85 12 8C D3 40 B0 6E D4 03 42 49 43
+85 12 8C D3 00 C0 7C D4 05 42 49 43 2E 42 85 12
+8C D3 40 C0 88 D4 03 42 49 53 85 12 8C D3 00 D0
+96 D4 05 42 49 53 2E 42 85 12 8C D3 40 D0 00 00
+03 58 4F 52 85 12 8C D3 00 E0 B0 D4 05 58 4F 52
+2E 42 85 12 8C D3 40 E0 E2 D3 03 41 4E 44 85 12
+8C D3 00 F0 CA D4 05 41 4E 44 2E 42 85 12 8C D3
+40 F0 16 CC 80 D2 E8 D4 0A 4C 3C F0 70 00 8A 10
+3A F0 0F 00 0C DA 4F 3F 1C D4 03 52 52 43 85 12
+E2 D4 00 10 FA D4 05 52 52 43 2E 42 85 12 E2 D4
+40 10 06 D5 04 53 57 50 42 00 85 12 E2 D4 80 10
+14 D5 03 52 52 41 85 12 E2 D4 00 11 22 D5 05 52
+52 41 2E 42 85 12 E2 D4 40 11 2E D5 03 53 58 54
+85 12 E2 D4 80 11 00 00 04 50 55 53 48 00 85 12
+E2 D4 00 12 48 D5 06 50 55 53 48 2E 42 00 85 12
+E2 D4 40 12 A2 D4 04 43 41 4C 4C 00 85 12 E2 D4
+80 12 1A 53 0E 4A 0D 12 84 12 D8 C8 14 C4 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 3C D5
+03 53 3E 3D 86 12 00 38 90 D5 02 53 3C 00 86 12
+00 34 56 D5 03 30 3E 3D 86 12 00 30 A4 D5 02 30
+3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
+B8 D5 03 55 3E 3D 86 12 00 28 AE D5 03 30 3C 3E
+86 12 00 24 CC D5 02 30 3D 00 86 12 00 20 00 00
+02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
+0E 4A 30 4D C2 D5 04 54 48 45 4E 00 1A 42 C6 21
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+B1 2F 88 DA 00 00 30 4D 52 D4 04 45 4C 53 45 00
+1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
+8F 4A 00 00 E3 3F 66 D5 05 42 45 47 49 4E 30 40
+28 C4 F6 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
+D6 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
+00 00 05 57 48 49 4C 45 0D 12 84 12 E4 D5 BC C7
+62 C8 9A D5 06 52 45 50 45 41 54 00 0D 12 84 12
+78 D6 FC D5 62 C8 A8 D6 3D 41 08 4E 3E 4F 2A 48
+B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 38 D6
+03 42 57 31 85 12 A6 D6 00 00 C0 D6 03 42 57 32
+85 12 A6 D6 00 00 CC D6 03 42 57 33 85 12 A6 D6
+00 00 E4 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
+88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
+30 4D 00 00 03 46 57 31 85 12 E2 D6 00 00 04 D7
+03 46 57 32 85 12 E2 D6 00 00 10 D7 03 46 57 33
+85 12 E2 D6 00 00 1C D7 04 47 4F 54 4F 00 2F 83
+8F 4E 00 00 3E 40 00 3C 0D 12 84 12 94 CC F0 CB
+62 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
+3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
+16 CC AC D1 66 D7 92 53 C4 21 3E 40 2C 00 84 12
+2E C9 52 CA 34 C4 14 CC 42 D3 7C D7 0A 4E 3E 4F
+1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
+0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
+E8 2E 8A 10 5A 06 A6 3E 94 D6 04 52 52 43 4D 00
+85 12 60 D7 50 00 AA D7 04 52 52 41 4D 00 85 12
+60 D7 50 01 B8 D7 04 52 4C 41 4D 00 85 12 60 D7
+50 02 C6 D7 04 52 52 55 4D 00 85 12 60 D7 50 03
+D6 D5 05 50 55 53 48 4D 85 12 60 D7 00 15 E2 D7
+04 50 4F 50 4D 00 85 12 60 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 96 C6 02 C6 02 C6 02 C6 02 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 24 D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 1C D1
 q
index 04d25aa..342233d 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF EC D7 0A D0 33 01
-10 00 81 06 B4 C5 AA C4 B6 C5 8A C5 82 C6 EC D7
-0A D0 70 C6 92 C7 FE C6 DA C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 28 CC 42 CC 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF D4 D7 F2 CF 34 01
+10 00 41 07 B6 C5 AA C4 B8 C5 8C C5 84 C6 D4 D7
+F2 CF 72 C6 82 C7 00 C7 DC C6 3C 21 50 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6E C6 B2 49 90 C7 B2 49 FC C6 B2 49 D8 C6
+B2 49 70 C6 B2 49 80 C7 B2 49 FE C6 B2 49 DA C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 E0 C7 16 C7 4A C7 34 C4 28 CC 14 C4
-05 1B 5B 37 6D 40 5C C7 0A C4 02 18 E0 C7 D6 C8
-A8 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5C C7 A0 C8 5C C7 14 C4
-04 1B 5B 30 6D 00 5C C7 28 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 F2 B0 10 00 00 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12
-8A C5 78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D
-0A 1B 5B 37 6D 40 5C C7 0A C4 02 18 E0 C7 D6 C8
-0A C4 23 00 FA C6 D6 C8 14 C4 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 5C C7 0A C4 40 FF 28 C4 D4 C7 A0 C8
-14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
-7C C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
-3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
-58 43 B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
-3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
-04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
-38 40 05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
-F1 3F 2C C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 00 00 04 54 59 50 45 00 0E 93 11 24
-0D 12 3D 40 78 C7 28 4F 2F 83 8F 4E 00 00 7E 48
-8F 48 02 00 10 42 FC C6 7A C7 2D 83 1E 83 F3 23
-3D 41 2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40
-92 C7 0D 12 84 12 14 C4 02 0D 0A 00 5C C7 60 C8
+B2 49 DC 21 3D 41 30 40 BE D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 D0 C7 18 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4C C7 0A C4 02 18 D0 C7
+C6 C8 98 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4C C7 90 C8 4C C7
+14 C4 04 1B 5B 30 6D 00 4C C7 18 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 F2 B0 10 00 00 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00
+B0 12 8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4
+07 0D 0A 1B 5B 37 6D 40 4C C7 0A C4 02 18 D0 C7
+C6 C8 0A C4 23 00 FC C6 C6 C8 14 C4 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 4C C7 0A C4 40 FF 28 C4 C4 C7
+90 C8 14 C4 0A 62 79 74 65 73 20 66 72 65 65 00
+3A C4 7E C5 00 00 06 41 43 43 45 50 54 00 30 40
+72 C6 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05
+B7 22 3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC C6 2F 83 8F 4E
+00 00 58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42
+4C 05 30 4D 00 00 04 45 4D 49 54 00 30 40 00 C7
+08 4E 3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D
+F6 C6 04 45 43 48 4F 00 B2 40 C2 48 0A C7 82 43
+DE 21 38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A C7 92 43 DE 21
+28 42 F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24
+0D 12 3D 40 68 C7 28 4F 2F 83 8F 4E 00 00 7E 48
+8F 48 02 00 10 42 FE C6 6A C7 2D 83 1E 83 F3 23
+3D 41 2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40
+82 C7 0D 12 84 12 14 C4 02 0D 0A 00 4C C7 50 C8
 2F 83 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E
 FE FF AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00
 0E 4A 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11
-2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40
-2E 4E 30 4D DE C7 01 21 BE 4F 00 00 3E 4F 30 4D
+2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 66 C6 01 40
+2E 4E 30 4D CE C7 01 21 BE 4F 00 00 3E 4F 30 4D
 1E 83 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F
 03 24 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00
-B2 40 B2 21 B2 21 30 4D 8A C7 01 23 1B 42 DC 21
+B2 40 B2 21 B2 21 30 4D 7A C7 01 23 1B 42 DC 21
 2C 4F 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00
 02 28 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42
-B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
-84 12 1C C8 56 C8 2D 83 09 93 E2 23 0E 93 E0 23
-3D 41 30 4D 4A C8 02 23 3E 00 9F 42 B2 21 00 00
+B2 21 C8 4A 00 00 30 4D 0A C8 02 23 53 00 0D 12
+84 12 0C C8 46 C8 2D 83 09 93 E2 23 0E 93 E0 23
+3D 41 30 4D 3A C8 02 23 3E 00 9F 42 B2 21 00 00
 3E 40 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00
 4A 4E 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93
-3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
+3E 4F 7A 40 2D 00 D1 33 30 4D 46 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 24 CC FA C6
-60 C8 44 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
+00 C8 3E C8 EE C4 7E C8 5A C8 4C C7 04 CC FC C6
+50 C8 2E C7 01 2E 0E 93 E3 37 38 43 E2 3F 78 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
-5A CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
+4A CB 0A C4 22 00 1C C9 EA C8 B2 40 20 00 B4 21
 6E 4E 1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D
-D4 C8 82 2E 22 00 0D 12 84 12 E4 C8 0A C4 5C C7
-5A CB 60 C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21
+C4 C8 82 2E 22 00 0D 12 84 12 D4 C8 0A C4 4C C7
+4A CB 50 C8 FA C5 04 57 4F 52 44 00 3C 40 C0 21
 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A
 FC 27 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00
 00 00 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A
@@ -105,12 +104,12 @@ CA 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
 22 C3 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
 E3 23 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D
-32 C0 00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AE CA
+32 C0 00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9E CA
 09 43 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90
 27 00 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90
 03 00 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43
 02 00 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F B0 CA
+3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F A0 CA
 31 24 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20
 32 D0 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20
 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
@@ -122,214 +121,214 @@ B0 12 66 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 C7 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 48 CB 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
-30 4D 66 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
-00 00 5E 4E FF FF 30 4D 7A C8 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C4 24 CC 2C C9 E0 CB
-94 26 3D 40 E8 CB D6 3E EA CB 0A 4E 3E 4F 3D 40
-04 CC 2E 27 3D 40 DA CB 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 CC 3E 4F 3D 40 DA CB BB 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CD
-CC 3F 0E CC 86 12 20 00 3F 40 80 20 0E 43 31 40
-E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E C7
-BC C4 D4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
+30 4D 56 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
+00 00 5E 4E FF FF 30 4D 6A C8 09 49 4E 54 45 52
+50 52 45 54 0D 12 84 12 AC C4 04 CC 1C C9 C0 CB
+9C 26 3D 40 C8 CB DE 3E CA CB 0A 4E 3E 4F 3D 40
+E4 CB 36 27 3D 40 BA CB 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 E6 CB 3E 4F 3D 40 BA CB BB 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 86 CD
+CC 3F EE CB 86 12 20 00 D6 C7 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
+E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 7E C7
+BC C4 B4 CB B4 C7 E6 C7 14 C4 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4
-FE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C5 3A C4 3E CC 6C CB 86 41 42 4F 52 54 22 00
-0D 12 84 12 E4 C8 0A C4 2A C5 5A CB 60 C8 8E C9
-01 27 0D 12 84 12 24 CC 2C C9 94 C9 34 C4 22 CC
-60 C8 00 00 83 5B 27 5D 0D 12 84 12 92 CC 0A C4
-0A C4 5A CB 5A CB 60 C8 A4 CC 81 5B 82 43 BE 21
-30 4D 0C C8 01 5D B2 43 BE 21 30 4D C4 CC 81 5C
+EE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
+2A C5 3A C4 2E CC 0A CC 86 41 42 4F 52 54 22 00
+0D 12 84 12 D4 C8 0A C4 2A C5 4A CB 50 C8 7E C9
+01 27 0D 12 84 12 04 CC 1C C9 84 C9 34 C4 02 CC
+50 C8 00 00 83 5B 27 5D 0D 12 84 12 82 CC 0A C4
+0A C4 4A CB 4A CB 50 C8 94 CC 81 5B 82 43 BE 21
+30 4D FC C7 01 5D B2 43 BE 21 30 4D B4 CC 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CC 2C C9 94 C9 A8 C7
-34 C4 22 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
-5A CB 0A C4 5A CB 5A CB 60 C8 BA CC 01 3A 30 12
-56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 CC
-2C C9 24 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
+4F 4E 45 00 0D 12 84 12 04 CC 1C C9 84 C9 98 C7
+34 C4 02 CC E6 C7 34 C4 F6 CC 0A C4 0A C4 4A CB
+4A CB 0A C4 4A CB 4A CB 50 C8 AA CC 01 3A 30 12
+46 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 04 CC
+1C C9 14 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
 82 4A C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12
 FE FF B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42
 B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 36 C5 0C CD 81 3B 82 93 BE 21
-97 27 0D 12 84 12 0A C4 60 C8 5A CB 68 CD BC CC
-60 C8 CA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 CB 06 43 52 45
-41 54 45 00 B0 12 12 CD BA 40 86 12 FC FF 8A 4A
-FE FF C9 3F CC CD 04 43 4F 44 45 00 B0 12 12 CD
-A2 82 C6 21 0D 12 84 12 0C D0 E6 CF 60 C8 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD 26 D0
-60 C8 78 CC 03 41 53 4D B2 40 EA CF DA 21 E6 3F
-00 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CE
-44 D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 D0 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 CD 85 48 49 32
-4C 4F 0D 12 84 12 28 C4 B4 CF 5A CB BC CC F4 CD
-60 C8 9A CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
-00 00 D8 C7 24 CC 2C C9 BA CB A0 C7 34 C4 1C CF
-AE C7 14 C4 06 5B 54 48 45 4E 5D 00 8E CE F6 CE
-B2 CE D4 CE 60 C8 AE C7 14 C4 06 5B 45 4C 53 45
-5D 00 8E CE 0C CF B2 CE D2 CE 60 C8 14 C4 04 5B
-49 46 5D 00 8E CE D4 CE 3A C4 D2 CE 82 C7 14 C4
-05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4 D4 CE
-C2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CF 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CC 2C C9 94 C9 40 CF 60 C8 46 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CF F0 C7 60 C8 78 CF B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C CB 06 4D 41 52 4B 45 52 00
-B0 12 12 CD BA 40 85 12 FC FF BA 40 76 CF FE FF
-28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 E8 C7 60 C8
-85 12 B8 CF 14 CE E6 CD 10 C7 90 CC 6C CE D2 C6
-88 CF 12 C9 B0 D0 C4 D0 9C C8 26 C9 00 00 60 CF
-CE CC F4 C9 00 00 85 12 B8 CF 62 D6 C8 D6 0A D6
-18 D7 D0 D5 00 00 9C D3 00 00 E0 D7 C4 D7 34 D6
-72 D6 AC D4 00 00 00 00 34 D7 E4 CF 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 CF 3E D0
-44 D0 54 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CC 09 50 57 52 5F 53
-54 41 54 45 85 12 4C D0 EC D7 E0 C8 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 D0 F3 3F 8A D0
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 D0
-30 4D 9C D0 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 D1 FE FF 29 83 B9 40 E0 C5 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 E2 C5 B2 49 FA C4
-B2 49 02 C4 B2 49 00 C6 B2 49 E0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 43 02 02 B2 D3 06 02
-D2 43 24 02 F2 D3 26 02 F2 40 FD 00 22 02 B2 40
-00 A5 60 01 B2 40 B4 00 80 01 92 43 82 01 B2 40
-1E 00 84 01 39 40 10 00 B2 D0 10 00 86 01 38 40
-17 11 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 C4 FE C5 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C C9 94 C9 F0 C7
-34 C4 DC D1 50 CA 34 C4 F6 D1 F0 D1 DE D1 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 F8 D1 B2 41 C4 21 3E 41 84 12
-0A C4 2B 00 2C C9 94 C9 F0 C7 34 C4 14 D2 50 CA
-34 C4 22 CC BA C7 2C C9 50 CA 34 C4 22 CC 20 D2
-3E 5F E7 3F 3E 40 28 00 B0 12 C0 D1 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 80 CD 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C C9 50 CA 34 C4 68 D2 5E D2 21 53
-3E 90 10 00 C6 2B 7F 2D 6A D2 B2 41 C4 21 C1 3F
-0D 12 84 12 24 CC 9C D1 7A D2 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 C0 D1 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 C0 D1 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-48 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 48 D2
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 48 D2 FA 23 3C 50 10 00 B0 12 24 D2
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-24 CC 9C D1 46 D3 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 48 D2 E0 23 3C 50
-80 00 B0 12 24 D2 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A C4 00 13 5A CB 60 C8 0A C4 2C 00
-70 D2 3C D3 86 D3 09 4B 2E 4E 0E DC A2 3F 5A CE
-03 4D 4F 56 85 12 7C D3 00 40 90 D3 05 4D 4F 56
-2E 42 85 12 7C D3 40 40 00 00 03 41 44 44 85 12
-7C D3 00 50 AA D3 05 41 44 44 2E 42 85 12 7C D3
-40 50 B6 D3 04 41 44 44 43 00 85 12 7C D3 00 60
-C4 D3 06 41 44 44 43 2E 42 00 85 12 7C D3 40 60
-6A D3 04 53 55 42 43 00 85 12 7C D3 00 70 E2 D3
-06 53 55 42 43 2E 42 00 85 12 7C D3 40 70 F0 D3
-03 53 55 42 85 12 7C D3 00 80 00 D4 05 53 55 42
-2E 42 85 12 7C D3 40 80 36 CE 03 43 4D 50 85 12
-7C D3 00 90 1A D4 05 43 4D 50 2E 42 85 12 7C D3
-40 90 22 CE 04 44 41 44 44 00 85 12 7C D3 00 A0
-34 D4 06 44 41 44 44 2E 42 00 85 12 7C D3 40 A0
-26 D4 03 42 49 54 85 12 7C D3 00 B0 52 D4 05 42
-49 54 2E 42 85 12 7C D3 40 B0 5E D4 03 42 49 43
-85 12 7C D3 00 C0 6C D4 05 42 49 43 2E 42 85 12
-7C D3 40 C0 78 D4 03 42 49 53 85 12 7C D3 00 D0
-86 D4 05 42 49 53 2E 42 85 12 7C D3 40 D0 00 00
-03 58 4F 52 85 12 7C D3 00 E0 A0 D4 05 58 4F 52
-2E 42 85 12 7C D3 40 E0 D2 D3 03 41 4E 44 85 12
-7C D3 00 F0 BA D4 05 41 4E 44 2E 42 85 12 7C D3
-40 F0 24 CC 70 D2 D8 D4 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 0C D4 03 52 52 43 85 12
-D2 D4 00 10 EA D4 05 52 52 43 2E 42 85 12 D2 D4
-40 10 F6 D4 04 53 57 50 42 00 85 12 D2 D4 80 10
-04 D5 03 52 52 41 85 12 D2 D4 00 11 12 D5 05 52
-52 41 2E 42 85 12 D2 D4 40 11 1E D5 03 53 58 54
-85 12 D2 D4 80 11 00 00 04 50 55 53 48 00 85 12
-D2 D4 00 12 38 D5 06 50 55 53 48 2E 42 00 85 12
-D2 D4 40 12 92 D4 04 43 41 4C 4C 00 85 12 D2 D4
-80 12 1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 2C D5
-03 53 3E 3D 86 12 00 38 80 D5 02 53 3C 00 86 12
-00 34 46 D5 03 30 3E 3D 86 12 00 30 94 D5 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-A8 D5 03 55 3E 3D 86 12 00 28 9E D5 03 30 3C 3E
-86 12 00 24 BC D5 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D B2 D5 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 42 D4 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 56 D5 05 42 45 47 49 4E 30 40
-28 C4 E6 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-C6 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 D4 D5 BA C7
-60 C8 8A D5 06 52 45 50 45 41 54 00 0D 12 84 12
-68 D6 EC D5 60 C8 98 D6 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 28 D6
-03 42 57 31 85 12 96 D6 00 00 B0 D6 03 42 57 32
-85 12 96 D6 00 00 BC D6 03 42 57 33 85 12 96 D6
-00 00 D4 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 D2 D6 00 00 F4 D6
-03 46 57 32 85 12 D2 D6 00 00 00 D7 03 46 57 33
-85 12 D2 D6 00 00 0C D7 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 CC FE CB
-60 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-24 CC 9C D1 56 D7 92 53 C4 21 3E 40 2C 00 84 12
-2C C9 50 CA 34 C4 22 CC 32 D3 6C D7 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E 84 D6 04 52 52 43 4D 00
-85 12 50 D7 50 00 9A D7 04 52 52 41 4D 00 85 12
-50 D7 50 01 A8 D7 04 52 4C 41 4D 00 85 12 50 D7
-50 02 B6 D7 04 52 52 55 4D 00 85 12 50 D7 50 03
-C6 D5 05 50 55 53 48 4D 85 12 50 D7 00 15 D2 D7
-04 50 4F 50 4D 00 85 12 50 D7 00 17
+6D 61 74 63 68 21 36 C5 FC CC 81 3B 82 93 BE 21
+97 27 0D 12 84 12 0A C4 50 C8 4A CB 58 CD AC CC
+50 C8 AA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D 94 CB 06 43 52 45
+41 54 45 00 B0 12 02 CD BA 40 86 12 FC FF 8A 4A
+FE FF C9 3F BC CD 04 43 4F 44 45 00 B0 12 02 CD
+A2 82 C6 21 0D 12 84 12 F4 CF CE CF 50 C8 A4 CD
+07 48 44 4E 43 4F 44 45 B2 40 D2 CF DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 58 CD
+0E D0 2C D0 50 C8 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 0E D0 2C D0 50 C8
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F F0 CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4
+9C CF 4A CB AC CC E4 CD 50 C8 8A CD 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 6C CE 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C4 00 00 C8 C7 04 CC 1C C9
+9A CB 90 C7 34 C4 04 CF 9E C7 14 C4 06 5B 54 48
+45 4E 5D 00 76 CE DE CE 9A CE BC CE 50 C8 9E C7
+14 C4 06 5B 45 4C 53 45 5D 00 76 CE F4 CE 9A CE
+BA CE 50 C8 14 C4 04 5B 49 46 5D 00 76 CE BC CE
+3A C4 BA CE 72 C7 14 C4 05 0D 0A 6B 6F 20 4C C7
+BC C4 AC C4 3A C4 BC CE AA CE 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 1A CF 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 04 CC 1C C9
+84 C9 28 CF 50 C8 2E CF 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 38 CF E0 C7 50 C8 60 CF
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5C CB
+06 4D 41 52 4B 45 52 00 B0 12 02 CD BA 40 85 12
+FC FF BA 40 5E CF FE FF 28 83 8A 48 00 00 BA 40
+AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A C4 CA 21 D8 C7 50 C8 85 12 A0 CF 68 CC D6 CD
+12 C7 80 CC 54 CE D4 C6 70 CF 02 C9 98 D0 AC D0
+8C C8 16 C9 00 00 48 CF BE CC E4 C9 00 00 85 12
+A0 CF 4A D6 B0 D6 F2 D5 00 D7 B8 D5 00 00 84 D3
+00 00 C8 D7 AC D7 1C D6 5A D6 94 D4 00 00 00 00
+1C D7 CC CF 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D A8 CF 26 D0 2C D0 3C D0 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+CA CC 09 50 57 52 5F 53 54 41 54 45 85 12 34 D0
+D4 D7 D0 C8 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 80 D0 F3 3F 72 D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 80 D0 30 4D 84 D0 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 0A D1 FE FF 29 83
+B9 40 E2 C5 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 E4 C5 B2 49 FA C4 B2 49 02 C4 B2 49 02 C6
+B2 49 E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 43 02 02 B2 D3 06 02 D2 43 24 02 F2 D3 26 02
+F2 40 FD 00 22 02 B2 40 00 A5 60 01 B2 40 B4 00
+80 01 92 43 82 01 B2 40 1E 00 84 01 39 40 10 00
+B2 D0 10 00 86 01 38 40 17 11 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 C4 00 C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 1C C9 84 C9 E0 C7 34 C4 C4 D1 40 CA 34 C4
+DE D1 D8 D1 C6 D1 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 E0 D1
+B2 41 C4 21 3E 41 84 12 0A C4 2B 00 1C C9 84 C9
+E0 C7 34 C4 FC D1 40 CA 34 C4 02 CC AA C7 1C C9
+40 CA 34 C4 02 CC 08 D2 3E 5F E7 3F 3E 40 28 00
+B0 12 A8 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 70 CD
+1C 15 12 12 C4 21 92 53 C4 21 84 12 1C C9 40 CA
+34 C4 50 D2 46 D2 21 53 3E 90 10 00 C6 2B 7F 2D
+52 D2 B2 41 C4 21 C1 3F 0D 12 84 12 04 CC 84 D1
+62 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 A8 D1 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 A8 D1 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 30 D2 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 30 D2 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 30 D2 FA 23
+3C 50 10 00 B0 12 0C D2 EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 04 CC 84 D1 2E D3 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 30 D2 E0 23 3C 50 80 00 B0 12 0C D2 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
+4A CB 50 C8 0A C4 2C 00 58 D2 24 D3 6E D3 09 4B
+2E 4E 0E DC A2 3F 42 CE 03 4D 4F 56 85 12 64 D3
+00 40 78 D3 05 4D 4F 56 2E 42 85 12 64 D3 40 40
+00 00 03 41 44 44 85 12 64 D3 00 50 92 D3 05 41
+44 44 2E 42 85 12 64 D3 40 50 9E D3 04 41 44 44
+43 00 85 12 64 D3 00 60 AC D3 06 41 44 44 43 2E
+42 00 85 12 64 D3 40 60 52 D3 04 53 55 42 43 00
+85 12 64 D3 00 70 CA D3 06 53 55 42 43 2E 42 00
+85 12 64 D3 40 70 D8 D3 03 53 55 42 85 12 64 D3
+00 80 E8 D3 05 53 55 42 2E 42 85 12 64 D3 40 80
+18 CE 03 43 4D 50 85 12 64 D3 00 90 02 D4 05 43
+4D 50 2E 42 85 12 64 D3 40 90 02 CE 04 44 41 44
+44 00 85 12 64 D3 00 A0 1C D4 06 44 41 44 44 2E
+42 00 85 12 64 D3 40 A0 0E D4 03 42 49 54 85 12
+64 D3 00 B0 3A D4 05 42 49 54 2E 42 85 12 64 D3
+40 B0 46 D4 03 42 49 43 85 12 64 D3 00 C0 54 D4
+05 42 49 43 2E 42 85 12 64 D3 40 C0 60 D4 03 42
+49 53 85 12 64 D3 00 D0 6E D4 05 42 49 53 2E 42
+85 12 64 D3 40 D0 00 00 03 58 4F 52 85 12 64 D3
+00 E0 88 D4 05 58 4F 52 2E 42 85 12 64 D3 40 E0
+BA D3 03 41 4E 44 85 12 64 D3 00 F0 A2 D4 05 41
+4E 44 2E 42 85 12 64 D3 40 F0 04 CC 58 D2 C0 D4
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+F4 D3 03 52 52 43 85 12 BA D4 00 10 D2 D4 05 52
+52 43 2E 42 85 12 BA D4 40 10 DE D4 04 53 57 50
+42 00 85 12 BA D4 80 10 EC D4 03 52 52 41 85 12
+BA D4 00 11 FA D4 05 52 52 41 2E 42 85 12 BA D4
+40 11 06 D5 03 53 58 54 85 12 BA D4 80 11 00 00
+04 50 55 53 48 00 85 12 BA D4 00 12 20 D5 06 50
+55 53 48 2E 42 00 85 12 BA D4 40 12 7A D4 04 43
+41 4C 4C 00 85 12 BA D4 80 12 1A 53 0E 4A 0D 12
+84 12 C6 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 C5 14 D5 03 53 3E 3D 86 12 00 38
+68 D5 02 53 3C 00 86 12 00 34 2E D5 03 30 3E 3D
+86 12 00 30 7C D5 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C 90 D5 03 55 3E 3D 86 12
+00 28 86 D5 03 30 3C 3E 86 12 00 24 A4 D5 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D 9A D5 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+2A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 3E D5
+05 42 45 47 49 4E 30 40 28 C4 CE D5 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D AE D4 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 BC D5 AA C7 50 C8 72 D5 06 52 45 50
+45 41 54 00 0D 12 84 12 50 D6 D4 D5 50 C8 80 D6
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 10 D6 03 42 57 31 85 12 7E D6
+00 00 98 D6 03 42 57 32 85 12 7E D6 00 00 A4 D6
+03 42 57 33 85 12 7E D6 00 00 BC D6 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 BA D6 00 00 DC D6 03 46 57 32 85 12 BA D6
+00 00 E8 D6 03 46 57 33 85 12 BA D6 00 00 F4 D6
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 82 CC DE CB 50 C8 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 04 CC 84 D1 3E D7 92 53
+C4 21 3E 40 2C 00 84 12 1C C9 40 CA 34 C4 02 CC
+1A D3 54 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+6C D6 04 52 52 43 4D 00 85 12 38 D7 50 00 82 D7
+04 52 52 41 4D 00 85 12 38 D7 50 01 90 D7 04 52
+4C 41 4D 00 85 12 38 D7 50 02 9E D7 04 52 52 55
+4D 00 85 12 38 D7 50 03 AE D5 05 50 55 53 48 4D
+85 12 38 D7 00 15 BA D7 04 50 4F 50 4D 00 85 12
+38 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-82 C6 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 22 D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+84 C6 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 0A D1
 q
index 7e16da2..9449611 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF F2 D7 0C D0 33 01
-10 00 81 36 94 C5 AA C4 C6 C5 9C C5 96 C6 F2 D7
-0C D0 7C C6 94 C7 26 C7 00 C7 3C 21 62 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 2A CC 44 CC 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF EA D7 04 D0 34 01
+10 00 41 33 94 C5 AA C4 DA C5 9C C5 96 C6 EA D7
+04 D0 7C C6 94 C7 26 C7 00 C7 3C 21 62 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 7A C6 B2 49 92 C7 B2 49 24 C7 B2 49 FE C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D8 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 D0 D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E2 C7 34 C7
 14 C4 04 1B 5B 37 6D 00 5E C7 AA C7 34 C4 86 C5
@@ -52,7 +52,7 @@ FD 27 C2 48 0E 05 30 4D CA C6 2D 83 92 B3 1C 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 1C 05 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53
 DE 21 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 C7
-2F 83 8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27
 1E 42 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 C7 08 4E 3E 4F C8 3F 1C C7 04 45
 43 48 4F 00 B2 40 C2 48 C2 C6 82 43 DE 21 30 4D
@@ -80,7 +80,7 @@ E0 23 3D 41 30 4D 4C C8 02 23 3E 00 9F 42 B2 21
 0E 93 3E 4F 7A 40 2D 00 D1 33 30 4D 58 C7 02 55
 2E 00 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12
 3E F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
-84 12 12 C8 50 C8 EE C4 90 C8 6C C8 5E C7 26 CC
+84 12 12 C8 50 C8 EE C4 90 C8 6C C8 5E C7 16 CC
 22 C7 62 C8 42 C7 01 2E 0E 93 E3 37 38 43 E2 3F
 8A C8 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4
 14 C4 5C CB 0A C4 22 00 2E C9 FC C8 B2 40 20 00
@@ -122,35 +122,35 @@ AF 4F 04 00 4A 93 2B 17 0E 4C 82 4B DC 21 06 24
 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
 00 02 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21
-8A 4E 00 00 A2 53 C6 21 3E 4F 30 4D E8 C7 05 41
-4C 4C 4F 54 82 5E C6 21 3E 4F 30 4D 5A CB 87 4C
+8A 4E 00 00 A2 53 C6 21 3E 4F 30 4D 5A CB 87 4C
 49 54 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42
 C6 21 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00
 3E 4F 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00
 EE 3F 30 4D 68 C8 05 43 4F 55 4E 54 2F 83 1E 53
 8F 4E 00 00 5E 4E FF FF 30 4D 7C C8 09 49 4E 54
-45 52 50 52 45 54 0D 12 84 12 AC C4 26 CC 2E C9
-E2 CB 94 26 3D 40 EA CB D6 3E EC CB 0A 4E 3E 4F
-3D 40 06 CC 2E 27 3D 40 DC CB 1A E2 BE 21 AE 27
-0E 12 3E 4F 30 41 08 CC 3E 4F 3D 40 DC CB BB 23
+45 52 50 52 45 54 0D 12 84 12 AC C4 16 CC 2E C9
+D2 CB 9C 26 3D 40 DA CB DE 3E DC CB 0A 4E 3E 4F
+3D 40 F6 CB 36 27 3D 40 CC CB 1A E2 BE 21 B6 27
+0E 12 3E 4F 30 41 F8 CB 3E 4F 3D 40 CC CB BB 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-98 CD CC 3F 10 CC 86 12 20 00 3F 40 80 20 0E 43
+98 CD CC 3F 00 CC 86 12 20 00 E8 C7 05 41 4C 4C
+4F 54 82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43
 31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12
-90 C7 BC C4 D6 CB C6 C7 F8 C7 14 C4 0C 73 74 61
+90 C7 BC C4 C6 CB C6 C7 F8 C7 14 C4 0C 73 74 61
 63 6B 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF
 28 C4 00 C8 14 C4 0A 46 52 41 4D 20 66 75 6C 6C
-21 00 2A C5 3A C4 40 CC 6E CB 86 41 42 4F 52 54
+21 00 2A C5 3A C4 40 CC 1C CC 86 41 42 4F 52 54
 22 00 0D 12 84 12 E6 C8 0A C4 2A C5 5C CB 62 C8
-90 C9 01 27 0D 12 84 12 26 CC 2E C9 96 C9 34 C4
-24 CC 62 C8 00 00 83 5B 27 5D 0D 12 84 12 94 CC
+90 C9 01 27 0D 12 84 12 16 CC 2E C9 96 C9 34 C4
+14 CC 62 C8 00 00 83 5B 27 5D 0D 12 84 12 94 CC
 0A C4 0A C4 5C CB 5C CB 62 C8 A6 CC 81 5B 82 43
 BE 21 30 4D 0E C8 01 5D B2 43 BE 21 30 4D C6 CC
 81 5C 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53
-54 50 4F 4E 45 00 0D 12 84 12 26 CC 2E C9 96 C9
-AA C7 34 C4 24 CC F8 C7 34 C4 08 CD 0A C4 0A C4
+54 50 4F 4E 45 00 0D 12 84 12 16 CC 2E C9 96 C9
+AA C7 34 C4 14 CC F8 C7 34 C4 08 CD 0A C4 0A C4
 5C CB 5C CB 0A C4 5C CB 5C CB 62 C8 BC CC 01 3A
 30 12 58 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12
-26 CC 2E C9 26 CD 3D 41 08 4E 7A 4E 5A D3 5A 53
+16 CC 2E C9 26 CD 3D 41 08 4E 7A 4E 5A D3 5A 53
 0A 58 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F
 82 48 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21
 2A 52 82 4A C6 21 30 41 BA 40 0D 12 FC FF BA 40
@@ -159,171 +159,170 @@ AA C7 34 C4 24 CC F8 C7 34 C4 08 CD 0A C4 0A C4
 30 4D 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D
 69 73 6D 61 74 63 68 21 36 C5 0E CD 81 3B 82 93
 BE 21 97 27 0D 12 84 12 0A C4 62 C8 5C CB 6A CD
-BE CC 62 C8 CC CB 09 49 4D 4D 45 44 49 41 54 45
-18 42 B6 21 F8 D0 80 00 00 00 30 4D B6 CB 06 43
+BE CC 62 C8 BC CB 09 49 4D 4D 45 44 49 41 54 45
+18 42 B6 21 F8 D0 80 00 00 00 30 4D A6 CB 06 43
 52 45 41 54 45 00 B0 12 14 CD BA 40 86 12 FC FF
 8A 4A FE FF C9 3F CE CD 04 43 4F 44 45 00 B0 12
-14 CD A2 82 C6 21 0D 12 84 12 0E D0 E8 CF 62 C8
-00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 6A CD
-28 D0 62 C8 7A CC 03 41 53 4D B2 40 EC CF DA 21
-E6 3F 02 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12
-0A CE 46 D0 62 C8 00 00 05 43 4F 4C 4F 4E 1A 42
-C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
-C6 21 B2 43 BE 21 30 40 28 D0 00 00 05 4C 4F 32
-48 49 A2 83 C6 21 1A 42 C6 21 EE 3F B6 CD 85 48
-49 32 4C 4F 0D 12 84 12 28 C4 B6 CF 5C CB BE CC
-F6 CD 62 C8 9C CD 86 5B 54 48 45 4E 5D 00 30 4D
-0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
-06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
-3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
-F7 3F 86 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12
-0A C4 00 00 DA C7 26 CC 2E C9 BC CB A2 C7 34 C4
-1E CF B0 C7 14 C4 06 5B 54 48 45 4E 5D 00 90 CE
-F8 CE B4 CE D6 CE 62 C8 B0 C7 14 C4 06 5B 45 4C
-53 45 5D 00 90 CE 0E CF B4 CE D4 CE 62 C8 14 C4
-04 5B 49 46 5D 00 90 CE D6 CE 3A C4 D4 CE 84 C7
-14 C4 05 0D 0A 6B 6F 20 5E C7 BC C4 AC C4 3A C4
-D6 CE C4 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
-30 4D 2F 53 30 4D 34 CF 89 5B 44 45 46 49 4E 45
-44 5D 0D 12 84 12 26 CC 2E C9 96 C9 42 CF 62 C8
-48 CF 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
-84 12 52 CF F2 C7 62 C8 7A CF B2 4E 0A 18 2E 53
-BE 12 3E 4F 3D 41 90 3C 7E CB 06 4D 41 52 4B 45
-52 00 B0 12 14 CD BA 40 85 12 FC FF BA 40 78 CF
-FE FF 28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50
-06 00 C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 EA C7
-62 C8 85 12 BA CF 16 CE E8 CD 2E C7 92 CC 6E CE
-F8 C6 8A CF 14 C9 B2 D0 C6 D0 9E C8 28 C9 00 00
-62 CF D0 CC F6 C9 00 00 85 12 BA CF 68 D6 CE D6
-10 D6 1E D7 D6 D5 00 00 A2 D3 00 00 E6 D7 CA D7
-3A D6 78 D6 B2 D4 00 00 00 00 3A D7 E6 CF 3A 40
-0C 00 39 40 D6 21 08 49 28 53 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-82 43 CC 21 30 4D 92 42 CA 21 DA 21 30 4D C2 CF
-40 D0 46 D0 56 D0 1A 42 20 18 82 4A C8 21 2E 4E
-82 4E C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D DC CC 09 50 57 52
-5F 53 54 41 54 45 85 12 4E D0 F2 D7 E2 C8 09 52
-53 54 5F 53 54 41 54 45 92 42 0A 18 9A D0 F3 3F
-8C D0 08 50 57 52 5F 48 45 52 45 00 92 42 C6 21
-9A D0 30 4D 9E D0 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
-E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
-FC 23 B9 40 24 D1 FE FF 29 83 B9 40 02 C6 FE FF
-39 90 AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49
-FA C4 B2 49 02 C4 B2 49 22 C6 B2 49 E4 FF B2 49
-0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
-B2 40 80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40
-00 10 29 83 89 43 00 20 FC 23 B2 43 02 02 B2 D3
-06 02 D2 43 24 02 F2 D3 26 02 F2 40 FD 00 22 02
-E2 D2 24 02 B2 40 00 A5 60 01 B2 40 B4 00 80 01
-92 43 82 01 B2 40 1E 00 84 01 39 40 10 00 B2 D0
-10 00 86 01 38 40 17 11 18 83 FE 23 19 83 FA 23
-1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 C4
-20 C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52
-C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
-82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12
-2E C9 96 C9 F2 C7 34 C4 E2 D1 52 CA 34 C4 FC D1
-F6 D1 E4 D1 3C 4E 3C 80 87 12 05 24 1C 53 02 20
-2E 4E 01 3C 2E 83 21 52 1B 17 30 41 FE D1 B2 41
-C4 21 3E 41 84 12 0A C4 2B 00 2E C9 96 C9 F2 C7
-34 C4 1A D2 52 CA 34 C4 24 CC BC C7 2E C9 52 CA
-34 C4 24 CC 26 D2 3E 5F E7 3F 3E 40 28 00 B0 12
-C6 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40
-29 00 92 92 C0 21 C4 21 02 20 30 40 82 CD 1C 15
-12 12 C4 21 92 53 C4 21 84 12 2E C9 52 CA 34 C4
-6E D2 64 D2 21 53 3E 90 10 00 C6 2B 7F 2D 70 D2
-B2 41 C4 21 C1 3F 0D 12 84 12 26 CC A2 D1 80 D2
-0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90
-23 00 27 20 92 53 C4 21 B0 12 C6 D1 3C 40 00 03
-0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
-2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
-3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
-19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41
-30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21
-B0 12 C6 D1 ED 3F 7A 90 40 00 16 20 3C 40 20 00
-92 53 C4 21 B0 12 4E D2 0C 20 3C 50 10 00 3E 40
-2B 00 B0 12 4E D2 92 92 C0 21 C4 21 02 24 92 53
-C4 21 8E 10 0C 5E DA 3F B0 12 4E D2 FA 23 3C 50
-10 00 B0 12 2A D2 EF 3F 0C 43 1B 42 C6 21 A2 53
-C6 21 0D 12 84 12 26 CC A2 D1 4C D3 FE 90 26 00
-00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
-4E D2 E0 23 3C 50 80 00 B0 12 2A D2 DB 3F 00 00
-04 52 45 54 49 00 0D 12 84 12 0A C4 00 13 5C CB
-62 C8 0A C4 2C 00 76 D2 42 D3 8C D3 09 4B 2E 4E
-0E DC A2 3F 5C CE 03 4D 4F 56 85 12 82 D3 00 40
-96 D3 05 4D 4F 56 2E 42 85 12 82 D3 40 40 00 00
-03 41 44 44 85 12 82 D3 00 50 B0 D3 05 41 44 44
-2E 42 85 12 82 D3 40 50 BC D3 04 41 44 44 43 00
-85 12 82 D3 00 60 CA D3 06 41 44 44 43 2E 42 00
-85 12 82 D3 40 60 70 D3 04 53 55 42 43 00 85 12
-82 D3 00 70 E8 D3 06 53 55 42 43 2E 42 00 85 12
-82 D3 40 70 F6 D3 03 53 55 42 85 12 82 D3 00 80
-06 D4 05 53 55 42 2E 42 85 12 82 D3 40 80 38 CE
-03 43 4D 50 85 12 82 D3 00 90 20 D4 05 43 4D 50
-2E 42 85 12 82 D3 40 90 24 CE 04 44 41 44 44 00
-85 12 82 D3 00 A0 3A D4 06 44 41 44 44 2E 42 00
-85 12 82 D3 40 A0 2C D4 03 42 49 54 85 12 82 D3
-00 B0 58 D4 05 42 49 54 2E 42 85 12 82 D3 40 B0
-64 D4 03 42 49 43 85 12 82 D3 00 C0 72 D4 05 42
-49 43 2E 42 85 12 82 D3 40 C0 7E D4 03 42 49 53
-85 12 82 D3 00 D0 8C D4 05 42 49 53 2E 42 85 12
-82 D3 40 D0 00 00 03 58 4F 52 85 12 82 D3 00 E0
-A6 D4 05 58 4F 52 2E 42 85 12 82 D3 40 E0 D8 D3
-03 41 4E 44 85 12 82 D3 00 F0 C0 D4 05 41 4E 44
-2E 42 85 12 82 D3 40 F0 26 CC 76 D2 DE D4 0A 4C
-3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 12 D4
-03 52 52 43 85 12 D8 D4 00 10 F0 D4 05 52 52 43
-2E 42 85 12 D8 D4 40 10 FC D4 04 53 57 50 42 00
-85 12 D8 D4 80 10 0A D5 03 52 52 41 85 12 D8 D4
-00 11 18 D5 05 52 52 41 2E 42 85 12 D8 D4 40 11
-24 D5 03 53 58 54 85 12 D8 D4 80 11 00 00 04 50
-55 53 48 00 85 12 D8 D4 00 12 3E D5 06 50 55 53
-48 2E 42 00 85 12 D8 D4 40 12 98 D4 04 43 41 4C
-4C 00 85 12 D8 D4 80 12 1A 53 0E 4A 0D 12 84 12
-D8 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 36 C5 32 D5 03 53 3E 3D 86 12 00 38 86 D5
-02 53 3C 00 86 12 00 34 4C D5 03 30 3E 3D 86 12
-00 30 9A D5 02 30 3C 00 86 12 00 30 00 00 02 55
-3C 00 86 12 00 2C AE D5 03 55 3E 3D 86 12 00 28
-A4 D5 03 30 3C 3E 86 12 00 24 C2 D5 02 30 3D 00
-86 12 00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 0E 4A 30 4D B8 D5 04 54 48 45
-4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89
-0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 48 D4
-04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00
-A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 5C D5 05 42
-45 47 49 4E 30 40 28 C4 EC D5 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11
-3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 C6 21 30 4D CC D4 05 41 47 41 49 4E 0A 4E
-38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
-84 12 DA D5 BC C7 62 C8 90 D5 06 52 45 50 45 41
-54 00 0D 12 84 12 6E D6 F2 D5 62 C8 9E D6 3D 41
-08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21
-00 00 30 4D 2E D6 03 42 57 31 85 12 9C D6 00 00
-B6 D6 03 42 57 32 85 12 9C D6 00 00 C2 D6 03 42
-57 33 85 12 9C D6 00 00 DA D6 3D 41 1A 42 C6 21
-28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21
-8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
-D8 D6 00 00 FA D6 03 46 57 32 85 12 D8 D6 00 00
-06 D7 03 46 57 33 85 12 D8 D6 00 00 12 D7 04 47
-4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
-84 12 94 CC 00 CC 62 C8 00 00 05 3F 47 4F 54 4F
-3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
-3E E0 00 08 EC 3F 26 CC A2 D1 5C D7 92 53 C4 21
-3E 40 2C 00 84 12 2E C9 52 CA 34 C4 24 CC 38 D3
-72 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
-08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
-5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 8A D6
-04 52 52 43 4D 00 85 12 56 D7 50 00 A0 D7 04 52
-52 41 4D 00 85 12 56 D7 50 01 AE D7 04 52 4C 41
-4D 00 85 12 56 D7 50 02 BC D7 04 52 52 55 4D 00
-85 12 56 D7 50 03 CC D5 05 50 55 53 48 4D 85 12
-56 D7 00 15 D8 D7 04 50 4F 50 4D 00 85 12 56 D7
-00 17
+14 CD A2 82 C6 21 0D 12 84 12 06 D0 E0 CF 62 C8
+B6 CD 07 48 44 4E 43 4F 44 45 B2 40 E4 CF DA 21
+EE 3F 00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12
+6A CD 20 D0 3E D0 62 C8 00 00 05 43 4F 4C 4F 4E
+1A 42 C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00
+A2 52 C6 21 B2 43 BE 21 0D 12 84 12 20 D0 3E D0
+62 C8 00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42
+C6 21 EB 3F 02 CE 85 48 49 32 4C 4F 0D 12 84 12
+28 C4 AE CF 5C CB BE CC F6 CD 62 C8 9C CD 86 5B
+54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
+0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
+F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
+00 00 F9 23 2F 53 2D 53 F7 3F 7E CE 86 5B 45 4C
+53 45 5D 00 0D 12 84 12 0A C4 00 00 DA C7 16 CC
+2E C9 AC CB A2 C7 34 C4 16 CF B0 C7 14 C4 06 5B
+54 48 45 4E 5D 00 88 CE F0 CE AC CE CE CE 62 C8
+B0 C7 14 C4 06 5B 45 4C 53 45 5D 00 88 CE 06 CF
+AC CE CC CE 62 C8 14 C4 04 5B 49 46 5D 00 88 CE
+CE CE 3A C4 CC CE 84 C7 14 C4 05 0D 0A 6B 6F 20
+5E C7 BC C4 AC C4 3A C4 CE CE BC CE 84 5B 49 46
+5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2C CF
+89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 16 CC
+2E C9 96 C9 3A CF 62 C8 40 CF 8B 5B 55 4E 44 45
+46 49 4E 45 44 5D 0D 12 84 12 4A CF F2 C7 62 C8
+72 CF B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
+6E CB 06 4D 41 52 4B 45 52 00 B0 12 14 CD BA 40
+85 12 FC FF BA 40 70 CF FE FF 28 83 8A 48 00 00
+BA 40 AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53
+30 4D 0A C4 CA 21 EA C7 62 C8 85 12 B2 CF 7A CC
+E8 CD 2E C7 92 CC 66 CE F8 C6 82 CF 14 C9 AA D0
+BE D0 9E C8 28 C9 00 00 5A CF D0 CC F6 C9 00 00
+85 12 B2 CF 60 D6 C6 D6 08 D6 16 D7 CE D5 00 00
+9A D3 00 00 DE D7 C2 D7 32 D6 70 D6 AA D4 00 00
+00 00 32 D7 DE CF 3A 40 0C 00 39 40 D6 21 08 49
+28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
+3A 40 0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42
+CA 21 DA 21 30 4D BA CF 38 D0 3E D0 4E D0 1A 42
+20 18 82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D DC CC 09 50 57 52 5F 53 54 41 54 45 85 12
+46 D0 EA D7 E2 C8 09 52 53 54 5F 53 54 41 54 45
+92 42 0A 18 92 D0 F3 3F 84 D0 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 21 92 D0 30 4D 96 D0 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F
+3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
+10 00 29 83 B9 43 80 FF FC 23 B9 40 1C D1 FE FF
+29 83 B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40
+14 18 B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49
+22 C6 B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00
+04 01 B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40
+E0 20 3F 40 80 20 39 40 00 10 29 83 89 43 00 20
+FC 23 B2 43 02 02 B2 D3 06 02 D2 43 24 02 F2 D3
+26 02 F2 40 FD 00 22 02 E2 D2 24 02 B2 40 00 A5
+60 01 B2 40 B4 00 80 01 92 43 82 01 B2 40 1E 00
+84 01 39 40 10 00 B2 D0 10 00 86 01 38 40 17 11
+18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18
+1E D2 5E 01 B0 12 F8 C4 20 C6 38 40 C0 21 0A 4E
+39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E
+FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15
+0E 12 12 12 C4 21 84 12 2E C9 96 C9 F2 C7 34 C4
+DA D1 52 CA 34 C4 F4 D1 EE D1 DC D1 3C 4E 3C 80
+87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
+1B 17 30 41 F6 D1 B2 41 C4 21 3E 41 84 12 0A C4
+2B 00 2E C9 96 C9 F2 C7 34 C4 12 D2 52 CA 34 C4
+14 CC BC C7 2E C9 52 CA 34 C4 14 CC 1E D2 3E 5F
+E7 3F 3E 40 28 00 B0 12 BE D1 19 42 C6 21 A2 53
+C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21
+02 20 30 40 82 CD 1C 15 12 12 C4 21 92 53 C4 21
+84 12 2E C9 52 CA 34 C4 66 D2 5C D2 21 53 3E 90
+10 00 C6 2B 7F 2D 68 D2 B2 41 C4 21 C1 3F 0D 12
+84 12 16 CC 9A D1 78 D2 0C 43 1B 42 C6 21 A2 53
+C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21
+B0 12 BE D1 3C 40 00 03 0E 93 1C 24 3C 40 10 03
+1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
+2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
+3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21
+89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
+3C 40 10 02 92 53 C4 21 B0 12 BE D1 ED 3F 7A 90
+40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12 46 D2
+0C 20 3C 50 10 00 3E 40 2B 00 B0 12 46 D2 92 92
+C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F
+B0 12 46 D2 FA 23 3C 50 10 00 B0 12 22 D2 EF 3F
+0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12 16 CC
+9A D1 44 D3 FE 90 26 00 00 00 3E 40 20 00 03 20
+3C 50 82 00 C7 3F B0 12 46 D2 E0 23 3C 50 80 00
+B0 12 22 D2 DB 3F 00 00 04 52 45 54 49 00 0D 12
+84 12 0A C4 00 13 5C CB 62 C8 0A C4 2C 00 6E D2
+3A D3 84 D3 09 4B 2E 4E 0E DC A2 3F 54 CE 03 4D
+4F 56 85 12 7A D3 00 40 8E D3 05 4D 4F 56 2E 42
+85 12 7A D3 40 40 00 00 03 41 44 44 85 12 7A D3
+00 50 A8 D3 05 41 44 44 2E 42 85 12 7A D3 40 50
+B4 D3 04 41 44 44 43 00 85 12 7A D3 00 60 C2 D3
+06 41 44 44 43 2E 42 00 85 12 7A D3 40 60 68 D3
+04 53 55 42 43 00 85 12 7A D3 00 70 E0 D3 06 53
+55 42 43 2E 42 00 85 12 7A D3 40 70 EE D3 03 53
+55 42 85 12 7A D3 00 80 FE D3 05 53 55 42 2E 42
+85 12 7A D3 40 80 2A CE 03 43 4D 50 85 12 7A D3
+00 90 18 D4 05 43 4D 50 2E 42 85 12 7A D3 40 90
+14 CE 04 44 41 44 44 00 85 12 7A D3 00 A0 32 D4
+06 44 41 44 44 2E 42 00 85 12 7A D3 40 A0 24 D4
+03 42 49 54 85 12 7A D3 00 B0 50 D4 05 42 49 54
+2E 42 85 12 7A D3 40 B0 5C D4 03 42 49 43 85 12
+7A D3 00 C0 6A D4 05 42 49 43 2E 42 85 12 7A D3
+40 C0 76 D4 03 42 49 53 85 12 7A D3 00 D0 84 D4
+05 42 49 53 2E 42 85 12 7A D3 40 D0 00 00 03 58
+4F 52 85 12 7A D3 00 E0 9E D4 05 58 4F 52 2E 42
+85 12 7A D3 40 E0 D0 D3 03 41 4E 44 85 12 7A D3
+00 F0 B8 D4 05 41 4E 44 2E 42 85 12 7A D3 40 F0
+16 CC 6E D2 D6 D4 0A 4C 3C F0 70 00 8A 10 3A F0
+0F 00 0C DA 4F 3F 0A D4 03 52 52 43 85 12 D0 D4
+00 10 E8 D4 05 52 52 43 2E 42 85 12 D0 D4 40 10
+F4 D4 04 53 57 50 42 00 85 12 D0 D4 80 10 02 D5
+03 52 52 41 85 12 D0 D4 00 11 10 D5 05 52 52 41
+2E 42 85 12 D0 D4 40 11 1C D5 03 53 58 54 85 12
+D0 D4 80 11 00 00 04 50 55 53 48 00 85 12 D0 D4
+00 12 36 D5 06 50 55 53 48 2E 42 00 85 12 D0 D4
+40 12 90 D4 04 43 41 4C 4C 00 85 12 D0 D4 80 12
+1A 53 0E 4A 0D 12 84 12 D8 C8 14 C4 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 36 C5 2A D5 03 53
+3E 3D 86 12 00 38 7E D5 02 53 3C 00 86 12 00 34
+44 D5 03 30 3E 3D 86 12 00 30 92 D5 02 30 3C 00
+86 12 00 30 00 00 02 55 3C 00 86 12 00 2C A6 D5
+03 55 3E 3D 86 12 00 28 9C D5 03 30 3C 3E 86 12
+00 24 BA D5 02 30 3D 00 86 12 00 20 00 00 02 49
+46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A
+30 4D B0 D5 04 54 48 45 4E 00 1A 42 C6 21 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
+88 DA 00 00 30 4D 40 D4 04 45 4C 53 45 00 1A 42
+C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A
+00 00 E3 3F 54 D5 05 42 45 47 49 4E 30 40 28 C4
+E4 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D C4 D4
+05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
+05 57 48 49 4C 45 0D 12 84 12 D2 D5 BC C7 62 C8
+88 D5 06 52 45 50 45 41 54 00 0D 12 84 12 66 D6
+EA D5 62 C8 96 D6 3D 41 08 4E 3E 4F 2A 48 B2 92
+C4 21 CB 2F 98 42 C6 21 00 00 30 4D 26 D6 03 42
+57 31 85 12 94 D6 00 00 AE D6 03 42 57 32 85 12
+94 D6 00 00 BA D6 03 42 57 33 85 12 94 D6 00 00
+D2 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B
+BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D
+00 00 03 46 57 31 85 12 D0 D6 00 00 F2 D6 03 46
+57 32 85 12 D0 D6 00 00 FE D6 03 46 57 33 85 12
+D0 D6 00 00 0A D7 04 47 4F 54 4F 00 2F 83 8F 4E
+00 00 3E 40 00 3C 0D 12 84 12 94 CC F0 CB 62 C8
+00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
+00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 16 CC
+9A D1 54 D7 92 53 C4 21 3E 40 2C 00 84 12 2E C9
+52 CA 34 C4 14 CC 30 D3 6A D7 0A 4E 3E 4F 1A 83
+F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
+08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
+8A 10 5A 06 A6 3E 82 D6 04 52 52 43 4D 00 85 12
+4E D7 50 00 98 D7 04 52 52 41 4D 00 85 12 4E D7
+50 01 A6 D7 04 52 4C 41 4D 00 85 12 4E D7 50 02
+B4 D7 04 52 52 55 4D 00 85 12 4E D7 50 03 C4 D5
+05 50 55 53 48 4D 85 12 4E D7 00 15 D0 D7 04 50
+4F 50 4D 00 85 12 4E D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +331,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 96 C6 02 C6 02 C6 02 C6 02 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 24 D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 1C D1
 q
index 4ee0e69..d287915 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF EE D7 0A D0 33 01
-10 00 81 06 B4 C5 AA C4 B6 C5 8A C5 82 C6 EE D7
-0A D0 70 C6 92 C7 FE C6 DA C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 28 CC 42 CC 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF D6 D7 F2 CF 34 01
+10 00 41 07 B6 C5 AA C4 B8 C5 8C C5 84 C6 D6 D7
+F2 CF 72 C6 82 C7 00 C7 DC C6 3C 21 50 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6E C6 B2 49 90 C7 B2 49 FC C6 B2 49 D8 C6
+B2 49 70 C6 B2 49 80 C7 B2 49 FE C6 B2 49 DA C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 E0 C7 16 C7 4A C7 34 C4 28 CC 14 C4
-05 1B 5B 37 6D 40 5C C7 0A C4 02 18 E0 C7 D6 C8
-A8 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5C C7 A0 C8 5C C7 14 C4
-04 1B 5B 30 6D 00 5C C7 28 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 F2 B0 10 00 00 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12
-8A C5 78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D
-0A 1B 5B 37 6D 40 5C C7 0A C4 02 18 E0 C7 D6 C8
-0A C4 23 00 FA C6 D6 C8 14 C4 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 5C C7 0A C4 40 FF 28 C4 D4 C7 A0 C8
-14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
-7C C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
-3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
-58 43 B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
-3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
-04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
-38 40 05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
-F1 3F 2C C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 00 00 04 54 59 50 45 00 0E 93 11 24
-0D 12 3D 40 78 C7 28 4F 2F 83 8F 4E 00 00 7E 48
-8F 48 02 00 10 42 FC C6 7A C7 2D 83 1E 83 F3 23
-3D 41 2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40
-92 C7 0D 12 84 12 14 C4 02 0D 0A 00 5C C7 60 C8
+B2 49 DC 21 3D 41 30 40 BE D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 D0 C7 18 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4C C7 0A C4 02 18 D0 C7
+C6 C8 98 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4C C7 90 C8 4C C7
+14 C4 04 1B 5B 30 6D 00 4C C7 18 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 F2 B0 10 00 00 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00
+B0 12 8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4
+07 0D 0A 1B 5B 37 6D 40 4C C7 0A C4 02 18 D0 C7
+C6 C8 0A C4 23 00 FC C6 C6 C8 14 C4 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 4C C7 0A C4 40 FF 28 C4 C4 C7
+90 C8 14 C4 0A 62 79 74 65 73 20 66 72 65 65 00
+3A C4 7E C5 00 00 06 41 43 43 45 50 54 00 30 40
+72 C6 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05
+B7 22 3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC C6 2F 83 8F 4E
+00 00 58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42
+4C 05 30 4D 00 00 04 45 4D 49 54 00 30 40 00 C7
+08 4E 3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D
+F6 C6 04 45 43 48 4F 00 B2 40 C2 48 0A C7 82 43
+DE 21 38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A C7 92 43 DE 21
+28 42 F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24
+0D 12 3D 40 68 C7 28 4F 2F 83 8F 4E 00 00 7E 48
+8F 48 02 00 10 42 FE C6 6A C7 2D 83 1E 83 F3 23
+3D 41 2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40
+82 C7 0D 12 84 12 14 C4 02 0D 0A 00 4C C7 50 C8
 2F 83 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E
 FE FF AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00
 0E 4A 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11
-2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40
-2E 4E 30 4D DE C7 01 21 BE 4F 00 00 3E 4F 30 4D
+2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 66 C6 01 40
+2E 4E 30 4D CE C7 01 21 BE 4F 00 00 3E 4F 30 4D
 1E 83 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F
 03 24 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00
-B2 40 B2 21 B2 21 30 4D 8A C7 01 23 1B 42 DC 21
+B2 40 B2 21 B2 21 30 4D 7A C7 01 23 1B 42 DC 21
 2C 4F 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00
 02 28 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42
-B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
-84 12 1C C8 56 C8 2D 83 09 93 E2 23 0E 93 E0 23
-3D 41 30 4D 4A C8 02 23 3E 00 9F 42 B2 21 00 00
+B2 21 C8 4A 00 00 30 4D 0A C8 02 23 53 00 0D 12
+84 12 0C C8 46 C8 2D 83 09 93 E2 23 0E 93 E0 23
+3D 41 30 4D 3A C8 02 23 3E 00 9F 42 B2 21 00 00
 3E 40 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00
 4A 4E 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93
-3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
+3E 4F 7A 40 2D 00 D1 33 30 4D 46 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 24 CC FA C6
-60 C8 44 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
+00 C8 3E C8 EE C4 7E C8 5A C8 4C C7 04 CC FC C6
+50 C8 2E C7 01 2E 0E 93 E3 37 38 43 E2 3F 78 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
-5A CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
+4A CB 0A C4 22 00 1C C9 EA C8 B2 40 20 00 B4 21
 6E 4E 1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D
-D4 C8 82 2E 22 00 0D 12 84 12 E4 C8 0A C4 5C C7
-5A CB 60 C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21
+C4 C8 82 2E 22 00 0D 12 84 12 D4 C8 0A C4 4C C7
+4A CB 50 C8 FA C5 04 57 4F 52 44 00 3C 40 C0 21
 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A
 FC 27 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00
 00 00 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A
@@ -105,12 +104,12 @@ CA 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
 22 C3 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
 E3 23 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D
-32 C0 00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AE CA
+32 C0 00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9E CA
 09 43 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90
 27 00 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90
 03 00 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43
 02 00 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F B0 CA
+3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F A0 CA
 31 24 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20
 32 D0 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20
 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
@@ -122,214 +121,214 @@ B0 12 66 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 C7 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 48 CB 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
-30 4D 66 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
-00 00 5E 4E FF FF 30 4D 7A C8 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C4 24 CC 2C C9 E0 CB
-94 26 3D 40 E8 CB D6 3E EA CB 0A 4E 3E 4F 3D 40
-04 CC 2E 27 3D 40 DA CB 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 CC 3E 4F 3D 40 DA CB BB 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CD
-CC 3F 0E CC 86 12 20 00 3F 40 80 20 0E 43 31 40
-E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E C7
-BC C4 D4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
+30 4D 56 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
+00 00 5E 4E FF FF 30 4D 6A C8 09 49 4E 54 45 52
+50 52 45 54 0D 12 84 12 AC C4 04 CC 1C C9 C0 CB
+9C 26 3D 40 C8 CB DE 3E CA CB 0A 4E 3E 4F 3D 40
+E4 CB 36 27 3D 40 BA CB 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 E6 CB 3E 4F 3D 40 BA CB BB 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 86 CD
+CC 3F EE CB 86 12 20 00 D6 C7 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
+E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 7E C7
+BC C4 B4 CB B4 C7 E6 C7 14 C4 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4
-FE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C5 3A C4 3E CC 6C CB 86 41 42 4F 52 54 22 00
-0D 12 84 12 E4 C8 0A C4 2A C5 5A CB 60 C8 8E C9
-01 27 0D 12 84 12 24 CC 2C C9 94 C9 34 C4 22 CC
-60 C8 00 00 83 5B 27 5D 0D 12 84 12 92 CC 0A C4
-0A C4 5A CB 5A CB 60 C8 A4 CC 81 5B 82 43 BE 21
-30 4D 0C C8 01 5D B2 43 BE 21 30 4D C4 CC 81 5C
+EE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
+2A C5 3A C4 2E CC 0A CC 86 41 42 4F 52 54 22 00
+0D 12 84 12 D4 C8 0A C4 2A C5 4A CB 50 C8 7E C9
+01 27 0D 12 84 12 04 CC 1C C9 84 C9 34 C4 02 CC
+50 C8 00 00 83 5B 27 5D 0D 12 84 12 82 CC 0A C4
+0A C4 4A CB 4A CB 50 C8 94 CC 81 5B 82 43 BE 21
+30 4D FC C7 01 5D B2 43 BE 21 30 4D B4 CC 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CC 2C C9 94 C9 A8 C7
-34 C4 22 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
-5A CB 0A C4 5A CB 5A CB 60 C8 BA CC 01 3A 30 12
-56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 CC
-2C C9 24 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
+4F 4E 45 00 0D 12 84 12 04 CC 1C C9 84 C9 98 C7
+34 C4 02 CC E6 C7 34 C4 F6 CC 0A C4 0A C4 4A CB
+4A CB 0A C4 4A CB 4A CB 50 C8 AA CC 01 3A 30 12
+46 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 04 CC
+1C C9 14 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
 82 4A C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12
 FE FF B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42
 B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 36 C5 0C CD 81 3B 82 93 BE 21
-97 27 0D 12 84 12 0A C4 60 C8 5A CB 68 CD BC CC
-60 C8 CA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 CB 06 43 52 45
-41 54 45 00 B0 12 12 CD BA 40 86 12 FC FF 8A 4A
-FE FF C9 3F CC CD 04 43 4F 44 45 00 B0 12 12 CD
-A2 82 C6 21 0D 12 84 12 0C D0 E6 CF 60 C8 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD 26 D0
-60 C8 78 CC 03 41 53 4D B2 40 EA CF DA 21 E6 3F
-00 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CE
-44 D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 D0 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 CD 85 48 49 32
-4C 4F 0D 12 84 12 28 C4 B4 CF 5A CB BC CC F4 CD
-60 C8 9A CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
-00 00 D8 C7 24 CC 2C C9 BA CB A0 C7 34 C4 1C CF
-AE C7 14 C4 06 5B 54 48 45 4E 5D 00 8E CE F6 CE
-B2 CE D4 CE 60 C8 AE C7 14 C4 06 5B 45 4C 53 45
-5D 00 8E CE 0C CF B2 CE D2 CE 60 C8 14 C4 04 5B
-49 46 5D 00 8E CE D4 CE 3A C4 D2 CE 82 C7 14 C4
-05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4 D4 CE
-C2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CF 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CC 2C C9 94 C9 40 CF 60 C8 46 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CF F0 C7 60 C8 78 CF B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C CB 06 4D 41 52 4B 45 52 00
-B0 12 12 CD BA 40 85 12 FC FF BA 40 76 CF FE FF
-28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 E8 C7 60 C8
-85 12 B8 CF 14 CE E6 CD 10 C7 90 CC 6C CE D2 C6
-88 CF 12 C9 B0 D0 C4 D0 9C C8 26 C9 00 00 60 CF
-CE CC F4 C9 00 00 85 12 B8 CF 64 D6 CA D6 0C D6
-1A D7 D2 D5 00 00 9E D3 00 00 E2 D7 C6 D7 36 D6
-74 D6 AE D4 00 00 00 00 36 D7 E4 CF 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 CF 3E D0
-44 D0 54 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CC 09 50 57 52 5F 53
-54 41 54 45 85 12 4C D0 EE D7 E0 C8 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 D0 F3 3F 8A D0
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 D0
-30 4D 9C D0 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 D1 FE FF 29 83 B9 40 E0 C5 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 E2 C5 B2 49 FA C4
-B2 49 02 C4 B2 49 00 C6 B2 49 E0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 43 02 02 B2 D3 06 02
-D2 43 24 02 F2 D3 26 02 F2 40 FD 00 22 02 B2 40
-00 A5 60 01 B2 40 F3 00 80 01 B2 40 07 00 82 01
-B2 40 FC 00 84 01 39 40 80 00 B2 D0 10 00 86 01
-38 40 17 11 18 83 FE 23 19 83 FA 23 1E 42 08 18
-82 43 08 18 1E D2 5E 01 B0 12 F8 C4 FE C5 38 40
-C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
-03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
-30 4D 1C 15 0E 12 12 12 C4 21 84 12 2C C9 94 C9
-F0 C7 34 C4 DE D1 50 CA 34 C4 F8 D1 F2 D1 E0 D1
-3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
-2E 83 21 52 1B 17 30 41 FA D1 B2 41 C4 21 3E 41
-84 12 0A C4 2B 00 2C C9 94 C9 F0 C7 34 C4 16 D2
-50 CA 34 C4 22 CC BA C7 2C C9 50 CA 34 C4 22 CC
-22 D2 3E 5F E7 3F 3E 40 28 00 B0 12 C2 D1 19 42
-C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
-C0 21 C4 21 02 20 30 40 80 CD 1C 15 12 12 C4 21
-92 53 C4 21 84 12 2C C9 50 CA 34 C4 6A D2 60 D2
-21 53 3E 90 10 00 C6 2B 7F 2D 6C D2 B2 41 C4 21
-C1 3F 0D 12 84 12 24 CC 9E D1 7C D2 0C 43 1B 42
-C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
-92 53 C4 21 B0 12 C2 D1 3C 40 00 03 0E 93 1C 24
-3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
-3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
-3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
-26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 C2 D1
-ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
-B0 12 4A D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
-4A D2 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
-0C 5E DA 3F B0 12 4A D2 FA 23 3C 50 10 00 B0 12
-26 D2 EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
-84 12 24 CC 9E D1 48 D3 FE 90 26 00 00 00 3E 40
-20 00 03 20 3C 50 82 00 C7 3F B0 12 4A D2 E0 23
-3C 50 80 00 B0 12 26 D2 DB 3F 00 00 04 52 45 54
-49 00 0D 12 84 12 0A C4 00 13 5A CB 60 C8 0A C4
-2C 00 72 D2 3E D3 88 D3 09 4B 2E 4E 0E DC A2 3F
-5A CE 03 4D 4F 56 85 12 7E D3 00 40 92 D3 05 4D
-4F 56 2E 42 85 12 7E D3 40 40 00 00 03 41 44 44
-85 12 7E D3 00 50 AC D3 05 41 44 44 2E 42 85 12
-7E D3 40 50 B8 D3 04 41 44 44 43 00 85 12 7E D3
-00 60 C6 D3 06 41 44 44 43 2E 42 00 85 12 7E D3
-40 60 6C D3 04 53 55 42 43 00 85 12 7E D3 00 70
-E4 D3 06 53 55 42 43 2E 42 00 85 12 7E D3 40 70
-F2 D3 03 53 55 42 85 12 7E D3 00 80 02 D4 05 53
-55 42 2E 42 85 12 7E D3 40 80 36 CE 03 43 4D 50
-85 12 7E D3 00 90 1C D4 05 43 4D 50 2E 42 85 12
-7E D3 40 90 22 CE 04 44 41 44 44 00 85 12 7E D3
-00 A0 36 D4 06 44 41 44 44 2E 42 00 85 12 7E D3
-40 A0 28 D4 03 42 49 54 85 12 7E D3 00 B0 54 D4
-05 42 49 54 2E 42 85 12 7E D3 40 B0 60 D4 03 42
-49 43 85 12 7E D3 00 C0 6E D4 05 42 49 43 2E 42
-85 12 7E D3 40 C0 7A D4 03 42 49 53 85 12 7E D3
-00 D0 88 D4 05 42 49 53 2E 42 85 12 7E D3 40 D0
-00 00 03 58 4F 52 85 12 7E D3 00 E0 A2 D4 05 58
-4F 52 2E 42 85 12 7E D3 40 E0 D4 D3 03 41 4E 44
-85 12 7E D3 00 F0 BC D4 05 41 4E 44 2E 42 85 12
-7E D3 40 F0 24 CC 72 D2 DA D4 0A 4C 3C F0 70 00
-8A 10 3A F0 0F 00 0C DA 4F 3F 0E D4 03 52 52 43
-85 12 D4 D4 00 10 EC D4 05 52 52 43 2E 42 85 12
-D4 D4 40 10 F8 D4 04 53 57 50 42 00 85 12 D4 D4
-80 10 06 D5 03 52 52 41 85 12 D4 D4 00 11 14 D5
-05 52 52 41 2E 42 85 12 D4 D4 40 11 20 D5 03 53
-58 54 85 12 D4 D4 80 11 00 00 04 50 55 53 48 00
-85 12 D4 D4 00 12 3A D5 06 50 55 53 48 2E 42 00
-85 12 D4 D4 40 12 94 D4 04 43 41 4C 4C 00 85 12
-D4 D4 80 12 1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4
-0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5
-2E D5 03 53 3E 3D 86 12 00 38 82 D5 02 53 3C 00
-86 12 00 34 48 D5 03 30 3E 3D 86 12 00 30 96 D5
-02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
-00 2C AA D5 03 55 3E 3D 86 12 00 28 A0 D5 03 30
-3C 3E 86 12 00 24 BE D5 02 30 3D 00 86 12 00 20
-00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
-C6 21 0E 4A 30 4D B4 D5 04 54 48 45 4E 00 1A 42
-C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 B1 2F 88 DA 00 00 30 4D 44 D4 04 45 4C 53
-45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
-2F 83 8F 4A 00 00 E3 3F 58 D5 05 42 45 47 49 4E
-30 40 28 C4 E8 D5 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
-8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
-30 4D C8 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C
-E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 D6 D5
-BA C7 60 C8 8C D5 06 52 45 50 45 41 54 00 0D 12
-84 12 6A D6 EE D5 60 C8 9A D6 3D 41 08 4E 3E 4F
-2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
-2A D6 03 42 57 31 85 12 98 D6 00 00 B2 D6 03 42
-57 32 85 12 98 D6 00 00 BE D6 03 42 57 33 85 12
-98 D6 00 00 D6 D6 3D 41 1A 42 C6 21 28 4E B2 92
-C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
-3E 4F 30 4D 00 00 03 46 57 31 85 12 D4 D6 00 00
-F6 D6 03 46 57 32 85 12 D4 D6 00 00 02 D7 03 46
-57 33 85 12 D4 D6 00 00 0E D7 04 47 4F 54 4F 00
-2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 CC
-FE CB 60 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30
-F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
-EC 3F 24 CC 9E D1 58 D7 92 53 C4 21 3E 40 2C 00
-84 12 2C C9 50 CA 34 C4 22 CC 34 D3 6E D7 0A 4E
-3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
-01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
-2A 92 E8 2E 8A 10 5A 06 A6 3E 86 D6 04 52 52 43
-4D 00 85 12 52 D7 50 00 9C D7 04 52 52 41 4D 00
-85 12 52 D7 50 01 AA D7 04 52 4C 41 4D 00 85 12
-52 D7 50 02 B8 D7 04 52 52 55 4D 00 85 12 52 D7
-50 03 C8 D5 05 50 55 53 48 4D 85 12 52 D7 00 15
-D4 D7 04 50 4F 50 4D 00 85 12 52 D7 00 17
+6D 61 74 63 68 21 36 C5 FC CC 81 3B 82 93 BE 21
+97 27 0D 12 84 12 0A C4 50 C8 4A CB 58 CD AC CC
+50 C8 AA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D 94 CB 06 43 52 45
+41 54 45 00 B0 12 02 CD BA 40 86 12 FC FF 8A 4A
+FE FF C9 3F BC CD 04 43 4F 44 45 00 B0 12 02 CD
+A2 82 C6 21 0D 12 84 12 F4 CF CE CF 50 C8 A4 CD
+07 48 44 4E 43 4F 44 45 B2 40 D2 CF DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 58 CD
+0E D0 2C D0 50 C8 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 0E D0 2C D0 50 C8
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F F0 CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4
+9C CF 4A CB AC CC E4 CD 50 C8 8A CD 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 6C CE 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C4 00 00 C8 C7 04 CC 1C C9
+9A CB 90 C7 34 C4 04 CF 9E C7 14 C4 06 5B 54 48
+45 4E 5D 00 76 CE DE CE 9A CE BC CE 50 C8 9E C7
+14 C4 06 5B 45 4C 53 45 5D 00 76 CE F4 CE 9A CE
+BA CE 50 C8 14 C4 04 5B 49 46 5D 00 76 CE BC CE
+3A C4 BA CE 72 C7 14 C4 05 0D 0A 6B 6F 20 4C C7
+BC C4 AC C4 3A C4 BC CE AA CE 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 1A CF 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 04 CC 1C C9
+84 C9 28 CF 50 C8 2E CF 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 38 CF E0 C7 50 C8 60 CF
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5C CB
+06 4D 41 52 4B 45 52 00 B0 12 02 CD BA 40 85 12
+FC FF BA 40 5E CF FE FF 28 83 8A 48 00 00 BA 40
+AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A C4 CA 21 D8 C7 50 C8 85 12 A0 CF 68 CC D6 CD
+12 C7 80 CC 54 CE D4 C6 70 CF 02 C9 98 D0 AC D0
+8C C8 16 C9 00 00 48 CF BE CC E4 C9 00 00 85 12
+A0 CF 4C D6 B2 D6 F4 D5 02 D7 BA D5 00 00 86 D3
+00 00 CA D7 AE D7 1E D6 5C D6 96 D4 00 00 00 00
+1E D7 CC CF 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D A8 CF 26 D0 2C D0 3C D0 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+CA CC 09 50 57 52 5F 53 54 41 54 45 85 12 34 D0
+D6 D7 D0 C8 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 80 D0 F3 3F 72 D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 80 D0 30 4D 84 D0 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 0A D1 FE FF 29 83
+B9 40 E2 C5 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 E4 C5 B2 49 FA C4 B2 49 02 C4 B2 49 02 C6
+B2 49 E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 43 02 02 B2 D3 06 02 D2 43 24 02 F2 D3 26 02
+F2 40 FD 00 22 02 B2 40 00 A5 60 01 B2 40 F3 00
+80 01 B2 40 07 00 82 01 B2 40 FC 00 84 01 39 40
+80 00 B2 D0 10 00 86 01 38 40 17 11 18 83 FE 23
+19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
+B0 12 F8 C4 00 C6 38 40 C0 21 0A 4E 39 48 2E 48
+09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
+0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
+C4 21 84 12 1C C9 84 C9 E0 C7 34 C4 C6 D1 40 CA
+34 C4 E0 D1 DA D1 C8 D1 3C 4E 3C 80 87 12 05 24
+1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
+E2 D1 B2 41 C4 21 3E 41 84 12 0A C4 2B 00 1C C9
+84 C9 E0 C7 34 C4 FE D1 40 CA 34 C4 02 CC AA C7
+1C C9 40 CA 34 C4 02 CC 0A D2 3E 5F E7 3F 3E 40
+28 00 B0 12 AA D1 19 42 C6 21 A2 53 C6 21 89 4E
+00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
+70 CD 1C 15 12 12 C4 21 92 53 C4 21 84 12 1C C9
+40 CA 34 C4 52 D2 48 D2 21 53 3E 90 10 00 C6 2B
+7F 2D 54 D2 B2 41 C4 21 C1 3F 0D 12 84 12 04 CC
+86 D1 64 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
+3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 AA D1
+3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
+3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
+3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
+3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
+92 53 C4 21 B0 12 AA D1 ED 3F 7A 90 40 00 16 20
+3C 40 20 00 92 53 C4 21 B0 12 32 D2 0C 20 3C 50
+10 00 3E 40 2B 00 B0 12 32 D2 92 92 C0 21 C4 21
+02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 32 D2
+FA 23 3C 50 10 00 B0 12 0E D2 EF 3F 0C 43 1B 42
+C6 21 A2 53 C6 21 0D 12 84 12 04 CC 86 D1 30 D3
+FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
+C7 3F B0 12 32 D2 E0 23 3C 50 80 00 B0 12 0E D2
+DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A C4
+00 13 4A CB 50 C8 0A C4 2C 00 5A D2 26 D3 70 D3
+09 4B 2E 4E 0E DC A2 3F 42 CE 03 4D 4F 56 85 12
+66 D3 00 40 7A D3 05 4D 4F 56 2E 42 85 12 66 D3
+40 40 00 00 03 41 44 44 85 12 66 D3 00 50 94 D3
+05 41 44 44 2E 42 85 12 66 D3 40 50 A0 D3 04 41
+44 44 43 00 85 12 66 D3 00 60 AE D3 06 41 44 44
+43 2E 42 00 85 12 66 D3 40 60 54 D3 04 53 55 42
+43 00 85 12 66 D3 00 70 CC D3 06 53 55 42 43 2E
+42 00 85 12 66 D3 40 70 DA D3 03 53 55 42 85 12
+66 D3 00 80 EA D3 05 53 55 42 2E 42 85 12 66 D3
+40 80 18 CE 03 43 4D 50 85 12 66 D3 00 90 04 D4
+05 43 4D 50 2E 42 85 12 66 D3 40 90 02 CE 04 44
+41 44 44 00 85 12 66 D3 00 A0 1E D4 06 44 41 44
+44 2E 42 00 85 12 66 D3 40 A0 10 D4 03 42 49 54
+85 12 66 D3 00 B0 3C D4 05 42 49 54 2E 42 85 12
+66 D3 40 B0 48 D4 03 42 49 43 85 12 66 D3 00 C0
+56 D4 05 42 49 43 2E 42 85 12 66 D3 40 C0 62 D4
+03 42 49 53 85 12 66 D3 00 D0 70 D4 05 42 49 53
+2E 42 85 12 66 D3 40 D0 00 00 03 58 4F 52 85 12
+66 D3 00 E0 8A D4 05 58 4F 52 2E 42 85 12 66 D3
+40 E0 BC D3 03 41 4E 44 85 12 66 D3 00 F0 A4 D4
+05 41 4E 44 2E 42 85 12 66 D3 40 F0 04 CC 5A D2
+C2 D4 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
+4F 3F F6 D3 03 52 52 43 85 12 BC D4 00 10 D4 D4
+05 52 52 43 2E 42 85 12 BC D4 40 10 E0 D4 04 53
+57 50 42 00 85 12 BC D4 80 10 EE D4 03 52 52 41
+85 12 BC D4 00 11 FC D4 05 52 52 41 2E 42 85 12
+BC D4 40 11 08 D5 03 53 58 54 85 12 BC D4 80 11
+00 00 04 50 55 53 48 00 85 12 BC D4 00 12 22 D5
+06 50 55 53 48 2E 42 00 85 12 BC D4 40 12 7C D4
+04 43 41 4C 4C 00 85 12 BC D4 80 12 1A 53 0E 4A
+0D 12 84 12 C6 C8 14 C4 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 36 C5 16 D5 03 53 3E 3D 86 12
+00 38 6A D5 02 53 3C 00 86 12 00 34 30 D5 03 30
+3E 3D 86 12 00 30 7E D5 02 30 3C 00 86 12 00 30
+00 00 02 55 3C 00 86 12 00 2C 92 D5 03 55 3E 3D
+86 12 00 28 88 D5 03 30 3C 3E 86 12 00 24 A6 D5
+02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
+C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D 9C D5
+04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
+30 4D 2C D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40
+00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
+40 D5 05 42 45 47 49 4E 30 40 28 C4 D0 D5 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
+0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 C6 21 30 4D B0 D4 05 41 47 41
+49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
+4C 45 0D 12 84 12 BE D5 AA C7 50 C8 74 D5 06 52
+45 50 45 41 54 00 0D 12 84 12 52 D6 D6 D5 50 C8
+82 D6 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
+98 42 C6 21 00 00 30 4D 12 D6 03 42 57 31 85 12
+80 D6 00 00 9A D6 03 42 57 32 85 12 80 D6 00 00
+A6 D6 03 42 57 33 85 12 80 D6 00 00 BE D6 3D 41
+1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
+A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
+57 31 85 12 BC D6 00 00 DE D6 03 46 57 32 85 12
+BC D6 00 00 EA D6 03 46 57 33 85 12 BC D6 00 00
+F6 D6 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
+00 3C 0D 12 84 12 82 CC DE CB 50 C8 00 00 05 3F
+47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
+00 10 EF 27 3E E0 00 08 EC 3F 04 CC 86 D1 40 D7
+92 53 C4 21 3E 40 2C 00 84 12 1C C9 40 CA 34 C4
+02 CC 1C D3 56 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E
+59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
+10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
+A6 3E 6E D6 04 52 52 43 4D 00 85 12 3A D7 50 00
+84 D7 04 52 52 41 4D 00 85 12 3A D7 50 01 92 D7
+04 52 4C 41 4D 00 85 12 3A D7 50 02 A0 D7 04 52
+52 55 4D 00 85 12 3A D7 50 03 B0 D5 05 50 55 53
+48 4D 85 12 3A D7 00 15 BC D7 04 50 4F 50 4D 00
+85 12 3A D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-82 C6 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 22 D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+84 C6 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 0A D1
 q
index 65d25ed..b6fc881 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF F4 D7 0C D0 33 01
-10 00 81 36 94 C5 AA C4 C6 C5 9C C5 96 C6 F4 D7
-0C D0 7C C6 94 C7 26 C7 00 C7 3C 21 62 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 2A CC 44 CC 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF EC D7 04 D0 34 01
+10 00 41 33 94 C5 AA C4 DA C5 9C C5 96 C6 EC D7
+04 D0 7C C6 94 C7 26 C7 00 C7 3C 21 62 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 7A C6 B2 49 92 C7 B2 49 24 C7 B2 49 FE C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D8 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 D0 D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E2 C7 34 C7
 14 C4 04 1B 5B 37 6D 00 5E C7 AA C7 34 C4 86 C5
@@ -52,7 +52,7 @@ FD 27 C2 48 0E 05 30 4D CA C6 2D 83 92 B3 1C 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 1C 05 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53
 DE 21 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 C7
-2F 83 8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27
 1E 42 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 C7 08 4E 3E 4F C8 3F 1C C7 04 45
 43 48 4F 00 B2 40 C2 48 C2 C6 82 43 DE 21 30 4D
@@ -80,7 +80,7 @@ E0 23 3D 41 30 4D 4C C8 02 23 3E 00 9F 42 B2 21
 0E 93 3E 4F 7A 40 2D 00 D1 33 30 4D 58 C7 02 55
 2E 00 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12
 3E F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
-84 12 12 C8 50 C8 EE C4 90 C8 6C C8 5E C7 26 CC
+84 12 12 C8 50 C8 EE C4 90 C8 6C C8 5E C7 16 CC
 22 C7 62 C8 42 C7 01 2E 0E 93 E3 37 38 43 E2 3F
 8A C8 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4
 14 C4 5C CB 0A C4 22 00 2E C9 FC C8 B2 40 20 00
@@ -122,35 +122,35 @@ AF 4F 04 00 4A 93 2B 17 0E 4C 82 4B DC 21 06 24
 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
 00 02 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21
-8A 4E 00 00 A2 53 C6 21 3E 4F 30 4D E8 C7 05 41
-4C 4C 4F 54 82 5E C6 21 3E 4F 30 4D 5A CB 87 4C
+8A 4E 00 00 A2 53 C6 21 3E 4F 30 4D 5A CB 87 4C
 49 54 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42
 C6 21 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00
 3E 4F 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00
 EE 3F 30 4D 68 C8 05 43 4F 55 4E 54 2F 83 1E 53
 8F 4E 00 00 5E 4E FF FF 30 4D 7C C8 09 49 4E 54
-45 52 50 52 45 54 0D 12 84 12 AC C4 26 CC 2E C9
-E2 CB 94 26 3D 40 EA CB D6 3E EC CB 0A 4E 3E 4F
-3D 40 06 CC 2E 27 3D 40 DC CB 1A E2 BE 21 AE 27
-0E 12 3E 4F 30 41 08 CC 3E 4F 3D 40 DC CB BB 23
+45 52 50 52 45 54 0D 12 84 12 AC C4 16 CC 2E C9
+D2 CB 9C 26 3D 40 DA CB DE 3E DC CB 0A 4E 3E 4F
+3D 40 F6 CB 36 27 3D 40 CC CB 1A E2 BE 21 B6 27
+0E 12 3E 4F 30 41 F8 CB 3E 4F 3D 40 CC CB BB 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-98 CD CC 3F 10 CC 86 12 20 00 3F 40 80 20 0E 43
+98 CD CC 3F 00 CC 86 12 20 00 E8 C7 05 41 4C 4C
+4F 54 82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43
 31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12
-90 C7 BC C4 D6 CB C6 C7 F8 C7 14 C4 0C 73 74 61
+90 C7 BC C4 C6 CB C6 C7 F8 C7 14 C4 0C 73 74 61
 63 6B 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF
 28 C4 00 C8 14 C4 0A 46 52 41 4D 20 66 75 6C 6C
-21 00 2A C5 3A C4 40 CC 6E CB 86 41 42 4F 52 54
+21 00 2A C5 3A C4 40 CC 1C CC 86 41 42 4F 52 54
 22 00 0D 12 84 12 E6 C8 0A C4 2A C5 5C CB 62 C8
-90 C9 01 27 0D 12 84 12 26 CC 2E C9 96 C9 34 C4
-24 CC 62 C8 00 00 83 5B 27 5D 0D 12 84 12 94 CC
+90 C9 01 27 0D 12 84 12 16 CC 2E C9 96 C9 34 C4
+14 CC 62 C8 00 00 83 5B 27 5D 0D 12 84 12 94 CC
 0A C4 0A C4 5C CB 5C CB 62 C8 A6 CC 81 5B 82 43
 BE 21 30 4D 0E C8 01 5D B2 43 BE 21 30 4D C6 CC
 81 5C 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53
-54 50 4F 4E 45 00 0D 12 84 12 26 CC 2E C9 96 C9
-AA C7 34 C4 24 CC F8 C7 34 C4 08 CD 0A C4 0A C4
+54 50 4F 4E 45 00 0D 12 84 12 16 CC 2E C9 96 C9
+AA C7 34 C4 14 CC F8 C7 34 C4 08 CD 0A C4 0A C4
 5C CB 5C CB 0A C4 5C CB 5C CB 62 C8 BC CC 01 3A
 30 12 58 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12
-26 CC 2E C9 26 CD 3D 41 08 4E 7A 4E 5A D3 5A 53
+16 CC 2E C9 26 CD 3D 41 08 4E 7A 4E 5A D3 5A 53
 0A 58 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F
 82 48 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21
 2A 52 82 4A C6 21 30 41 BA 40 0D 12 FC FF BA 40
@@ -159,171 +159,170 @@ AA C7 34 C4 24 CC F8 C7 34 C4 08 CD 0A C4 0A C4
 30 4D 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D
 69 73 6D 61 74 63 68 21 36 C5 0E CD 81 3B 82 93
 BE 21 97 27 0D 12 84 12 0A C4 62 C8 5C CB 6A CD
-BE CC 62 C8 CC CB 09 49 4D 4D 45 44 49 41 54 45
-18 42 B6 21 F8 D0 80 00 00 00 30 4D B6 CB 06 43
+BE CC 62 C8 BC CB 09 49 4D 4D 45 44 49 41 54 45
+18 42 B6 21 F8 D0 80 00 00 00 30 4D A6 CB 06 43
 52 45 41 54 45 00 B0 12 14 CD BA 40 86 12 FC FF
 8A 4A FE FF C9 3F CE CD 04 43 4F 44 45 00 B0 12
-14 CD A2 82 C6 21 0D 12 84 12 0E D0 E8 CF 62 C8
-00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 6A CD
-28 D0 62 C8 7A CC 03 41 53 4D B2 40 EC CF DA 21
-E6 3F 02 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12
-0A CE 46 D0 62 C8 00 00 05 43 4F 4C 4F 4E 1A 42
-C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
-C6 21 B2 43 BE 21 30 40 28 D0 00 00 05 4C 4F 32
-48 49 A2 83 C6 21 1A 42 C6 21 EE 3F B6 CD 85 48
-49 32 4C 4F 0D 12 84 12 28 C4 B6 CF 5C CB BE CC
-F6 CD 62 C8 9C CD 86 5B 54 48 45 4E 5D 00 30 4D
-0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
-06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
-3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
-F7 3F 86 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12
-0A C4 00 00 DA C7 26 CC 2E C9 BC CB A2 C7 34 C4
-1E CF B0 C7 14 C4 06 5B 54 48 45 4E 5D 00 90 CE
-F8 CE B4 CE D6 CE 62 C8 B0 C7 14 C4 06 5B 45 4C
-53 45 5D 00 90 CE 0E CF B4 CE D4 CE 62 C8 14 C4
-04 5B 49 46 5D 00 90 CE D6 CE 3A C4 D4 CE 84 C7
-14 C4 05 0D 0A 6B 6F 20 5E C7 BC C4 AC C4 3A C4
-D6 CE C4 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
-30 4D 2F 53 30 4D 34 CF 89 5B 44 45 46 49 4E 45
-44 5D 0D 12 84 12 26 CC 2E C9 96 C9 42 CF 62 C8
-48 CF 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
-84 12 52 CF F2 C7 62 C8 7A CF B2 4E 0A 18 2E 53
-BE 12 3E 4F 3D 41 90 3C 7E CB 06 4D 41 52 4B 45
-52 00 B0 12 14 CD BA 40 85 12 FC FF BA 40 78 CF
-FE FF 28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50
-06 00 C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 EA C7
-62 C8 85 12 BA CF 16 CE E8 CD 2E C7 92 CC 6E CE
-F8 C6 8A CF 14 C9 B2 D0 C6 D0 9E C8 28 C9 00 00
-62 CF D0 CC F6 C9 00 00 85 12 BA CF 6A D6 D0 D6
-12 D6 20 D7 D8 D5 00 00 A4 D3 00 00 E8 D7 CC D7
-3C D6 7A D6 B4 D4 00 00 00 00 3C D7 E6 CF 3A 40
-0C 00 39 40 D6 21 08 49 28 53 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-82 43 CC 21 30 4D 92 42 CA 21 DA 21 30 4D C2 CF
-40 D0 46 D0 56 D0 1A 42 20 18 82 4A C8 21 2E 4E
-82 4E C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D DC CC 09 50 57 52
-5F 53 54 41 54 45 85 12 4E D0 F4 D7 E2 C8 09 52
-53 54 5F 53 54 41 54 45 92 42 0A 18 9A D0 F3 3F
-8C D0 08 50 57 52 5F 48 45 52 45 00 92 42 C6 21
-9A D0 30 4D 9E D0 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
-E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
-FC 23 B9 40 24 D1 FE FF 29 83 B9 40 02 C6 FE FF
-39 90 AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49
-FA C4 B2 49 02 C4 B2 49 22 C6 B2 49 E4 FF B2 49
-0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
-B2 40 80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40
-00 10 29 83 89 43 00 20 FC 23 B2 43 02 02 B2 D3
-06 02 D2 43 24 02 F2 D3 26 02 F2 40 FD 00 22 02
-E2 D2 24 02 B2 40 00 A5 60 01 B2 40 F3 00 80 01
-B2 40 07 00 82 01 B2 40 FC 00 84 01 39 40 80 00
-B2 D0 10 00 86 01 38 40 17 11 18 83 FE 23 19 83
-FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
-F8 C4 20 C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
-1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
-2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
-84 12 2E C9 96 C9 F2 C7 34 C4 E4 D1 52 CA 34 C4
-FE D1 F8 D1 E6 D1 3C 4E 3C 80 87 12 05 24 1C 53
-02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 00 D2
-B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2E C9 96 C9
-F2 C7 34 C4 1C D2 52 CA 34 C4 24 CC BC C7 2E C9
-52 CA 34 C4 24 CC 28 D2 3E 5F E7 3F 3E 40 28 00
-B0 12 C8 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 82 CD
-1C 15 12 12 C4 21 92 53 C4 21 84 12 2E C9 52 CA
-34 C4 70 D2 66 D2 21 53 3E 90 10 00 C6 2B 7F 2D
-72 D2 B2 41 C4 21 C1 3F 0D 12 84 12 26 CC A4 D1
-82 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
-7A 90 23 00 27 20 92 53 C4 21 B0 12 C8 D1 3C 40
-00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
-20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
-30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
-30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
-3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
-C4 21 B0 12 C8 D1 ED 3F 7A 90 40 00 16 20 3C 40
-20 00 92 53 C4 21 B0 12 50 D2 0C 20 3C 50 10 00
-3E 40 2B 00 B0 12 50 D2 92 92 C0 21 C4 21 02 24
-92 53 C4 21 8E 10 0C 5E DA 3F B0 12 50 D2 FA 23
-3C 50 10 00 B0 12 2C D2 EF 3F 0C 43 1B 42 C6 21
-A2 53 C6 21 0D 12 84 12 26 CC A4 D1 4E D3 FE 90
-26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
-B0 12 50 D2 E0 23 3C 50 80 00 B0 12 2C D2 DB 3F
-00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
-5C CB 62 C8 0A C4 2C 00 78 D2 44 D3 8E D3 09 4B
-2E 4E 0E DC A2 3F 5C CE 03 4D 4F 56 85 12 84 D3
-00 40 98 D3 05 4D 4F 56 2E 42 85 12 84 D3 40 40
-00 00 03 41 44 44 85 12 84 D3 00 50 B2 D3 05 41
-44 44 2E 42 85 12 84 D3 40 50 BE D3 04 41 44 44
-43 00 85 12 84 D3 00 60 CC D3 06 41 44 44 43 2E
-42 00 85 12 84 D3 40 60 72 D3 04 53 55 42 43 00
-85 12 84 D3 00 70 EA D3 06 53 55 42 43 2E 42 00
-85 12 84 D3 40 70 F8 D3 03 53 55 42 85 12 84 D3
-00 80 08 D4 05 53 55 42 2E 42 85 12 84 D3 40 80
-38 CE 03 43 4D 50 85 12 84 D3 00 90 22 D4 05 43
-4D 50 2E 42 85 12 84 D3 40 90 24 CE 04 44 41 44
-44 00 85 12 84 D3 00 A0 3C D4 06 44 41 44 44 2E
-42 00 85 12 84 D3 40 A0 2E D4 03 42 49 54 85 12
-84 D3 00 B0 5A D4 05 42 49 54 2E 42 85 12 84 D3
-40 B0 66 D4 03 42 49 43 85 12 84 D3 00 C0 74 D4
-05 42 49 43 2E 42 85 12 84 D3 40 C0 80 D4 03 42
-49 53 85 12 84 D3 00 D0 8E D4 05 42 49 53 2E 42
-85 12 84 D3 40 D0 00 00 03 58 4F 52 85 12 84 D3
-00 E0 A8 D4 05 58 4F 52 2E 42 85 12 84 D3 40 E0
-DA D3 03 41 4E 44 85 12 84 D3 00 F0 C2 D4 05 41
-4E 44 2E 42 85 12 84 D3 40 F0 26 CC 78 D2 E0 D4
-0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
-14 D4 03 52 52 43 85 12 DA D4 00 10 F2 D4 05 52
-52 43 2E 42 85 12 DA D4 40 10 FE D4 04 53 57 50
-42 00 85 12 DA D4 80 10 0C D5 03 52 52 41 85 12
-DA D4 00 11 1A D5 05 52 52 41 2E 42 85 12 DA D4
-40 11 26 D5 03 53 58 54 85 12 DA D4 80 11 00 00
-04 50 55 53 48 00 85 12 DA D4 00 12 40 D5 06 50
-55 53 48 2E 42 00 85 12 DA D4 40 12 9A D4 04 43
-41 4C 4C 00 85 12 DA D4 80 12 1A 53 0E 4A 0D 12
-84 12 D8 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 36 C5 34 D5 03 53 3E 3D 86 12 00 38
-88 D5 02 53 3C 00 86 12 00 34 4E D5 03 30 3E 3D
-86 12 00 30 9C D5 02 30 3C 00 86 12 00 30 00 00
-02 55 3C 00 86 12 00 2C B0 D5 03 55 3E 3D 86 12
-00 28 A6 D5 03 30 3C 3E 86 12 00 24 C4 D5 02 30
-3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
-8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D BA D5 04 54
-48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
-4A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
-00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 5E D5
-05 42 45 47 49 4E 30 40 28 C4 EE D5 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
-0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 C6 21 30 4D CE D4 05 41 47 41 49 4E
-0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
-0D 12 84 12 DC D5 BC C7 62 C8 92 D5 06 52 45 50
-45 41 54 00 0D 12 84 12 70 D6 F4 D5 62 C8 A0 D6
-3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
-C6 21 00 00 30 4D 30 D6 03 42 57 31 85 12 9E D6
-00 00 B8 D6 03 42 57 32 85 12 9E D6 00 00 C4 D6
-03 42 57 33 85 12 9E D6 00 00 DC D6 3D 41 1A 42
-C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
-C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
-85 12 DA D6 00 00 FC D6 03 46 57 32 85 12 DA D6
-00 00 08 D7 03 46 57 33 85 12 DA D6 00 00 14 D7
-04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
-0D 12 84 12 94 CC 00 CC 62 C8 00 00 05 3F 47 4F
-54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
-EF 27 3E E0 00 08 EC 3F 26 CC A4 D1 5E D7 92 53
-C4 21 3E 40 2C 00 84 12 2E C9 52 CA 34 C4 24 CC
-3A D3 74 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
-0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
-EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
-8C D6 04 52 52 43 4D 00 85 12 58 D7 50 00 A2 D7
-04 52 52 41 4D 00 85 12 58 D7 50 01 B0 D7 04 52
-4C 41 4D 00 85 12 58 D7 50 02 BE D7 04 52 52 55
-4D 00 85 12 58 D7 50 03 CE D5 05 50 55 53 48 4D
-85 12 58 D7 00 15 DA D7 04 50 4F 50 4D 00 85 12
-58 D7 00 17
+14 CD A2 82 C6 21 0D 12 84 12 06 D0 E0 CF 62 C8
+B6 CD 07 48 44 4E 43 4F 44 45 B2 40 E4 CF DA 21
+EE 3F 00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12
+6A CD 20 D0 3E D0 62 C8 00 00 05 43 4F 4C 4F 4E
+1A 42 C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00
+A2 52 C6 21 B2 43 BE 21 0D 12 84 12 20 D0 3E D0
+62 C8 00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42
+C6 21 EB 3F 02 CE 85 48 49 32 4C 4F 0D 12 84 12
+28 C4 AE CF 5C CB BE CC F6 CD 62 C8 9C CD 86 5B
+54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
+0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
+F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
+00 00 F9 23 2F 53 2D 53 F7 3F 7E CE 86 5B 45 4C
+53 45 5D 00 0D 12 84 12 0A C4 00 00 DA C7 16 CC
+2E C9 AC CB A2 C7 34 C4 16 CF B0 C7 14 C4 06 5B
+54 48 45 4E 5D 00 88 CE F0 CE AC CE CE CE 62 C8
+B0 C7 14 C4 06 5B 45 4C 53 45 5D 00 88 CE 06 CF
+AC CE CC CE 62 C8 14 C4 04 5B 49 46 5D 00 88 CE
+CE CE 3A C4 CC CE 84 C7 14 C4 05 0D 0A 6B 6F 20
+5E C7 BC C4 AC C4 3A C4 CE CE BC CE 84 5B 49 46
+5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2C CF
+89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 16 CC
+2E C9 96 C9 3A CF 62 C8 40 CF 8B 5B 55 4E 44 45
+46 49 4E 45 44 5D 0D 12 84 12 4A CF F2 C7 62 C8
+72 CF B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
+6E CB 06 4D 41 52 4B 45 52 00 B0 12 14 CD BA 40
+85 12 FC FF BA 40 70 CF FE FF 28 83 8A 48 00 00
+BA 40 AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53
+30 4D 0A C4 CA 21 EA C7 62 C8 85 12 B2 CF 7A CC
+E8 CD 2E C7 92 CC 66 CE F8 C6 82 CF 14 C9 AA D0
+BE D0 9E C8 28 C9 00 00 5A CF D0 CC F6 C9 00 00
+85 12 B2 CF 62 D6 C8 D6 0A D6 18 D7 D0 D5 00 00
+9C D3 00 00 E0 D7 C4 D7 34 D6 72 D6 AC D4 00 00
+00 00 34 D7 DE CF 3A 40 0C 00 39 40 D6 21 08 49
+28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
+3A 40 0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42
+CA 21 DA 21 30 4D BA CF 38 D0 3E D0 4E D0 1A 42
+20 18 82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D DC CC 09 50 57 52 5F 53 54 41 54 45 85 12
+46 D0 EC D7 E2 C8 09 52 53 54 5F 53 54 41 54 45
+92 42 0A 18 92 D0 F3 3F 84 D0 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 21 92 D0 30 4D 96 D0 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F
+3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
+10 00 29 83 B9 43 80 FF FC 23 B9 40 1C D1 FE FF
+29 83 B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40
+14 18 B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49
+22 C6 B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00
+04 01 B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40
+E0 20 3F 40 80 20 39 40 00 10 29 83 89 43 00 20
+FC 23 B2 43 02 02 B2 D3 06 02 D2 43 24 02 F2 D3
+26 02 F2 40 FD 00 22 02 E2 D2 24 02 B2 40 00 A5
+60 01 B2 40 F3 00 80 01 B2 40 07 00 82 01 B2 40
+FC 00 84 01 39 40 80 00 B2 D0 10 00 86 01 38 40
+17 11 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
+08 18 1E D2 5E 01 B0 12 F8 C4 20 C6 38 40 C0 21
+0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
+7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
+1C 15 0E 12 12 12 C4 21 84 12 2E C9 96 C9 F2 C7
+34 C4 DC D1 52 CA 34 C4 F6 D1 F0 D1 DE D1 3C 4E
+3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
+21 52 1B 17 30 41 F8 D1 B2 41 C4 21 3E 41 84 12
+0A C4 2B 00 2E C9 96 C9 F2 C7 34 C4 14 D2 52 CA
+34 C4 14 CC BC C7 2E C9 52 CA 34 C4 14 CC 20 D2
+3E 5F E7 3F 3E 40 28 00 B0 12 C0 D1 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
+C4 21 02 20 30 40 82 CD 1C 15 12 12 C4 21 92 53
+C4 21 84 12 2E C9 52 CA 34 C4 68 D2 5E D2 21 53
+3E 90 10 00 C6 2B 7F 2D 6A D2 B2 41 C4 21 C1 3F
+0D 12 84 12 16 CC 9C D1 7A D2 0C 43 1B 42 C6 21
+A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
+C4 21 B0 12 C0 D1 3C 40 00 03 0E 93 1C 24 3C 40
+10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
+20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
+30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
+C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
+07 20 3C 40 10 02 92 53 C4 21 B0 12 C0 D1 ED 3F
+7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
+48 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 48 D2
+92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
+DA 3F B0 12 48 D2 FA 23 3C 50 10 00 B0 12 24 D2
+EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
+16 CC 9C D1 46 D3 FE 90 26 00 00 00 3E 40 20 00
+03 20 3C 50 82 00 C7 3F B0 12 48 D2 E0 23 3C 50
+80 00 B0 12 24 D2 DB 3F 00 00 04 52 45 54 49 00
+0D 12 84 12 0A C4 00 13 5C CB 62 C8 0A C4 2C 00
+70 D2 3C D3 86 D3 09 4B 2E 4E 0E DC A2 3F 54 CE
+03 4D 4F 56 85 12 7C D3 00 40 90 D3 05 4D 4F 56
+2E 42 85 12 7C D3 40 40 00 00 03 41 44 44 85 12
+7C D3 00 50 AA D3 05 41 44 44 2E 42 85 12 7C D3
+40 50 B6 D3 04 41 44 44 43 00 85 12 7C D3 00 60
+C4 D3 06 41 44 44 43 2E 42 00 85 12 7C D3 40 60
+6A D3 04 53 55 42 43 00 85 12 7C D3 00 70 E2 D3
+06 53 55 42 43 2E 42 00 85 12 7C D3 40 70 F0 D3
+03 53 55 42 85 12 7C D3 00 80 00 D4 05 53 55 42
+2E 42 85 12 7C D3 40 80 2A CE 03 43 4D 50 85 12
+7C D3 00 90 1A D4 05 43 4D 50 2E 42 85 12 7C D3
+40 90 14 CE 04 44 41 44 44 00 85 12 7C D3 00 A0
+34 D4 06 44 41 44 44 2E 42 00 85 12 7C D3 40 A0
+26 D4 03 42 49 54 85 12 7C D3 00 B0 52 D4 05 42
+49 54 2E 42 85 12 7C D3 40 B0 5E D4 03 42 49 43
+85 12 7C D3 00 C0 6C D4 05 42 49 43 2E 42 85 12
+7C D3 40 C0 78 D4 03 42 49 53 85 12 7C D3 00 D0
+86 D4 05 42 49 53 2E 42 85 12 7C D3 40 D0 00 00
+03 58 4F 52 85 12 7C D3 00 E0 A0 D4 05 58 4F 52
+2E 42 85 12 7C D3 40 E0 D2 D3 03 41 4E 44 85 12
+7C D3 00 F0 BA D4 05 41 4E 44 2E 42 85 12 7C D3
+40 F0 16 CC 70 D2 D8 D4 0A 4C 3C F0 70 00 8A 10
+3A F0 0F 00 0C DA 4F 3F 0C D4 03 52 52 43 85 12
+D2 D4 00 10 EA D4 05 52 52 43 2E 42 85 12 D2 D4
+40 10 F6 D4 04 53 57 50 42 00 85 12 D2 D4 80 10
+04 D5 03 52 52 41 85 12 D2 D4 00 11 12 D5 05 52
+52 41 2E 42 85 12 D2 D4 40 11 1E D5 03 53 58 54
+85 12 D2 D4 80 11 00 00 04 50 55 53 48 00 85 12
+D2 D4 00 12 38 D5 06 50 55 53 48 2E 42 00 85 12
+D2 D4 40 12 92 D4 04 43 41 4C 4C 00 85 12 D2 D4
+80 12 1A 53 0E 4A 0D 12 84 12 D8 C8 14 C4 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 2C D5
+03 53 3E 3D 86 12 00 38 80 D5 02 53 3C 00 86 12
+00 34 46 D5 03 30 3E 3D 86 12 00 30 94 D5 02 30
+3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
+A8 D5 03 55 3E 3D 86 12 00 28 9E D5 03 30 3C 3E
+86 12 00 24 BC D5 02 30 3D 00 86 12 00 20 00 00
+02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
+0E 4A 30 4D B2 D5 04 54 48 45 4E 00 1A 42 C6 21
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+B1 2F 88 DA 00 00 30 4D 42 D4 04 45 4C 53 45 00
+1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
+8F 4A 00 00 E3 3F 56 D5 05 42 45 47 49 4E 30 40
+28 C4 E6 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
+C6 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
+00 00 05 57 48 49 4C 45 0D 12 84 12 D4 D5 BC C7
+62 C8 8A D5 06 52 45 50 45 41 54 00 0D 12 84 12
+68 D6 EC D5 62 C8 98 D6 3D 41 08 4E 3E 4F 2A 48
+B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 28 D6
+03 42 57 31 85 12 96 D6 00 00 B0 D6 03 42 57 32
+85 12 96 D6 00 00 BC D6 03 42 57 33 85 12 96 D6
+00 00 D4 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
+88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
+30 4D 00 00 03 46 57 31 85 12 D2 D6 00 00 F4 D6
+03 46 57 32 85 12 D2 D6 00 00 00 D7 03 46 57 33
+85 12 D2 D6 00 00 0C D7 04 47 4F 54 4F 00 2F 83
+8F 4E 00 00 3E 40 00 3C 0D 12 84 12 94 CC F0 CB
+62 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
+3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
+16 CC 9C D1 56 D7 92 53 C4 21 3E 40 2C 00 84 12
+2E C9 52 CA 34 C4 14 CC 32 D3 6C D7 0A 4E 3E 4F
+1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
+0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
+E8 2E 8A 10 5A 06 A6 3E 84 D6 04 52 52 43 4D 00
+85 12 50 D7 50 00 9A D7 04 52 52 41 4D 00 85 12
+50 D7 50 01 A8 D7 04 52 4C 41 4D 00 85 12 50 D7
+50 02 B6 D7 04 52 52 55 4D 00 85 12 50 D7 50 03
+C6 D5 05 50 55 53 48 4D 85 12 50 D7 00 15 D2 D7
+04 50 4F 50 4D 00 85 12 50 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +331,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 96 C6 02 C6 02 C6 02 C6 02 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 24 D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 1C D1
 q
index b946f51..91ddc16 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF 0A 94 08 8C 33 01
-10 00 81 06 B4 81 AA 80 B6 81 8A 81 80 82 0A 94
-08 8C 6E 82 90 83 FC 82 D8 82 3C 21 5E 84 D4 80
-E2 80 EE 80 20 00 0A 00 26 88 40 88 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF F2 93 F0 8B 34 01
+10 00 41 07 B6 81 AA 80 B8 81 8C 81 82 82 F2 93
+F0 8B 70 82 80 83 FE 82 DA 82 3C 21 4E 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 B6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
-B2 49 6C 82 B2 49 8E 83 B2 49 FA 82 B2 49 D6 82
+B2 49 6E 82 B2 49 7E 83 B2 49 FC 82 B2 49 D8 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 81 B0 12 F8 80
-0A 80 DE 21 DE 83 14 83 48 83 34 80 26 88 14 80
-05 1B 5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84
-A6 83 34 80 7C 81 14 80 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 83 9E 84 5A 83 14 80
-04 1B 5B 30 6D 00 5A 83 26 88 2E 93 13 28 B2 D0
-C0 07 80 05 18 42 02 18 08 11 38 D0 00 04 82 48
-94 05 F2 D0 0C 00 2A 02 92 C3 80 05 A2 D2 AA 05
-92 C3 30 01 30 41 48 43 A2 B3 AC 05 FD 27 C2 48
-8E 05 A2 B2 AC 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 81 D2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 81 04 57 41 52 4D 00 B0 12 8A 81
-78 40 03 00 B0 12 B8 81 84 12 14 80 07 0D 0A 1B
-5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84 0A 80
-23 00 F8 82 D4 84 14 80 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 83 0A 80 40 FF 28 80 D2 83 9E 84 14 80
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 80 7C 81
-00 00 06 41 43 43 45 50 54 00 30 40 6E 82 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 AC 05 B2 B0 10 00 80 05 B9 22 3A 17
-92 B3 AC 05 FD 27 58 42 8C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 81
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 82 2F 83 8F 4E 00 00 58 43
-B0 12 B8 81 92 B3 AC 05 FD 27 1E 42 8C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 82 08 4E 3E 4F
-A2 B3 AC 05 FD 27 C2 48 8E 05 30 4D F2 82 04 45
-43 48 4F 00 B2 40 C2 48 06 83 82 43 DE 21 38 40
-05 00 B0 12 B8 81 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 83 92 43 DE 21 28 42 F1 3F
-2A 83 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 82 78 83 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 81 02 43 52 00 30 40 90 83
-0D 12 84 12 14 80 02 0D 0A 00 5A 83 5E 84 2F 83
+B2 49 DC 21 3D 41 30 40 BC 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 81 0E 12 B0 12
+F8 80 0A 80 DE 21 CE 83 16 83 EE 80 34 80 8A 81
+14 80 05 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83
+C4 84 96 83 34 80 7E 81 14 80 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 83 8E 84 4A 83
+14 80 04 1B 5B 30 6D 00 4A 83 16 88 2E 93 13 28
+B2 D0 C0 07 80 05 18 42 02 18 08 11 38 D0 00 04
+82 48 94 05 F2 D0 0C 00 2A 02 92 C3 80 05 A2 D2
+AA 05 92 C3 30 01 30 41 48 43 A2 B3 AC 05 FD 27
+C2 48 8E 05 A2 B2 AC 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 81 D2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 81 04 57 41 52 4D 00 B0 12
+8C 81 78 40 03 00 B0 12 BA 81 84 12 14 80 07 0D
+0A 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83 C4 84
+0A 80 23 00 FA 82 C4 84 14 80 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 83 0A 80 40 FF 28 80 C2 83 8E 84
+14 80 0A 62 79 74 65 73 20 66 72 65 65 00 3A 80
+7E 81 00 00 06 41 43 43 45 50 54 00 30 40 70 82
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 AC 05 B2 B0 10 00 80 05 B8 22
+3A 17 92 B3 AC 05 FD 27 58 42 8C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 81 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 82 2F 83 8F 4E 00 00
+58 43 B0 12 BA 81 92 B3 AC 05 FD 27 1E 42 8C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 82 08 4E
+3E 4F A2 B3 AC 05 FD 27 C2 48 8E 05 30 4D F4 82
+04 45 43 48 4F 00 B2 40 C2 48 08 83 82 43 DE 21
+38 40 05 00 B0 12 BA 81 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 83 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 82 68 83 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 81 02 43 52 00 30 40 80 83
+0D 12 84 12 14 80 02 0D 0A 00 4A 83 4E 84 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 82 01 40 2E 4E
-30 4D DC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 82 01 40 2E 4E
+30 4D CC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 83 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 83 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E 80 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 84 02 23 53 00 0D 12 84 12
-1A 84 54 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 84 02 23 53 00 0D 12 84 12
+0A 84 44 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 83 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 83 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 84
-4C 84 EE 80 8C 84 68 84 5A 83 22 88 F8 82 5E 84
-42 83 01 2E 0E 93 E3 37 38 43 E2 3F 86 84 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 58 87
-0A 80 22 00 2A 85 F8 84 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 84
-82 2E 22 00 0D 12 84 12 E2 84 0A 80 5A 83 58 87
-5E 84 F6 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 83
+3C 84 EE 80 7C 84 58 84 4A 83 02 88 FA 82 4E 84
+2C 83 01 2E 0E 93 E3 37 38 43 E2 3F 76 84 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 48 87
+0A 80 22 00 1A 85 E8 84 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 84
+82 2E 22 00 0D 12 84 12 D2 84 0A 80 4A 83 48 87
+4E 84 F8 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC 86 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C 86 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 86 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 86 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,216 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 83 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 87 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 87 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 84 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 80 22 88 2A 85 DE 87 94 26
-3D 40 E6 87 D6 3E E8 87 0A 4E 3E 4F 3D 40 02 88
-2E 27 3D 40 D8 87 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 88 3E 4F 3D 40 D8 87 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 89 CC 3F
-0C 88 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C 83 BC 80
-D2 87 C2 83 F4 83 14 80 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 FC 83
+54 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 84 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 80 02 88 1A 85 BE 87 9C 26
+3D 40 C6 87 DE 3E C8 87 0A 4E 3E 4F 3D 40 E2 87
+36 27 3D 40 B8 87 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 87 3E 4F 3D 40 B8 87 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 89 CC 3F
+EC 87 86 12 20 00 D4 83 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C 83 BC 80
+B2 87 B2 83 E4 83 14 80 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 EC 83
 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 81
-3A 80 3C 88 6A 87 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 84 0A 80 2A 81 58 87 5E 84 8C 85 01 27
-0D 12 84 12 22 88 2A 85 92 85 34 80 20 88 5E 84
-00 00 83 5B 27 5D 0D 12 84 12 90 88 0A 80 0A 80
-58 87 58 87 5E 84 A2 88 81 5B 82 43 BE 21 30 4D
-0A 84 01 5D B2 43 BE 21 30 4D C2 88 81 5C 92 42
+3A 80 2C 88 08 88 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 84 0A 80 2A 81 48 87 4E 84 7C 85 01 27
+0D 12 84 12 02 88 1A 85 82 85 34 80 00 88 4E 84
+00 00 83 5B 27 5D 0D 12 84 12 80 88 0A 80 0A 80
+48 87 48 87 4E 84 92 88 81 5B 82 43 BE 21 30 4D
+FA 83 01 5D B2 43 BE 21 30 4D B2 88 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 88 2A 85 92 85 A6 83 34 80
-20 88 F4 83 34 80 04 89 0A 80 0A 80 58 87 58 87
-0A 80 58 87 58 87 5E 84 B8 88 01 3A 30 12 54 89
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 88 2A 85
-22 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 88 1A 85 82 85 96 83 34 80
+00 88 E4 83 34 80 F4 88 0A 80 0A 80 48 87 48 87
+0A 80 48 87 48 87 4E 84 A8 88 01 3A 30 12 44 89
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 88 1A 85
+12 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 81 0A 89 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A 80 5E 84 58 87 66 89 BA 88 5E 84
-C8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 87 06 43 52 45 41 54
-45 00 B0 12 10 89 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 89 04 43 4F 44 45 00 B0 12 10 89 A2 82
-C6 21 0D 12 84 12 0A 8C E4 8B 5E 84 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 89 24 8C 5E 84
-76 88 03 41 53 4D B2 40 E8 8B DA 21 E6 3F FE 89
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 8A 42 8C
-5E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 8C 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 89 85 48 49 32 4C 4F
-0D 12 84 12 28 80 B2 8B 58 87 BA 88 F2 89 5E 84
-98 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 8A
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80 00 00
-D6 83 22 88 2A 85 B8 87 9E 83 34 80 1A 8B AC 83
-14 80 06 5B 54 48 45 4E 5D 00 8C 8A F4 8A B0 8A
-D2 8A 5E 84 AC 83 14 80 06 5B 45 4C 53 45 5D 00
-8C 8A 0A 8B B0 8A D0 8A 5E 84 14 80 04 5B 49 46
-5D 00 8C 8A D2 8A 3A 80 D0 8A 80 83 14 80 05 0D
-0A 6B 6F 20 5A 83 BC 80 AC 80 3A 80 D2 8A C0 8A
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 8B 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 88 2A 85 92 85 3E 8B 5E 84 44 8B 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 8B
-EE 83 5E 84 76 8B B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 87 06 4D 41 52 4B 45 52 00 B0 12
-10 89 BA 40 85 12 FC FF BA 40 74 8B FE FF 28 83
-8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A 80 CA 21 E6 83 5E 84 85 12
-B6 8B 12 8A E4 89 0E 83 8E 88 6A 8A D0 82 86 8B
-10 85 AE 8C C2 8C 9A 84 24 85 00 00 5E 8B CC 88
-F2 85 00 00 85 12 B6 8B 80 92 E6 92 28 92 36 93
-EE 91 00 00 BA 8F 00 00 FE 93 E2 93 52 92 90 92
-CA 90 00 00 00 00 52 93 E2 8B 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE 8B 3C 8C 42 8C
-52 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 88 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 8C 0A 94 DE 84 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 8C F3 3F 88 8C 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 8C 30 4D
-9A 8C 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 8D FE FF 29 83 B9 40 E0 81 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 81 B2 49 FA 80 B2 49
-02 80 B2 49 FE 81 B2 49 DA FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 20 29 83
-89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 D3 26 02 B2 40 FF 7F 22 02 B2 D3 46 02 B2 40
-FC FF 42 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
-D2 43 A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
-B2 40 BA 00 82 01 B2 40 E8 01 84 01 B2 D0 10 00
-86 01 B2 40 00 02 88 01 39 40 5C 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18
-1E D2 5E 01 B0 12 F8 80 FC 81 38 40 C0 21 0A 4E
-39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E
-FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15
-0E 12 12 12 C4 21 84 12 2A 85 92 85 EE 83 34 80
-FA 8D 4E 86 34 80 14 8E 0E 8E FC 8D 3C 4E 3C 80
-87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
-1B 17 30 41 16 8E B2 41 C4 21 3E 41 84 12 0A 80
-2B 00 2A 85 92 85 EE 83 34 80 32 8E 4E 86 34 80
-20 88 B8 83 2A 85 4E 86 34 80 20 88 3E 8E 3E 5F
-E7 3F 3E 40 28 00 B0 12 DE 8D 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21
-02 20 30 40 7E 89 1C 15 12 12 C4 21 92 53 C4 21
-84 12 2A 85 4E 86 34 80 86 8E 7C 8E 21 53 3E 90
-10 00 C6 2B 7F 2D 88 8E B2 41 C4 21 C1 3F 0D 12
-84 12 22 88 BA 8D 98 8E 0C 43 1B 42 C6 21 A2 53
-C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21
-B0 12 DE 8D 3C 40 00 03 0E 93 1C 24 3C 40 10 03
-1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
-2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
-3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21
-89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
-3C 40 10 02 92 53 C4 21 B0 12 DE 8D ED 3F 7A 90
-40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12 66 8E
-0C 20 3C 50 10 00 3E 40 2B 00 B0 12 66 8E 92 92
-C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F
-B0 12 66 8E FA 23 3C 50 10 00 B0 12 42 8E EF 3F
-0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12 22 88
-BA 8D 64 8F FE 90 26 00 00 00 3E 40 20 00 03 20
-3C 50 82 00 C7 3F B0 12 66 8E E0 23 3C 50 80 00
-B0 12 42 8E DB 3F 00 00 04 52 45 54 49 00 0D 12
-84 12 0A 80 00 13 58 87 5E 84 0A 80 2C 00 8E 8E
-5A 8F A4 8F 09 4B 2E 4E 0E DC A2 3F 58 8A 03 4D
-4F 56 85 12 9A 8F 00 40 AE 8F 05 4D 4F 56 2E 42
-85 12 9A 8F 40 40 00 00 03 41 44 44 85 12 9A 8F
-00 50 C8 8F 05 41 44 44 2E 42 85 12 9A 8F 40 50
-D4 8F 04 41 44 44 43 00 85 12 9A 8F 00 60 E2 8F
-06 41 44 44 43 2E 42 00 85 12 9A 8F 40 60 88 8F
-04 53 55 42 43 00 85 12 9A 8F 00 70 00 90 06 53
-55 42 43 2E 42 00 85 12 9A 8F 40 70 0E 90 03 53
-55 42 85 12 9A 8F 00 80 1E 90 05 53 55 42 2E 42
-85 12 9A 8F 40 80 34 8A 03 43 4D 50 85 12 9A 8F
-00 90 38 90 05 43 4D 50 2E 42 85 12 9A 8F 40 90
-20 8A 04 44 41 44 44 00 85 12 9A 8F 00 A0 52 90
-06 44 41 44 44 2E 42 00 85 12 9A 8F 40 A0 44 90
-03 42 49 54 85 12 9A 8F 00 B0 70 90 05 42 49 54
-2E 42 85 12 9A 8F 40 B0 7C 90 03 42 49 43 85 12
-9A 8F 00 C0 8A 90 05 42 49 43 2E 42 85 12 9A 8F
-40 C0 96 90 03 42 49 53 85 12 9A 8F 00 D0 A4 90
-05 42 49 53 2E 42 85 12 9A 8F 40 D0 00 00 03 58
-4F 52 85 12 9A 8F 00 E0 BE 90 05 58 4F 52 2E 42
-85 12 9A 8F 40 E0 F0 8F 03 41 4E 44 85 12 9A 8F
-00 F0 D8 90 05 41 4E 44 2E 42 85 12 9A 8F 40 F0
-22 88 8E 8E F6 90 0A 4C 3C F0 70 00 8A 10 3A F0
-0F 00 0C DA 4F 3F 2A 90 03 52 52 43 85 12 F0 90
-00 10 08 91 05 52 52 43 2E 42 85 12 F0 90 40 10
-14 91 04 53 57 50 42 00 85 12 F0 90 80 10 22 91
-03 52 52 41 85 12 F0 90 00 11 30 91 05 52 52 41
-2E 42 85 12 F0 90 40 11 3C 91 03 53 58 54 85 12
-F0 90 80 11 00 00 04 50 55 53 48 00 85 12 F0 90
-00 12 56 91 06 50 55 53 48 2E 42 00 85 12 F0 90
-40 12 B0 90 04 43 41 4C 4C 00 85 12 F0 90 80 12
-1A 53 0E 4A 0D 12 84 12 D4 84 14 80 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 36 81 4A 91 03 53
-3E 3D 86 12 00 38 9E 91 02 53 3C 00 86 12 00 34
-64 91 03 30 3E 3D 86 12 00 30 B2 91 02 30 3C 00
-86 12 00 30 00 00 02 55 3C 00 86 12 00 2C C6 91
-03 55 3E 3D 86 12 00 28 BC 91 03 30 3C 3E 86 12
-00 24 DA 91 02 30 3D 00 86 12 00 20 00 00 02 49
-46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A
-30 4D D0 91 04 54 48 45 4E 00 1A 42 C6 21 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
-88 DA 00 00 30 4D 60 90 04 45 4C 53 45 00 1A 42
-C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A
-00 00 E3 3F 74 91 05 42 45 47 49 4E 30 40 28 80
-04 92 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D E4 90
-05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
-05 57 48 49 4C 45 0D 12 84 12 F2 91 B8 83 5E 84
-A8 91 06 52 45 50 45 41 54 00 0D 12 84 12 86 92
-0A 92 5E 84 B6 92 3D 41 08 4E 3E 4F 2A 48 B2 92
-C4 21 CB 2F 98 42 C6 21 00 00 30 4D 46 92 03 42
-57 31 85 12 B4 92 00 00 CE 92 03 42 57 32 85 12
-B4 92 00 00 DA 92 03 42 57 33 85 12 B4 92 00 00
-F2 92 3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B
-BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D
-00 00 03 46 57 31 85 12 F0 92 00 00 12 93 03 46
-57 32 85 12 F0 92 00 00 1E 93 03 46 57 33 85 12
-F0 92 00 00 2A 93 04 47 4F 54 4F 00 2F 83 8F 4E
-00 00 3E 40 00 3C 0D 12 84 12 90 88 FC 87 5E 84
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
-00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 22 88
-BA 8D 74 93 92 53 C4 21 3E 40 2C 00 84 12 2A 85
-4E 86 34 80 20 88 50 8F 8A 93 0A 4E 3E 4F 1A 83
-F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
-08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
-8A 10 5A 06 A6 3E A2 92 04 52 52 43 4D 00 85 12
-6E 93 50 00 B8 93 04 52 52 41 4D 00 85 12 6E 93
-50 01 C6 93 04 52 4C 41 4D 00 85 12 6E 93 50 02
-D4 93 04 52 52 55 4D 00 85 12 6E 93 50 03 E4 91
-05 50 55 53 48 4D 85 12 6E 93 00 15 F0 93 04 50
-4F 50 4D 00 85 12 6E 93 00 17
+74 63 68 21 36 81 FA 88 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A 80 4E 84 48 87 56 89 AA 88 4E 84
+A8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 87 06 43 52 45 41 54
+45 00 B0 12 00 89 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 89 04 43 4F 44 45 00 B0 12 00 89 A2 82
+C6 21 0D 12 84 12 F2 8B CC 8B 4E 84 A2 89 07 48
+44 4E 43 4F 44 45 B2 40 D0 8B DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 89 0C 8C
+2A 8C 4E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C 8C 2A 8C 4E 84 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE 89 85 48 49 32 4C 4F 0D 12 84 12 28 80 9A 8B
+48 87 AA 88 E2 89 4E 84 88 89 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 8A 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 80 00 00 C6 83 02 88 1A 85 98 87
+8E 83 34 80 02 8B 9C 83 14 80 06 5B 54 48 45 4E
+5D 00 74 8A DC 8A 98 8A BA 8A 4E 84 9C 83 14 80
+06 5B 45 4C 53 45 5D 00 74 8A F2 8A 98 8A B8 8A
+4E 84 14 80 04 5B 49 46 5D 00 74 8A BA 8A 3A 80
+B8 8A 70 83 14 80 05 0D 0A 6B 6F 20 4A 83 BC 80
+AC 80 3A 80 BA 8A A8 8A 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 8B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 88 1A 85 82 85
+26 8B 4E 84 2C 8B 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 8B DE 83 4E 84 5E 8B B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 87 06 4D
+41 52 4B 45 52 00 B0 12 00 89 BA 40 85 12 FC FF
+BA 40 5C 8B FE FF 28 83 8A 48 00 00 BA 40 AA 80
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A 80
+CA 21 D6 83 4E 84 85 12 9E 8B 66 88 D4 89 10 83
+7E 88 52 8A D2 82 6E 8B 00 85 96 8C AA 8C 8A 84
+14 85 00 00 46 8B BC 88 E2 85 00 00 85 12 9E 8B
+68 92 CE 92 10 92 1E 93 D6 91 00 00 A2 8F 00 00
+E6 93 CA 93 3A 92 78 92 B2 90 00 00 00 00 3A 93
+CA 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 8B 24 8C 2A 8C 3A 8C 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 88
+09 50 57 52 5F 53 54 41 54 45 85 12 32 8C F2 93
+CE 84 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 8C F3 3F 70 8C 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E 8C 30 4D 82 8C 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 8D FE FF 29 83 B9 40
+E2 81 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 81 B2 49 FA 80 B2 49 02 80 B2 49 00 82 B2 49
+DA FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 20 29 83 89 43 00 20 FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 40 FF 7F
+22 02 B2 D3 46 02 B2 40 FC FF 42 02 F2 40 A5 00
+A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5
+60 01 B2 40 FF 1E 80 01 B2 40 BA 00 82 01 B2 40
+E8 01 84 01 B2 D0 10 00 86 01 B2 40 00 02 88 01
+39 40 5C 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 80
+FE 81 38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52
+C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
+82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12
+1A 85 82 85 DE 83 34 80 E2 8D 3E 86 34 80 FC 8D
+F6 8D E4 8D 3C 4E 3C 80 87 12 05 24 1C 53 02 20
+2E 4E 01 3C 2E 83 21 52 1B 17 30 41 FE 8D B2 41
+C4 21 3E 41 84 12 0A 80 2B 00 1A 85 82 85 DE 83
+34 80 1A 8E 3E 86 34 80 00 88 A8 83 1A 85 3E 86
+34 80 00 88 26 8E 3E 5F E7 3F 3E 40 28 00 B0 12
+C6 8D 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40
+29 00 92 92 C0 21 C4 21 02 20 30 40 6E 89 1C 15
+12 12 C4 21 92 53 C4 21 84 12 1A 85 3E 86 34 80
+6E 8E 64 8E 21 53 3E 90 10 00 C6 2B 7F 2D 70 8E
+B2 41 C4 21 C1 3F 0D 12 84 12 02 88 A2 8D 80 8E
+0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90
+23 00 27 20 92 53 C4 21 B0 12 C6 8D 3C 40 00 03
+0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
+2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
+3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
+19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41
+30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21
+B0 12 C6 8D ED 3F 7A 90 40 00 16 20 3C 40 20 00
+92 53 C4 21 B0 12 4E 8E 0C 20 3C 50 10 00 3E 40
+2B 00 B0 12 4E 8E 92 92 C0 21 C4 21 02 24 92 53
+C4 21 8E 10 0C 5E DA 3F B0 12 4E 8E FA 23 3C 50
+10 00 B0 12 2A 8E EF 3F 0C 43 1B 42 C6 21 A2 53
+C6 21 0D 12 84 12 02 88 A2 8D 4C 8F FE 90 26 00
+00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
+4E 8E E0 23 3C 50 80 00 B0 12 2A 8E DB 3F 00 00
+04 52 45 54 49 00 0D 12 84 12 0A 80 00 13 48 87
+4E 84 0A 80 2C 00 76 8E 42 8F 8C 8F 09 4B 2E 4E
+0E DC A2 3F 40 8A 03 4D 4F 56 85 12 82 8F 00 40
+96 8F 05 4D 4F 56 2E 42 85 12 82 8F 40 40 00 00
+03 41 44 44 85 12 82 8F 00 50 B0 8F 05 41 44 44
+2E 42 85 12 82 8F 40 50 BC 8F 04 41 44 44 43 00
+85 12 82 8F 00 60 CA 8F 06 41 44 44 43 2E 42 00
+85 12 82 8F 40 60 70 8F 04 53 55 42 43 00 85 12
+82 8F 00 70 E8 8F 06 53 55 42 43 2E 42 00 85 12
+82 8F 40 70 F6 8F 03 53 55 42 85 12 82 8F 00 80
+06 90 05 53 55 42 2E 42 85 12 82 8F 40 80 16 8A
+03 43 4D 50 85 12 82 8F 00 90 20 90 05 43 4D 50
+2E 42 85 12 82 8F 40 90 00 8A 04 44 41 44 44 00
+85 12 82 8F 00 A0 3A 90 06 44 41 44 44 2E 42 00
+85 12 82 8F 40 A0 2C 90 03 42 49 54 85 12 82 8F
+00 B0 58 90 05 42 49 54 2E 42 85 12 82 8F 40 B0
+64 90 03 42 49 43 85 12 82 8F 00 C0 72 90 05 42
+49 43 2E 42 85 12 82 8F 40 C0 7E 90 03 42 49 53
+85 12 82 8F 00 D0 8C 90 05 42 49 53 2E 42 85 12
+82 8F 40 D0 00 00 03 58 4F 52 85 12 82 8F 00 E0
+A6 90 05 58 4F 52 2E 42 85 12 82 8F 40 E0 D8 8F
+03 41 4E 44 85 12 82 8F 00 F0 C0 90 05 41 4E 44
+2E 42 85 12 82 8F 40 F0 02 88 76 8E DE 90 0A 4C
+3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 12 90
+03 52 52 43 85 12 D8 90 00 10 F0 90 05 52 52 43
+2E 42 85 12 D8 90 40 10 FC 90 04 53 57 50 42 00
+85 12 D8 90 80 10 0A 91 03 52 52 41 85 12 D8 90
+00 11 18 91 05 52 52 41 2E 42 85 12 D8 90 40 11
+24 91 03 53 58 54 85 12 D8 90 80 11 00 00 04 50
+55 53 48 00 85 12 D8 90 00 12 3E 91 06 50 55 53
+48 2E 42 00 85 12 D8 90 40 12 98 90 04 43 41 4C
+4C 00 85 12 D8 90 80 12 1A 53 0E 4A 0D 12 84 12
+C4 84 14 80 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 36 81 32 91 03 53 3E 3D 86 12 00 38 86 91
+02 53 3C 00 86 12 00 34 4C 91 03 30 3E 3D 86 12
+00 30 9A 91 02 30 3C 00 86 12 00 30 00 00 02 55
+3C 00 86 12 00 2C AE 91 03 55 3E 3D 86 12 00 28
+A4 91 03 30 3C 3E 86 12 00 24 C2 91 02 30 3D 00
+86 12 00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E
+00 00 A2 53 C6 21 0E 4A 30 4D B8 91 04 54 48 45
+4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 48 90
+04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00
+A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 5C 91 05 42
+45 47 49 4E 30 40 28 80 EC 91 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11
+3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C6 21 30 4D CC 90 05 41 47 41 49 4E 0A 4E
+38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
+84 12 DA 91 A8 83 4E 84 90 91 06 52 45 50 45 41
+54 00 0D 12 84 12 6E 92 F2 91 4E 84 9E 92 3D 41
+08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21
+00 00 30 4D 2E 92 03 42 57 31 85 12 9C 92 00 00
+B6 92 03 42 57 32 85 12 9C 92 00 00 C2 92 03 42
+57 33 85 12 9C 92 00 00 DA 92 3D 41 1A 42 C6 21
+28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21
+8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
+D8 92 00 00 FA 92 03 46 57 32 85 12 D8 92 00 00
+06 93 03 46 57 33 85 12 D8 92 00 00 12 93 04 47
+4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
+84 12 80 88 DC 87 4E 84 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
+3E E0 00 08 EC 3F 02 88 A2 8D 5C 93 92 53 C4 21
+3E 40 2C 00 84 12 1A 85 3E 86 34 80 00 88 38 8F
+72 93 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
+08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
+5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 8A 92
+04 52 52 43 4D 00 85 12 56 93 50 00 A0 93 04 52
+52 41 4D 00 85 12 56 93 50 01 AE 93 04 52 4C 41
+4D 00 85 12 56 93 50 02 BC 93 04 52 52 55 4D 00
+85 12 56 93 50 03 CC 91 05 50 55 53 48 4D 85 12
+56 93 00 15 D8 93 04 50 4F 50 4D 00 85 12 56 93
+00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 80 82 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 20 8D
+77 00 10 00 12 00 14 00 16 00 00 00 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 82 82 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 08 8D
 q
index ee78554..f2080ab 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 10 94 0A 8C 33 01
-10 00 81 36 94 81 AA 80 C6 81 9C 81 94 82 10 94
-0A 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
-E2 80 EE 80 20 00 0A 00 28 88 42 88 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF 08 94 02 8C 34 01
+10 00 41 33 94 81 AA 80 DA 81 9C 81 94 82 08 94
+02 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 C6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
 B2 49 78 82 B2 49 90 83 B2 49 22 83 B2 49 FC 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 81 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 81 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 80 0A 80 DE 21 E0 83 32 83
 14 80 04 1B 5B 37 6D 00 5C 83 A8 83 34 80 86 81
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 82 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 82 2F 83
-8F 4E 00 00 B0 12 C6 81 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 81 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 81 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 83 08 4E 3E 4F C8 3F 1A 83 04 45 43 48
 4F 00 B2 40 C2 48 C0 82 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A 84 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 83 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 84 4E 84 EE 80 8E 84 6A 84 5C 83 24 88 20 83
+10 84 4E 84 EE 80 8E 84 6A 84 5C 83 14 88 20 83
 60 84 40 83 01 2E 0E 93 E3 37 38 43 E2 3F 88 84
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80
 5A 87 0A 80 22 00 2C 85 FA 84 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 80 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 83 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 87 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 87 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 84 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 80 24 88 2C 85 E0 87
-94 26 3D 40 E8 87 D6 3E EA 87 0A 4E 3E 4F 3D 40
-04 88 2E 27 3D 40 DA 87 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 88 3E 4F 3D 40 DA 87 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 80 14 88 2C 85 D0 87
+9C 26 3D 40 D8 87 DE 3E DA 87 0A 4E 3E 4F 3D 40
+F4 87 36 27 3D 40 CA 87 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 87 3E 4F 3D 40 CA 87 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 89
-CC 3F 0E 88 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE 87 86 12 20 00 E6 83 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E 83
-BC 80 D4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
+BC 80 C4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80
 FE 83 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 81 3A 80 3E 88 6C 87 86 41 42 4F 52 54 22 00
+2A 81 3A 80 3E 88 1A 88 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 84 0A 80 2A 81 5A 87 60 84 8E 85
-01 27 0D 12 84 12 24 88 2C 85 94 85 34 80 22 88
+01 27 0D 12 84 12 14 88 2C 85 94 85 34 80 12 88
 60 84 00 00 83 5B 27 5D 0D 12 84 12 92 88 0A 80
 0A 80 5A 87 5A 87 60 84 A4 88 81 5B 82 43 BE 21
 30 4D 0C 84 01 5D B2 43 BE 21 30 4D C4 88 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 88 2C 85 94 85 A8 83
-34 80 22 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
+4F 4E 45 00 0D 12 84 12 14 88 2C 85 94 85 A8 83
+34 80 12 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
 5A 87 0A 80 5A 87 5A 87 60 84 BA 88 01 3A 30 12
-56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 88
+56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 88
 2C 85 24 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,172 +159,172 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 81 0C 89 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A 80 60 84 5A 87 68 89 BC 88
-60 84 CA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 87 06 43 52 45
+60 84 BA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 87 06 43 52 45
 41 54 45 00 B0 12 12 89 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 89 04 43 4F 44 45 00 B0 12 12 89
-A2 82 C6 21 0D 12 84 12 0C 8C E6 8B 60 84 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89 26 8C
-60 84 78 88 03 41 53 4D B2 40 EA 8B DA 21 E6 3F
-00 8A 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 8A
-44 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 8C 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 89 85 48 49 32
-4C 4F 0D 12 84 12 28 80 B4 8B 5A 87 BC 88 F4 89
-60 84 9A 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 8A 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80
-00 00 D8 83 24 88 2C 85 BA 87 A0 83 34 80 1C 8B
-AE 83 14 80 06 5B 54 48 45 4E 5D 00 8E 8A F6 8A
-B2 8A D4 8A 60 84 AE 83 14 80 06 5B 45 4C 53 45
-5D 00 8E 8A 0C 8B B2 8A D2 8A 60 84 14 80 04 5B
-49 46 5D 00 8E 8A D4 8A 3A 80 D2 8A 82 83 14 80
-05 0D 0A 6B 6F 20 5C 83 BC 80 AC 80 3A 80 D4 8A
-C2 8A 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 8B 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 88 2C 85 94 85 40 8B 60 84 46 8B
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 8B F0 83 60 84 78 8B B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 87 06 4D 41 52 4B 45 52 00
-B0 12 12 89 BA 40 85 12 FC FF BA 40 76 8B FE FF
-28 83 8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A 80 CA 21 E8 83 60 84
-85 12 B8 8B 14 8A E6 89 2C 83 90 88 6C 8A F6 82
-88 8B 12 85 B0 8C C4 8C 9C 84 26 85 00 00 60 8B
-CE 88 F4 85 00 00 85 12 B8 8B 86 92 EC 92 2E 92
-3C 93 F4 91 00 00 C0 8F 00 00 04 94 E8 93 58 92
-96 92 D0 90 00 00 00 00 58 93 E4 8B 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 8B 3E 8C
-44 8C 54 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 88 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 8C 10 94 E0 84 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 8C F3 3F 8A 8C
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 8C
-30 4D 9C 8C 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 8D FE FF 29 83 B9 40 02 82 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 82 B2 49 FA 80
-B2 49 02 80 B2 49 20 82 B2 49 E0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 20
-29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 B2 D3 26 02 B2 40 FF 7F 22 02 B2 D3 46 02
-B2 40 FC FF 42 02 E2 D3 45 02 F2 40 A5 00 A1 01
-F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
-B2 40 FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01
-84 01 B2 D0 10 00 86 01 B2 40 00 02 88 01 39 40
-5C 00 18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42
-08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 80 1E 82
-38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12 2C 85
-94 85 F0 83 34 80 00 8E 50 86 34 80 1A 8E 14 8E
-02 8E 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 1C 8E B2 41 C4 21
-3E 41 84 12 0A 80 2B 00 2C 85 94 85 F0 83 34 80
-38 8E 50 86 34 80 22 88 BA 83 2C 85 50 86 34 80
-22 88 44 8E 3E 5F E7 3F 3E 40 28 00 B0 12 E4 8D
-19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00
-92 92 C0 21 C4 21 02 20 30 40 80 89 1C 15 12 12
-C4 21 92 53 C4 21 84 12 2C 85 50 86 34 80 8C 8E
-82 8E 21 53 3E 90 10 00 C6 2B 7F 2D 8E 8E B2 41
-C4 21 C1 3F 0D 12 84 12 24 88 C0 8D 9E 8E 0C 43
-1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00
-27 20 92 53 C4 21 B0 12 E4 8D 3C 40 00 03 0E 93
-1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
-14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
-0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
-C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D
-7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12
-E4 8D ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
-C4 21 B0 12 6C 8E 0C 20 3C 50 10 00 3E 40 2B 00
-B0 12 6C 8E 92 92 C0 21 C4 21 02 24 92 53 C4 21
-8E 10 0C 5E DA 3F B0 12 6C 8E FA 23 3C 50 10 00
-B0 12 48 8E EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21
-0D 12 84 12 24 88 C0 8D 6A 8F FE 90 26 00 00 00
-3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 6C 8E
-E0 23 3C 50 80 00 B0 12 48 8E DB 3F 00 00 04 52
-45 54 49 00 0D 12 84 12 0A 80 00 13 5A 87 60 84
-0A 80 2C 00 94 8E 60 8F AA 8F 09 4B 2E 4E 0E DC
-A2 3F 5A 8A 03 4D 4F 56 85 12 A0 8F 00 40 B4 8F
-05 4D 4F 56 2E 42 85 12 A0 8F 40 40 00 00 03 41
-44 44 85 12 A0 8F 00 50 CE 8F 05 41 44 44 2E 42
-85 12 A0 8F 40 50 DA 8F 04 41 44 44 43 00 85 12
-A0 8F 00 60 E8 8F 06 41 44 44 43 2E 42 00 85 12
-A0 8F 40 60 8E 8F 04 53 55 42 43 00 85 12 A0 8F
-00 70 06 90 06 53 55 42 43 2E 42 00 85 12 A0 8F
-40 70 14 90 03 53 55 42 85 12 A0 8F 00 80 24 90
-05 53 55 42 2E 42 85 12 A0 8F 40 80 36 8A 03 43
-4D 50 85 12 A0 8F 00 90 3E 90 05 43 4D 50 2E 42
-85 12 A0 8F 40 90 22 8A 04 44 41 44 44 00 85 12
-A0 8F 00 A0 58 90 06 44 41 44 44 2E 42 00 85 12
-A0 8F 40 A0 4A 90 03 42 49 54 85 12 A0 8F 00 B0
-76 90 05 42 49 54 2E 42 85 12 A0 8F 40 B0 82 90
-03 42 49 43 85 12 A0 8F 00 C0 90 90 05 42 49 43
-2E 42 85 12 A0 8F 40 C0 9C 90 03 42 49 53 85 12
-A0 8F 00 D0 AA 90 05 42 49 53 2E 42 85 12 A0 8F
-40 D0 00 00 03 58 4F 52 85 12 A0 8F 00 E0 C4 90
-05 58 4F 52 2E 42 85 12 A0 8F 40 E0 F6 8F 03 41
-4E 44 85 12 A0 8F 00 F0 DE 90 05 41 4E 44 2E 42
-85 12 A0 8F 40 F0 24 88 94 8E FC 90 0A 4C 3C F0
-70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 30 90 03 52
-52 43 85 12 F6 90 00 10 0E 91 05 52 52 43 2E 42
-85 12 F6 90 40 10 1A 91 04 53 57 50 42 00 85 12
-F6 90 80 10 28 91 03 52 52 41 85 12 F6 90 00 11
-36 91 05 52 52 41 2E 42 85 12 F6 90 40 11 42 91
-03 53 58 54 85 12 F6 90 80 11 00 00 04 50 55 53
-48 00 85 12 F6 90 00 12 5C 91 06 50 55 53 48 2E
-42 00 85 12 F6 90 40 12 B6 90 04 43 41 4C 4C 00
-85 12 F6 90 80 12 1A 53 0E 4A 0D 12 84 12 D6 84
-14 80 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-36 81 50 91 03 53 3E 3D 86 12 00 38 A4 91 02 53
-3C 00 86 12 00 34 6A 91 03 30 3E 3D 86 12 00 30
-B8 91 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
-86 12 00 2C CC 91 03 55 3E 3D 86 12 00 28 C2 91
-03 30 3C 3E 86 12 00 24 E0 91 02 30 3D 00 86 12
-00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 0E 4A 30 4D D6 91 04 54 48 45 4E 00
-1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 B1 2F 88 DA 00 00 30 4D 66 90 04 45
-4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53
-C6 21 2F 83 8F 4A 00 00 E3 3F 7A 91 05 42 45 47
-49 4E 30 40 28 80 0A 92 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90
-00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C6 21 30 4D EA 90 05 41 47 41 49 4E 0A 4E 38 40
-00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
-F8 91 BA 83 60 84 AE 91 06 52 45 50 45 41 54 00
-0D 12 84 12 8C 92 10 92 60 84 BC 92 3D 41 08 4E
-3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00
-30 4D 4C 92 03 42 57 31 85 12 BA 92 00 00 D4 92
-03 42 57 32 85 12 BA 92 00 00 E0 92 03 42 57 33
-85 12 BA 92 00 00 F8 92 3D 41 1A 42 C6 21 28 4E
-B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A
-00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 F6 92
-00 00 18 93 03 46 57 32 85 12 F6 92 00 00 24 93
-03 46 57 33 85 12 F6 92 00 00 30 93 04 47 4F 54
-4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
-92 88 FE 87 60 84 00 00 05 3F 47 4F 54 4F 3E 90
-00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
-00 08 EC 3F 24 88 C0 8D 7A 93 92 53 C4 21 3E 40
-2C 00 84 12 2C 85 50 86 34 80 22 88 56 8F 90 93
-0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
-59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
-AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E A8 92 04 52
-52 43 4D 00 85 12 74 93 50 00 BE 93 04 52 52 41
-4D 00 85 12 74 93 50 01 CC 93 04 52 4C 41 4D 00
-85 12 74 93 50 02 DA 93 04 52 52 55 4D 00 85 12
-74 93 50 03 EA 91 05 50 55 53 48 4D 85 12 74 93
-00 15 F6 93 04 50 4F 50 4D 00 85 12 74 93 00 17
+A2 82 C6 21 0D 12 84 12 04 8C DE 8B 60 84 B4 89
+07 48 44 4E 43 4F 44 45 B2 40 E2 8B DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89
+1E 8C 3C 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E 8C 3C 8C 60 84
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 8A 85 48 49 32 4C 4F 0D 12 84 12 28 80
+AC 8B 5A 87 BC 88 F4 89 60 84 9A 89 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 8A 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 80 00 00 D8 83 14 88 2C 85
+AA 87 A0 83 34 80 14 8B AE 83 14 80 06 5B 54 48
+45 4E 5D 00 86 8A EE 8A AA 8A CC 8A 60 84 AE 83
+14 80 06 5B 45 4C 53 45 5D 00 86 8A 04 8B AA 8A
+CA 8A 60 84 14 80 04 5B 49 46 5D 00 86 8A CC 8A
+3A 80 CA 8A 82 83 14 80 05 0D 0A 6B 6F 20 5C 83
+BC 80 AC 80 3A 80 CC 8A BA 8A 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 8B 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 88 2C 85
+94 85 38 8B 60 84 3E 8B 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 8B F0 83 60 84 70 8B
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 87
+06 4D 41 52 4B 45 52 00 B0 12 12 89 BA 40 85 12
+FC FF BA 40 6E 8B FE FF 28 83 8A 48 00 00 BA 40
+AA 80 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A 80 CA 21 E8 83 60 84 85 12 B0 8B 78 88 E6 89
+2C 83 90 88 64 8A F6 82 80 8B 12 85 A8 8C BC 8C
+9C 84 26 85 00 00 58 8B CE 88 F4 85 00 00 85 12
+B0 8B 7E 92 E4 92 26 92 34 93 EC 91 00 00 B8 8F
+00 00 FC 93 E0 93 50 92 8E 92 C8 90 00 00 00 00
+50 93 DC 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 8B 36 8C 3C 8C 4C 8C 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 88 09 50 57 52 5F 53 54 41 54 45 85 12 44 8C
+08 94 E0 84 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 8C F3 3F 82 8C 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 8C 30 4D 94 8C 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 8D FE FF 29 83
+B9 40 02 82 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 82 B2 49 FA 80 B2 49 02 80 B2 49 20 82
+B2 49 E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 20 29 83 89 43 00 20 FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 40
+FF 7F 22 02 B2 D3 46 02 B2 40 FC FF 42 02 E2 D3
+45 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43
+A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
+BA 00 82 01 B2 40 E8 01 84 01 B2 D0 10 00 86 01
+B2 40 00 02 88 01 39 40 5C 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2
+5E 01 B0 12 F8 80 1E 82 38 40 C0 21 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12
+12 12 C4 21 84 12 2C 85 94 85 F0 83 34 80 F8 8D
+50 86 34 80 12 8E 0C 8E FA 8D 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 14 8E B2 41 C4 21 3E 41 84 12 0A 80 2B 00
+2C 85 94 85 F0 83 34 80 30 8E 50 86 34 80 12 88
+BA 83 2C 85 50 86 34 80 12 88 3C 8E 3E 5F E7 3F
+3E 40 28 00 B0 12 DC 8D 19 42 C6 21 A2 53 C6 21
+89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20
+30 40 80 89 1C 15 12 12 C4 21 92 53 C4 21 84 12
+2C 85 50 86 34 80 84 8E 7A 8E 21 53 3E 90 10 00
+C6 2B 7F 2D 86 8E B2 41 C4 21 C1 3F 0D 12 84 12
+14 88 B8 8D 96 8E 0C 43 1B 42 C6 21 A2 53 C6 21
+6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12
+DC 8D 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
+18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
+10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
+08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E
+00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
+10 02 92 53 C4 21 B0 12 DC 8D ED 3F 7A 90 40 00
+16 20 3C 40 20 00 92 53 C4 21 B0 12 64 8E 0C 20
+3C 50 10 00 3E 40 2B 00 B0 12 64 8E 92 92 C0 21
+C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12
+64 8E FA 23 3C 50 10 00 B0 12 40 8E EF 3F 0C 43
+1B 42 C6 21 A2 53 C6 21 0D 12 84 12 14 88 B8 8D
+62 8F FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
+82 00 C7 3F B0 12 64 8E E0 23 3C 50 80 00 B0 12
+40 8E DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
+0A 80 00 13 5A 87 60 84 0A 80 2C 00 8C 8E 58 8F
+A2 8F 09 4B 2E 4E 0E DC A2 3F 52 8A 03 4D 4F 56
+85 12 98 8F 00 40 AC 8F 05 4D 4F 56 2E 42 85 12
+98 8F 40 40 00 00 03 41 44 44 85 12 98 8F 00 50
+C6 8F 05 41 44 44 2E 42 85 12 98 8F 40 50 D2 8F
+04 41 44 44 43 00 85 12 98 8F 00 60 E0 8F 06 41
+44 44 43 2E 42 00 85 12 98 8F 40 60 86 8F 04 53
+55 42 43 00 85 12 98 8F 00 70 FE 8F 06 53 55 42
+43 2E 42 00 85 12 98 8F 40 70 0C 90 03 53 55 42
+85 12 98 8F 00 80 1C 90 05 53 55 42 2E 42 85 12
+98 8F 40 80 28 8A 03 43 4D 50 85 12 98 8F 00 90
+36 90 05 43 4D 50 2E 42 85 12 98 8F 40 90 12 8A
+04 44 41 44 44 00 85 12 98 8F 00 A0 50 90 06 44
+41 44 44 2E 42 00 85 12 98 8F 40 A0 42 90 03 42
+49 54 85 12 98 8F 00 B0 6E 90 05 42 49 54 2E 42
+85 12 98 8F 40 B0 7A 90 03 42 49 43 85 12 98 8F
+00 C0 88 90 05 42 49 43 2E 42 85 12 98 8F 40 C0
+94 90 03 42 49 53 85 12 98 8F 00 D0 A2 90 05 42
+49 53 2E 42 85 12 98 8F 40 D0 00 00 03 58 4F 52
+85 12 98 8F 00 E0 BC 90 05 58 4F 52 2E 42 85 12
+98 8F 40 E0 EE 8F 03 41 4E 44 85 12 98 8F 00 F0
+D6 90 05 41 4E 44 2E 42 85 12 98 8F 40 F0 14 88
+8C 8E F4 90 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
+0C DA 4F 3F 28 90 03 52 52 43 85 12 EE 90 00 10
+06 91 05 52 52 43 2E 42 85 12 EE 90 40 10 12 91
+04 53 57 50 42 00 85 12 EE 90 80 10 20 91 03 52
+52 41 85 12 EE 90 00 11 2E 91 05 52 52 41 2E 42
+85 12 EE 90 40 11 3A 91 03 53 58 54 85 12 EE 90
+80 11 00 00 04 50 55 53 48 00 85 12 EE 90 00 12
+54 91 06 50 55 53 48 2E 42 00 85 12 EE 90 40 12
+AE 90 04 43 41 4C 4C 00 85 12 EE 90 80 12 1A 53
+0E 4A 0D 12 84 12 D6 84 14 80 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 36 81 48 91 03 53 3E 3D
+86 12 00 38 9C 91 02 53 3C 00 86 12 00 34 62 91
+03 30 3E 3D 86 12 00 30 B0 91 02 30 3C 00 86 12
+00 30 00 00 02 55 3C 00 86 12 00 2C C4 91 03 55
+3E 3D 86 12 00 28 BA 91 03 30 3C 3E 86 12 00 24
+D8 91 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
+1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D
+CE 91 04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
+00 00 30 4D 5E 90 04 45 4C 53 45 00 1A 42 C6 21
+BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00
+E3 3F 72 91 05 42 45 47 49 4E 30 40 28 80 02 92
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21
+2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C6 21 30 4D E2 90 05 41
+47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
+48 49 4C 45 0D 12 84 12 F0 91 BA 83 60 84 A6 91
+06 52 45 50 45 41 54 00 0D 12 84 12 84 92 08 92
+60 84 B4 92 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21
+CB 2F 98 42 C6 21 00 00 30 4D 44 92 03 42 57 31
+85 12 B2 92 00 00 CC 92 03 42 57 32 85 12 B2 92
+00 00 D8 92 03 42 57 33 85 12 B2 92 00 00 F0 92
+3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F
+00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00
+03 46 57 31 85 12 EE 92 00 00 10 93 03 46 57 32
+85 12 EE 92 00 00 1C 93 03 46 57 33 85 12 EE 92
+00 00 28 93 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
+3E 40 00 3C 0D 12 84 12 92 88 EE 87 60 84 00 00
+05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
+3E B0 00 10 EF 27 3E E0 00 08 EC 3F 14 88 B8 8D
+72 93 92 53 C4 21 3E 40 2C 00 84 12 2C 85 50 86
+34 80 12 88 4E 8F 88 93 0A 4E 3E 4F 1A 83 F7 32
+29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
+38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
+5A 06 A6 3E A0 92 04 52 52 43 4D 00 85 12 6C 93
+50 00 B6 93 04 52 52 41 4D 00 85 12 6C 93 50 01
+C4 93 04 52 4C 41 4D 00 85 12 6C 93 50 02 D2 93
+04 52 52 55 4D 00 85 12 6C 93 50 03 E2 91 05 50
+55 53 48 4D 85 12 6C 93 00 15 EE 93 04 50 4F 50
+4D 00 85 12 6C 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 94 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
-02 82 02 82 02 82 02 82 02 82 02 82 02 82 22 8D
+02 82 02 82 02 82 02 82 02 82 02 82 02 82 1A 8D
 q
index 896ddf8..064f592 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF FA 93 08 8C 33 01
-10 00 81 06 B4 81 AA 80 B6 81 8A 81 80 82 FA 93
-08 8C 6E 82 90 83 FC 82 D8 82 3C 21 5E 84 D4 80
-E2 80 EE 80 20 00 0A 00 26 88 40 88 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF E2 93 F0 8B 34 01
+10 00 41 07 B6 81 AA 80 B8 81 8C 81 82 82 E2 93
+F0 8B 70 82 80 83 FE 82 DA 82 3C 21 4E 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 B6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
-B2 49 6C 82 B2 49 8E 83 B2 49 FA 82 B2 49 D6 82
+B2 49 6E 82 B2 49 7E 83 B2 49 FC 82 B2 49 D8 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 81 B0 12 F8 80
-0A 80 DE 21 DE 83 14 83 48 83 34 80 26 88 14 80
-05 1B 5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84
-A6 83 34 80 7C 81 14 80 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 83 9E 84 5A 83 14 80
-04 1B 5B 30 6D 00 5A 83 26 88 2E 93 13 28 B2 D0
-C0 07 80 05 18 42 02 18 08 11 38 D0 00 04 82 48
-94 05 F2 D0 0C 00 2A 02 92 C3 80 05 A2 D2 AA 05
-92 C3 30 01 30 41 48 43 A2 B3 AC 05 FD 27 C2 48
-8E 05 A2 B2 AC 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 81 D2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 81 04 57 41 52 4D 00 B0 12 8A 81
-78 40 03 00 B0 12 B8 81 84 12 14 80 07 0D 0A 1B
-5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84 0A 80
-23 00 F8 82 D4 84 14 80 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 83 0A 80 40 FF 28 80 D2 83 9E 84 14 80
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 80 7C 81
-00 00 06 41 43 43 45 50 54 00 30 40 6E 82 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 AC 05 B2 B0 10 00 80 05 B9 22 3A 17
-92 B3 AC 05 FD 27 58 42 8C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 81
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 82 2F 83 8F 4E 00 00 58 43
-B0 12 B8 81 92 B3 AC 05 FD 27 1E 42 8C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 82 08 4E 3E 4F
-A2 B3 AC 05 FD 27 C2 48 8E 05 30 4D F2 82 04 45
-43 48 4F 00 B2 40 C2 48 06 83 82 43 DE 21 38 40
-05 00 B0 12 B8 81 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 83 92 43 DE 21 28 42 F1 3F
-2A 83 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 82 78 83 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 81 02 43 52 00 30 40 90 83
-0D 12 84 12 14 80 02 0D 0A 00 5A 83 5E 84 2F 83
+B2 49 DC 21 3D 41 30 40 BC 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 81 0E 12 B0 12
+F8 80 0A 80 DE 21 CE 83 16 83 EE 80 34 80 8A 81
+14 80 05 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83
+C4 84 96 83 34 80 7E 81 14 80 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 83 8E 84 4A 83
+14 80 04 1B 5B 30 6D 00 4A 83 16 88 2E 93 13 28
+B2 D0 C0 07 80 05 18 42 02 18 08 11 38 D0 00 04
+82 48 94 05 F2 D0 0C 00 2A 02 92 C3 80 05 A2 D2
+AA 05 92 C3 30 01 30 41 48 43 A2 B3 AC 05 FD 27
+C2 48 8E 05 A2 B2 AC 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 81 D2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 81 04 57 41 52 4D 00 B0 12
+8C 81 78 40 03 00 B0 12 BA 81 84 12 14 80 07 0D
+0A 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83 C4 84
+0A 80 23 00 FA 82 C4 84 14 80 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 83 0A 80 40 FF 28 80 C2 83 8E 84
+14 80 0A 62 79 74 65 73 20 66 72 65 65 00 3A 80
+7E 81 00 00 06 41 43 43 45 50 54 00 30 40 70 82
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 AC 05 B2 B0 10 00 80 05 B8 22
+3A 17 92 B3 AC 05 FD 27 58 42 8C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 81 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 82 2F 83 8F 4E 00 00
+58 43 B0 12 BA 81 92 B3 AC 05 FD 27 1E 42 8C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 82 08 4E
+3E 4F A2 B3 AC 05 FD 27 C2 48 8E 05 30 4D F4 82
+04 45 43 48 4F 00 B2 40 C2 48 08 83 82 43 DE 21
+38 40 05 00 B0 12 BA 81 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 83 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 82 68 83 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 81 02 43 52 00 30 40 80 83
+0D 12 84 12 14 80 02 0D 0A 00 4A 83 4E 84 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 82 01 40 2E 4E
-30 4D DC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 82 01 40 2E 4E
+30 4D CC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 83 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 83 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E 80 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 84 02 23 53 00 0D 12 84 12
-1A 84 54 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 84 02 23 53 00 0D 12 84 12
+0A 84 44 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 83 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 83 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 84
-4C 84 EE 80 8C 84 68 84 5A 83 22 88 F8 82 5E 84
-42 83 01 2E 0E 93 E3 37 38 43 E2 3F 86 84 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 58 87
-0A 80 22 00 2A 85 F8 84 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 84
-82 2E 22 00 0D 12 84 12 E2 84 0A 80 5A 83 58 87
-5E 84 F6 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 83
+3C 84 EE 80 7C 84 58 84 4A 83 02 88 FA 82 4E 84
+2C 83 01 2E 0E 93 E3 37 38 43 E2 3F 76 84 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 48 87
+0A 80 22 00 1A 85 E8 84 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 84
+82 2E 22 00 0D 12 84 12 D2 84 0A 80 4A 83 48 87
+4E 84 F8 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC 86 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C 86 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 86 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 86 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,215 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 83 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 87 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 87 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 84 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 80 22 88 2A 85 DE 87 94 26
-3D 40 E6 87 D6 3E E8 87 0A 4E 3E 4F 3D 40 02 88
-2E 27 3D 40 D8 87 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 88 3E 4F 3D 40 D8 87 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 89 CC 3F
-0C 88 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C 83 BC 80
-D2 87 C2 83 F4 83 14 80 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 FC 83
+54 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 84 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 80 02 88 1A 85 BE 87 9C 26
+3D 40 C6 87 DE 3E C8 87 0A 4E 3E 4F 3D 40 E2 87
+36 27 3D 40 B8 87 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 87 3E 4F 3D 40 B8 87 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 89 CC 3F
+EC 87 86 12 20 00 D4 83 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C 83 BC 80
+B2 87 B2 83 E4 83 14 80 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 EC 83
 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 81
-3A 80 3C 88 6A 87 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 84 0A 80 2A 81 58 87 5E 84 8C 85 01 27
-0D 12 84 12 22 88 2A 85 92 85 34 80 20 88 5E 84
-00 00 83 5B 27 5D 0D 12 84 12 90 88 0A 80 0A 80
-58 87 58 87 5E 84 A2 88 81 5B 82 43 BE 21 30 4D
-0A 84 01 5D B2 43 BE 21 30 4D C2 88 81 5C 92 42
+3A 80 2C 88 08 88 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 84 0A 80 2A 81 48 87 4E 84 7C 85 01 27
+0D 12 84 12 02 88 1A 85 82 85 34 80 00 88 4E 84
+00 00 83 5B 27 5D 0D 12 84 12 80 88 0A 80 0A 80
+48 87 48 87 4E 84 92 88 81 5B 82 43 BE 21 30 4D
+FA 83 01 5D B2 43 BE 21 30 4D B2 88 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 88 2A 85 92 85 A6 83 34 80
-20 88 F4 83 34 80 04 89 0A 80 0A 80 58 87 58 87
-0A 80 58 87 58 87 5E 84 B8 88 01 3A 30 12 54 89
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 88 2A 85
-22 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 88 1A 85 82 85 96 83 34 80
+00 88 E4 83 34 80 F4 88 0A 80 0A 80 48 87 48 87
+0A 80 48 87 48 87 4E 84 A8 88 01 3A 30 12 44 89
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 88 1A 85
+12 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 81 0A 89 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A 80 5E 84 58 87 66 89 BA 88 5E 84
-C8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 87 06 43 52 45 41 54
-45 00 B0 12 10 89 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 89 04 43 4F 44 45 00 B0 12 10 89 A2 82
-C6 21 0D 12 84 12 0A 8C E4 8B 5E 84 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 89 24 8C 5E 84
-76 88 03 41 53 4D B2 40 E8 8B DA 21 E6 3F FE 89
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 8A 42 8C
-5E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 8C 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 89 85 48 49 32 4C 4F
-0D 12 84 12 28 80 B2 8B 58 87 BA 88 F2 89 5E 84
-98 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 8A
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80 00 00
-D6 83 22 88 2A 85 B8 87 9E 83 34 80 1A 8B AC 83
-14 80 06 5B 54 48 45 4E 5D 00 8C 8A F4 8A B0 8A
-D2 8A 5E 84 AC 83 14 80 06 5B 45 4C 53 45 5D 00
-8C 8A 0A 8B B0 8A D0 8A 5E 84 14 80 04 5B 49 46
-5D 00 8C 8A D2 8A 3A 80 D0 8A 80 83 14 80 05 0D
-0A 6B 6F 20 5A 83 BC 80 AC 80 3A 80 D2 8A C0 8A
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 8B 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 88 2A 85 92 85 3E 8B 5E 84 44 8B 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 8B
-EE 83 5E 84 76 8B B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 87 06 4D 41 52 4B 45 52 00 B0 12
-10 89 BA 40 85 12 FC FF BA 40 74 8B FE FF 28 83
-8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A 80 CA 21 E6 83 5E 84 85 12
-B6 8B 12 8A E4 89 0E 83 8E 88 6A 8A D0 82 86 8B
-10 85 AE 8C C2 8C 9A 84 24 85 00 00 5E 8B CC 88
-F2 85 00 00 85 12 B6 8B 70 92 D6 92 18 92 26 93
-DE 91 00 00 AA 8F 00 00 EE 93 D2 93 42 92 80 92
-BA 90 00 00 00 00 42 93 E2 8B 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE 8B 3C 8C 42 8C
-52 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 88 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 8C FA 93 DE 84 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 8C F3 3F 88 8C 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 8C 30 4D
-9A 8C 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 8D FE FF 29 83 B9 40 E0 81 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 81 B2 49 FA 80 B2 49
-02 80 B2 49 FE 81 B2 49 DA FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 20 29 83
-89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 D3 26 02 B2 40 FF 7F 22 02 B2 D3 46 02 B2 40
-FC FF 42 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
-B2 40 B0 00 82 01 B2 40 1E 00 84 01 B2 D0 10 00
-86 01 B2 40 00 02 88 01 39 40 5C 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18
-1E D2 5E 01 B0 12 F8 80 FC 81 38 40 C0 21 0A 4E
-39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E
-FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15
-0E 12 12 12 C4 21 84 12 2A 85 92 85 EE 83 34 80
-EA 8D 4E 86 34 80 04 8E FE 8D EC 8D 3C 4E 3C 80
-87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
-1B 17 30 41 06 8E B2 41 C4 21 3E 41 84 12 0A 80
-2B 00 2A 85 92 85 EE 83 34 80 22 8E 4E 86 34 80
-20 88 B8 83 2A 85 4E 86 34 80 20 88 2E 8E 3E 5F
-E7 3F 3E 40 28 00 B0 12 CE 8D 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21
-02 20 30 40 7E 89 1C 15 12 12 C4 21 92 53 C4 21
-84 12 2A 85 4E 86 34 80 76 8E 6C 8E 21 53 3E 90
-10 00 C6 2B 7F 2D 78 8E B2 41 C4 21 C1 3F 0D 12
-84 12 22 88 AA 8D 88 8E 0C 43 1B 42 C6 21 A2 53
-C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21
-B0 12 CE 8D 3C 40 00 03 0E 93 1C 24 3C 40 10 03
-1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
-2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
-3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21
-89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
-3C 40 10 02 92 53 C4 21 B0 12 CE 8D ED 3F 7A 90
-40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12 56 8E
-0C 20 3C 50 10 00 3E 40 2B 00 B0 12 56 8E 92 92
-C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F
-B0 12 56 8E FA 23 3C 50 10 00 B0 12 32 8E EF 3F
-0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12 22 88
-AA 8D 54 8F FE 90 26 00 00 00 3E 40 20 00 03 20
-3C 50 82 00 C7 3F B0 12 56 8E E0 23 3C 50 80 00
-B0 12 32 8E DB 3F 00 00 04 52 45 54 49 00 0D 12
-84 12 0A 80 00 13 58 87 5E 84 0A 80 2C 00 7E 8E
-4A 8F 94 8F 09 4B 2E 4E 0E DC A2 3F 58 8A 03 4D
-4F 56 85 12 8A 8F 00 40 9E 8F 05 4D 4F 56 2E 42
-85 12 8A 8F 40 40 00 00 03 41 44 44 85 12 8A 8F
-00 50 B8 8F 05 41 44 44 2E 42 85 12 8A 8F 40 50
-C4 8F 04 41 44 44 43 00 85 12 8A 8F 00 60 D2 8F
-06 41 44 44 43 2E 42 00 85 12 8A 8F 40 60 78 8F
-04 53 55 42 43 00 85 12 8A 8F 00 70 F0 8F 06 53
-55 42 43 2E 42 00 85 12 8A 8F 40 70 FE 8F 03 53
-55 42 85 12 8A 8F 00 80 0E 90 05 53 55 42 2E 42
-85 12 8A 8F 40 80 34 8A 03 43 4D 50 85 12 8A 8F
-00 90 28 90 05 43 4D 50 2E 42 85 12 8A 8F 40 90
-20 8A 04 44 41 44 44 00 85 12 8A 8F 00 A0 42 90
-06 44 41 44 44 2E 42 00 85 12 8A 8F 40 A0 34 90
-03 42 49 54 85 12 8A 8F 00 B0 60 90 05 42 49 54
-2E 42 85 12 8A 8F 40 B0 6C 90 03 42 49 43 85 12
-8A 8F 00 C0 7A 90 05 42 49 43 2E 42 85 12 8A 8F
-40 C0 86 90 03 42 49 53 85 12 8A 8F 00 D0 94 90
-05 42 49 53 2E 42 85 12 8A 8F 40 D0 00 00 03 58
-4F 52 85 12 8A 8F 00 E0 AE 90 05 58 4F 52 2E 42
-85 12 8A 8F 40 E0 E0 8F 03 41 4E 44 85 12 8A 8F
-00 F0 C8 90 05 41 4E 44 2E 42 85 12 8A 8F 40 F0
-22 88 7E 8E E6 90 0A 4C 3C F0 70 00 8A 10 3A F0
-0F 00 0C DA 4F 3F 1A 90 03 52 52 43 85 12 E0 90
-00 10 F8 90 05 52 52 43 2E 42 85 12 E0 90 40 10
-04 91 04 53 57 50 42 00 85 12 E0 90 80 10 12 91
-03 52 52 41 85 12 E0 90 00 11 20 91 05 52 52 41
-2E 42 85 12 E0 90 40 11 2C 91 03 53 58 54 85 12
-E0 90 80 11 00 00 04 50 55 53 48 00 85 12 E0 90
-00 12 46 91 06 50 55 53 48 2E 42 00 85 12 E0 90
-40 12 A0 90 04 43 41 4C 4C 00 85 12 E0 90 80 12
-1A 53 0E 4A 0D 12 84 12 D4 84 14 80 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 36 81 3A 91 03 53
-3E 3D 86 12 00 38 8E 91 02 53 3C 00 86 12 00 34
-54 91 03 30 3E 3D 86 12 00 30 A2 91 02 30 3C 00
-86 12 00 30 00 00 02 55 3C 00 86 12 00 2C B6 91
-03 55 3E 3D 86 12 00 28 AC 91 03 30 3C 3E 86 12
-00 24 CA 91 02 30 3D 00 86 12 00 20 00 00 02 49
-46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A
-30 4D C0 91 04 54 48 45 4E 00 1A 42 C6 21 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
-88 DA 00 00 30 4D 50 90 04 45 4C 53 45 00 1A 42
-C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A
-00 00 E3 3F 64 91 05 42 45 47 49 4E 30 40 28 80
-F4 91 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D D4 90
-05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
-05 57 48 49 4C 45 0D 12 84 12 E2 91 B8 83 5E 84
-98 91 06 52 45 50 45 41 54 00 0D 12 84 12 76 92
-FA 91 5E 84 A6 92 3D 41 08 4E 3E 4F 2A 48 B2 92
-C4 21 CB 2F 98 42 C6 21 00 00 30 4D 36 92 03 42
-57 31 85 12 A4 92 00 00 BE 92 03 42 57 32 85 12
-A4 92 00 00 CA 92 03 42 57 33 85 12 A4 92 00 00
-E2 92 3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B
-BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D
-00 00 03 46 57 31 85 12 E0 92 00 00 02 93 03 46
-57 32 85 12 E0 92 00 00 0E 93 03 46 57 33 85 12
-E0 92 00 00 1A 93 04 47 4F 54 4F 00 2F 83 8F 4E
-00 00 3E 40 00 3C 0D 12 84 12 90 88 FC 87 5E 84
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
-00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 22 88
-AA 8D 64 93 92 53 C4 21 3E 40 2C 00 84 12 2A 85
-4E 86 34 80 20 88 40 8F 7A 93 0A 4E 3E 4F 1A 83
-F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
-08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
-8A 10 5A 06 A6 3E 92 92 04 52 52 43 4D 00 85 12
-5E 93 50 00 A8 93 04 52 52 41 4D 00 85 12 5E 93
-50 01 B6 93 04 52 4C 41 4D 00 85 12 5E 93 50 02
-C4 93 04 52 52 55 4D 00 85 12 5E 93 50 03 D4 91
-05 50 55 53 48 4D 85 12 5E 93 00 15 E0 93 04 50
-4F 50 4D 00 85 12 5E 93 00 17
+74 63 68 21 36 81 FA 88 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A 80 4E 84 48 87 56 89 AA 88 4E 84
+A8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 87 06 43 52 45 41 54
+45 00 B0 12 00 89 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 89 04 43 4F 44 45 00 B0 12 00 89 A2 82
+C6 21 0D 12 84 12 F2 8B CC 8B 4E 84 A2 89 07 48
+44 4E 43 4F 44 45 B2 40 D0 8B DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 89 0C 8C
+2A 8C 4E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C 8C 2A 8C 4E 84 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE 89 85 48 49 32 4C 4F 0D 12 84 12 28 80 9A 8B
+48 87 AA 88 E2 89 4E 84 88 89 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 8A 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 80 00 00 C6 83 02 88 1A 85 98 87
+8E 83 34 80 02 8B 9C 83 14 80 06 5B 54 48 45 4E
+5D 00 74 8A DC 8A 98 8A BA 8A 4E 84 9C 83 14 80
+06 5B 45 4C 53 45 5D 00 74 8A F2 8A 98 8A B8 8A
+4E 84 14 80 04 5B 49 46 5D 00 74 8A BA 8A 3A 80
+B8 8A 70 83 14 80 05 0D 0A 6B 6F 20 4A 83 BC 80
+AC 80 3A 80 BA 8A A8 8A 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 8B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 88 1A 85 82 85
+26 8B 4E 84 2C 8B 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 8B DE 83 4E 84 5E 8B B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 87 06 4D
+41 52 4B 45 52 00 B0 12 00 89 BA 40 85 12 FC FF
+BA 40 5C 8B FE FF 28 83 8A 48 00 00 BA 40 AA 80
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A 80
+CA 21 D6 83 4E 84 85 12 9E 8B 66 88 D4 89 10 83
+7E 88 52 8A D2 82 6E 8B 00 85 96 8C AA 8C 8A 84
+14 85 00 00 46 8B BC 88 E2 85 00 00 85 12 9E 8B
+58 92 BE 92 00 92 0E 93 C6 91 00 00 92 8F 00 00
+D6 93 BA 93 2A 92 68 92 A2 90 00 00 00 00 2A 93
+CA 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 8B 24 8C 2A 8C 3A 8C 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 88
+09 50 57 52 5F 53 54 41 54 45 85 12 32 8C E2 93
+CE 84 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 8C F3 3F 70 8C 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E 8C 30 4D 82 8C 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 8D FE FF 29 83 B9 40
+E2 81 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 81 B2 49 FA 80 B2 49 02 80 B2 49 00 82 B2 49
+DA FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 20 29 83 89 43 00 20 FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 40 FF 7F
+22 02 B2 D3 46 02 B2 40 FC FF 42 02 B2 40 00 A5
+60 01 B2 40 FF 1E 80 01 B2 40 B0 00 82 01 B2 40
+1E 00 84 01 B2 D0 10 00 86 01 B2 40 00 02 88 01
+39 40 5C 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 80
+FE 81 38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52
+C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
+82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12
+1A 85 82 85 DE 83 34 80 D2 8D 3E 86 34 80 EC 8D
+E6 8D D4 8D 3C 4E 3C 80 87 12 05 24 1C 53 02 20
+2E 4E 01 3C 2E 83 21 52 1B 17 30 41 EE 8D B2 41
+C4 21 3E 41 84 12 0A 80 2B 00 1A 85 82 85 DE 83
+34 80 0A 8E 3E 86 34 80 00 88 A8 83 1A 85 3E 86
+34 80 00 88 16 8E 3E 5F E7 3F 3E 40 28 00 B0 12
+B6 8D 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40
+29 00 92 92 C0 21 C4 21 02 20 30 40 6E 89 1C 15
+12 12 C4 21 92 53 C4 21 84 12 1A 85 3E 86 34 80
+5E 8E 54 8E 21 53 3E 90 10 00 C6 2B 7F 2D 60 8E
+B2 41 C4 21 C1 3F 0D 12 84 12 02 88 92 8D 70 8E
+0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90
+23 00 27 20 92 53 C4 21 B0 12 B6 8D 3C 40 00 03
+0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
+2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
+3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
+19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41
+30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21
+B0 12 B6 8D ED 3F 7A 90 40 00 16 20 3C 40 20 00
+92 53 C4 21 B0 12 3E 8E 0C 20 3C 50 10 00 3E 40
+2B 00 B0 12 3E 8E 92 92 C0 21 C4 21 02 24 92 53
+C4 21 8E 10 0C 5E DA 3F B0 12 3E 8E FA 23 3C 50
+10 00 B0 12 1A 8E EF 3F 0C 43 1B 42 C6 21 A2 53
+C6 21 0D 12 84 12 02 88 92 8D 3C 8F FE 90 26 00
+00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
+3E 8E E0 23 3C 50 80 00 B0 12 1A 8E DB 3F 00 00
+04 52 45 54 49 00 0D 12 84 12 0A 80 00 13 48 87
+4E 84 0A 80 2C 00 66 8E 32 8F 7C 8F 09 4B 2E 4E
+0E DC A2 3F 40 8A 03 4D 4F 56 85 12 72 8F 00 40
+86 8F 05 4D 4F 56 2E 42 85 12 72 8F 40 40 00 00
+03 41 44 44 85 12 72 8F 00 50 A0 8F 05 41 44 44
+2E 42 85 12 72 8F 40 50 AC 8F 04 41 44 44 43 00
+85 12 72 8F 00 60 BA 8F 06 41 44 44 43 2E 42 00
+85 12 72 8F 40 60 60 8F 04 53 55 42 43 00 85 12
+72 8F 00 70 D8 8F 06 53 55 42 43 2E 42 00 85 12
+72 8F 40 70 E6 8F 03 53 55 42 85 12 72 8F 00 80
+F6 8F 05 53 55 42 2E 42 85 12 72 8F 40 80 16 8A
+03 43 4D 50 85 12 72 8F 00 90 10 90 05 43 4D 50
+2E 42 85 12 72 8F 40 90 00 8A 04 44 41 44 44 00
+85 12 72 8F 00 A0 2A 90 06 44 41 44 44 2E 42 00
+85 12 72 8F 40 A0 1C 90 03 42 49 54 85 12 72 8F
+00 B0 48 90 05 42 49 54 2E 42 85 12 72 8F 40 B0
+54 90 03 42 49 43 85 12 72 8F 00 C0 62 90 05 42
+49 43 2E 42 85 12 72 8F 40 C0 6E 90 03 42 49 53
+85 12 72 8F 00 D0 7C 90 05 42 49 53 2E 42 85 12
+72 8F 40 D0 00 00 03 58 4F 52 85 12 72 8F 00 E0
+96 90 05 58 4F 52 2E 42 85 12 72 8F 40 E0 C8 8F
+03 41 4E 44 85 12 72 8F 00 F0 B0 90 05 41 4E 44
+2E 42 85 12 72 8F 40 F0 02 88 66 8E CE 90 0A 4C
+3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 02 90
+03 52 52 43 85 12 C8 90 00 10 E0 90 05 52 52 43
+2E 42 85 12 C8 90 40 10 EC 90 04 53 57 50 42 00
+85 12 C8 90 80 10 FA 90 03 52 52 41 85 12 C8 90
+00 11 08 91 05 52 52 41 2E 42 85 12 C8 90 40 11
+14 91 03 53 58 54 85 12 C8 90 80 11 00 00 04 50
+55 53 48 00 85 12 C8 90 00 12 2E 91 06 50 55 53
+48 2E 42 00 85 12 C8 90 40 12 88 90 04 43 41 4C
+4C 00 85 12 C8 90 80 12 1A 53 0E 4A 0D 12 84 12
+C4 84 14 80 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 36 81 22 91 03 53 3E 3D 86 12 00 38 76 91
+02 53 3C 00 86 12 00 34 3C 91 03 30 3E 3D 86 12
+00 30 8A 91 02 30 3C 00 86 12 00 30 00 00 02 55
+3C 00 86 12 00 2C 9E 91 03 55 3E 3D 86 12 00 28
+94 91 03 30 3C 3E 86 12 00 24 B2 91 02 30 3D 00
+86 12 00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E
+00 00 A2 53 C6 21 0E 4A 30 4D A8 91 04 54 48 45
+4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 38 90
+04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00
+A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 4C 91 05 42
+45 47 49 4E 30 40 28 80 DC 91 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11
+3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C6 21 30 4D BC 90 05 41 47 41 49 4E 0A 4E
+38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
+84 12 CA 91 A8 83 4E 84 80 91 06 52 45 50 45 41
+54 00 0D 12 84 12 5E 92 E2 91 4E 84 8E 92 3D 41
+08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21
+00 00 30 4D 1E 92 03 42 57 31 85 12 8C 92 00 00
+A6 92 03 42 57 32 85 12 8C 92 00 00 B2 92 03 42
+57 33 85 12 8C 92 00 00 CA 92 3D 41 1A 42 C6 21
+28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21
+8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
+C8 92 00 00 EA 92 03 46 57 32 85 12 C8 92 00 00
+F6 92 03 46 57 33 85 12 C8 92 00 00 02 93 04 47
+4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
+84 12 80 88 DC 87 4E 84 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
+3E E0 00 08 EC 3F 02 88 92 8D 4C 93 92 53 C4 21
+3E 40 2C 00 84 12 1A 85 3E 86 34 80 00 88 28 8F
+62 93 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
+08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
+5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 7A 92
+04 52 52 43 4D 00 85 12 46 93 50 00 90 93 04 52
+52 41 4D 00 85 12 46 93 50 01 9E 93 04 52 4C 41
+4D 00 85 12 46 93 50 02 AC 93 04 52 52 55 4D 00
+85 12 46 93 50 03 BC 91 05 50 55 53 48 4D 85 12
+46 93 00 15 C8 93 04 50 4F 50 4D 00 85 12 46 93
+00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 80 82 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 20 8D
+77 00 10 00 12 00 14 00 16 00 00 00 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 82 82 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 08 8D
 q
index c403e03..8dcc6e6 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF 00 94 0A 8C 33 01
-10 00 81 36 94 81 AA 80 C6 81 9C 81 94 82 00 94
-0A 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
-E2 80 EE 80 20 00 0A 00 28 88 42 88 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF F8 93 02 8C 34 01
+10 00 41 33 94 81 AA 80 DA 81 9C 81 94 82 F8 93
+02 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 C6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
 B2 49 78 82 B2 49 90 83 B2 49 22 83 B2 49 FC 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 81 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 81 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 80 0A 80 DE 21 E0 83 32 83
 14 80 04 1B 5B 37 6D 00 5C 83 A8 83 34 80 86 81
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 82 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 82 2F 83
-8F 4E 00 00 B0 12 C6 81 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 81 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 81 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 83 08 4E 3E 4F C8 3F 1A 83 04 45 43 48
 4F 00 B2 40 C2 48 C0 82 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A 84 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 83 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 84 4E 84 EE 80 8E 84 6A 84 5C 83 24 88 20 83
+10 84 4E 84 EE 80 8E 84 6A 84 5C 83 14 88 20 83
 60 84 40 83 01 2E 0E 93 E3 37 38 43 E2 3F 88 84
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80
 5A 87 0A 80 22 00 2C 85 FA 84 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 80 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 83 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 87 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 87 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 84 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 80 24 88 2C 85 E0 87
-94 26 3D 40 E8 87 D6 3E EA 87 0A 4E 3E 4F 3D 40
-04 88 2E 27 3D 40 DA 87 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 88 3E 4F 3D 40 DA 87 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 80 14 88 2C 85 D0 87
+9C 26 3D 40 D8 87 DE 3E DA 87 0A 4E 3E 4F 3D 40
+F4 87 36 27 3D 40 CA 87 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 87 3E 4F 3D 40 CA 87 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 89
-CC 3F 0E 88 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE 87 86 12 20 00 E6 83 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E 83
-BC 80 D4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
+BC 80 C4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80
 FE 83 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 81 3A 80 3E 88 6C 87 86 41 42 4F 52 54 22 00
+2A 81 3A 80 3E 88 1A 88 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 84 0A 80 2A 81 5A 87 60 84 8E 85
-01 27 0D 12 84 12 24 88 2C 85 94 85 34 80 22 88
+01 27 0D 12 84 12 14 88 2C 85 94 85 34 80 12 88
 60 84 00 00 83 5B 27 5D 0D 12 84 12 92 88 0A 80
 0A 80 5A 87 5A 87 60 84 A4 88 81 5B 82 43 BE 21
 30 4D 0C 84 01 5D B2 43 BE 21 30 4D C4 88 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 88 2C 85 94 85 A8 83
-34 80 22 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
+4F 4E 45 00 0D 12 84 12 14 88 2C 85 94 85 A8 83
+34 80 12 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
 5A 87 0A 80 5A 87 5A 87 60 84 BA 88 01 3A 30 12
-56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 88
+56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 88
 2C 85 24 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,171 +159,171 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 81 0C 89 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A 80 60 84 5A 87 68 89 BC 88
-60 84 CA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 87 06 43 52 45
+60 84 BA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 87 06 43 52 45
 41 54 45 00 B0 12 12 89 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 89 04 43 4F 44 45 00 B0 12 12 89
-A2 82 C6 21 0D 12 84 12 0C 8C E6 8B 60 84 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89 26 8C
-60 84 78 88 03 41 53 4D B2 40 EA 8B DA 21 E6 3F
-00 8A 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 8A
-44 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 8C 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 89 85 48 49 32
-4C 4F 0D 12 84 12 28 80 B4 8B 5A 87 BC 88 F4 89
-60 84 9A 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 8A 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80
-00 00 D8 83 24 88 2C 85 BA 87 A0 83 34 80 1C 8B
-AE 83 14 80 06 5B 54 48 45 4E 5D 00 8E 8A F6 8A
-B2 8A D4 8A 60 84 AE 83 14 80 06 5B 45 4C 53 45
-5D 00 8E 8A 0C 8B B2 8A D2 8A 60 84 14 80 04 5B
-49 46 5D 00 8E 8A D4 8A 3A 80 D2 8A 82 83 14 80
-05 0D 0A 6B 6F 20 5C 83 BC 80 AC 80 3A 80 D4 8A
-C2 8A 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 8B 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 88 2C 85 94 85 40 8B 60 84 46 8B
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 8B F0 83 60 84 78 8B B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 87 06 4D 41 52 4B 45 52 00
-B0 12 12 89 BA 40 85 12 FC FF BA 40 76 8B FE FF
-28 83 8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A 80 CA 21 E8 83 60 84
-85 12 B8 8B 14 8A E6 89 2C 83 90 88 6C 8A F6 82
-88 8B 12 85 B0 8C C4 8C 9C 84 26 85 00 00 60 8B
-CE 88 F4 85 00 00 85 12 B8 8B 76 92 DC 92 1E 92
-2C 93 E4 91 00 00 B0 8F 00 00 F4 93 D8 93 48 92
-86 92 C0 90 00 00 00 00 48 93 E4 8B 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 8B 3E 8C
-44 8C 54 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 88 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 8C 00 94 E0 84 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 8C F3 3F 8A 8C
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 8C
-30 4D 9C 8C 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 8D FE FF 29 83 B9 40 02 82 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 82 B2 49 FA 80
-B2 49 02 80 B2 49 20 82 B2 49 E0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 20
-29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 B2 D3 26 02 B2 40 FF 7F 22 02 B2 D3 46 02
-B2 40 FC FF 42 02 E2 D3 45 02 B2 40 00 A5 60 01
-B2 40 FF 1E 80 01 B2 40 B0 00 82 01 B2 40 1E 00
-84 01 B2 D0 10 00 86 01 B2 40 00 02 88 01 39 40
-5C 00 18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42
-08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 80 1E 82
-38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12 2C 85
-94 85 F0 83 34 80 F0 8D 50 86 34 80 0A 8E 04 8E
-F2 8D 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 0C 8E B2 41 C4 21
-3E 41 84 12 0A 80 2B 00 2C 85 94 85 F0 83 34 80
-28 8E 50 86 34 80 22 88 BA 83 2C 85 50 86 34 80
-22 88 34 8E 3E 5F E7 3F 3E 40 28 00 B0 12 D4 8D
-19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00
-92 92 C0 21 C4 21 02 20 30 40 80 89 1C 15 12 12
-C4 21 92 53 C4 21 84 12 2C 85 50 86 34 80 7C 8E
-72 8E 21 53 3E 90 10 00 C6 2B 7F 2D 7E 8E B2 41
-C4 21 C1 3F 0D 12 84 12 24 88 B0 8D 8E 8E 0C 43
-1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00
-27 20 92 53 C4 21 B0 12 D4 8D 3C 40 00 03 0E 93
-1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
-14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
-0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
-C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D
-7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12
-D4 8D ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
-C4 21 B0 12 5C 8E 0C 20 3C 50 10 00 3E 40 2B 00
-B0 12 5C 8E 92 92 C0 21 C4 21 02 24 92 53 C4 21
-8E 10 0C 5E DA 3F B0 12 5C 8E FA 23 3C 50 10 00
-B0 12 38 8E EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21
-0D 12 84 12 24 88 B0 8D 5A 8F FE 90 26 00 00 00
-3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 5C 8E
-E0 23 3C 50 80 00 B0 12 38 8E DB 3F 00 00 04 52
-45 54 49 00 0D 12 84 12 0A 80 00 13 5A 87 60 84
-0A 80 2C 00 84 8E 50 8F 9A 8F 09 4B 2E 4E 0E DC
-A2 3F 5A 8A 03 4D 4F 56 85 12 90 8F 00 40 A4 8F
-05 4D 4F 56 2E 42 85 12 90 8F 40 40 00 00 03 41
-44 44 85 12 90 8F 00 50 BE 8F 05 41 44 44 2E 42
-85 12 90 8F 40 50 CA 8F 04 41 44 44 43 00 85 12
-90 8F 00 60 D8 8F 06 41 44 44 43 2E 42 00 85 12
-90 8F 40 60 7E 8F 04 53 55 42 43 00 85 12 90 8F
-00 70 F6 8F 06 53 55 42 43 2E 42 00 85 12 90 8F
-40 70 04 90 03 53 55 42 85 12 90 8F 00 80 14 90
-05 53 55 42 2E 42 85 12 90 8F 40 80 36 8A 03 43
-4D 50 85 12 90 8F 00 90 2E 90 05 43 4D 50 2E 42
-85 12 90 8F 40 90 22 8A 04 44 41 44 44 00 85 12
-90 8F 00 A0 48 90 06 44 41 44 44 2E 42 00 85 12
-90 8F 40 A0 3A 90 03 42 49 54 85 12 90 8F 00 B0
-66 90 05 42 49 54 2E 42 85 12 90 8F 40 B0 72 90
-03 42 49 43 85 12 90 8F 00 C0 80 90 05 42 49 43
-2E 42 85 12 90 8F 40 C0 8C 90 03 42 49 53 85 12
-90 8F 00 D0 9A 90 05 42 49 53 2E 42 85 12 90 8F
-40 D0 00 00 03 58 4F 52 85 12 90 8F 00 E0 B4 90
-05 58 4F 52 2E 42 85 12 90 8F 40 E0 E6 8F 03 41
-4E 44 85 12 90 8F 00 F0 CE 90 05 41 4E 44 2E 42
-85 12 90 8F 40 F0 24 88 84 8E EC 90 0A 4C 3C F0
-70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 20 90 03 52
-52 43 85 12 E6 90 00 10 FE 90 05 52 52 43 2E 42
-85 12 E6 90 40 10 0A 91 04 53 57 50 42 00 85 12
-E6 90 80 10 18 91 03 52 52 41 85 12 E6 90 00 11
-26 91 05 52 52 41 2E 42 85 12 E6 90 40 11 32 91
-03 53 58 54 85 12 E6 90 80 11 00 00 04 50 55 53
-48 00 85 12 E6 90 00 12 4C 91 06 50 55 53 48 2E
-42 00 85 12 E6 90 40 12 A6 90 04 43 41 4C 4C 00
-85 12 E6 90 80 12 1A 53 0E 4A 0D 12 84 12 D6 84
-14 80 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-36 81 40 91 03 53 3E 3D 86 12 00 38 94 91 02 53
-3C 00 86 12 00 34 5A 91 03 30 3E 3D 86 12 00 30
-A8 91 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
-86 12 00 2C BC 91 03 55 3E 3D 86 12 00 28 B2 91
-03 30 3C 3E 86 12 00 24 D0 91 02 30 3D 00 86 12
-00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 0E 4A 30 4D C6 91 04 54 48 45 4E 00
-1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 B1 2F 88 DA 00 00 30 4D 56 90 04 45
-4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53
-C6 21 2F 83 8F 4A 00 00 E3 3F 6A 91 05 42 45 47
-49 4E 30 40 28 80 FA 91 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90
-00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C6 21 30 4D DA 90 05 41 47 41 49 4E 0A 4E 38 40
-00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
-E8 91 BA 83 60 84 9E 91 06 52 45 50 45 41 54 00
-0D 12 84 12 7C 92 00 92 60 84 AC 92 3D 41 08 4E
-3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00
-30 4D 3C 92 03 42 57 31 85 12 AA 92 00 00 C4 92
-03 42 57 32 85 12 AA 92 00 00 D0 92 03 42 57 33
-85 12 AA 92 00 00 E8 92 3D 41 1A 42 C6 21 28 4E
-B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A
-00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 E6 92
-00 00 08 93 03 46 57 32 85 12 E6 92 00 00 14 93
-03 46 57 33 85 12 E6 92 00 00 20 93 04 47 4F 54
-4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
-92 88 FE 87 60 84 00 00 05 3F 47 4F 54 4F 3E 90
-00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
-00 08 EC 3F 24 88 B0 8D 6A 93 92 53 C4 21 3E 40
-2C 00 84 12 2C 85 50 86 34 80 22 88 46 8F 80 93
-0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
-59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
-AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 98 92 04 52
-52 43 4D 00 85 12 64 93 50 00 AE 93 04 52 52 41
-4D 00 85 12 64 93 50 01 BC 93 04 52 4C 41 4D 00
-85 12 64 93 50 02 CA 93 04 52 52 55 4D 00 85 12
-64 93 50 03 DA 91 05 50 55 53 48 4D 85 12 64 93
-00 15 E6 93 04 50 4F 50 4D 00 85 12 64 93 00 17
+A2 82 C6 21 0D 12 84 12 04 8C DE 8B 60 84 B4 89
+07 48 44 4E 43 4F 44 45 B2 40 E2 8B DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89
+1E 8C 3C 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E 8C 3C 8C 60 84
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 8A 85 48 49 32 4C 4F 0D 12 84 12 28 80
+AC 8B 5A 87 BC 88 F4 89 60 84 9A 89 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 8A 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 80 00 00 D8 83 14 88 2C 85
+AA 87 A0 83 34 80 14 8B AE 83 14 80 06 5B 54 48
+45 4E 5D 00 86 8A EE 8A AA 8A CC 8A 60 84 AE 83
+14 80 06 5B 45 4C 53 45 5D 00 86 8A 04 8B AA 8A
+CA 8A 60 84 14 80 04 5B 49 46 5D 00 86 8A CC 8A
+3A 80 CA 8A 82 83 14 80 05 0D 0A 6B 6F 20 5C 83
+BC 80 AC 80 3A 80 CC 8A BA 8A 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 8B 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 88 2C 85
+94 85 38 8B 60 84 3E 8B 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 8B F0 83 60 84 70 8B
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 87
+06 4D 41 52 4B 45 52 00 B0 12 12 89 BA 40 85 12
+FC FF BA 40 6E 8B FE FF 28 83 8A 48 00 00 BA 40
+AA 80 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A 80 CA 21 E8 83 60 84 85 12 B0 8B 78 88 E6 89
+2C 83 90 88 64 8A F6 82 80 8B 12 85 A8 8C BC 8C
+9C 84 26 85 00 00 58 8B CE 88 F4 85 00 00 85 12
+B0 8B 6E 92 D4 92 16 92 24 93 DC 91 00 00 A8 8F
+00 00 EC 93 D0 93 40 92 7E 92 B8 90 00 00 00 00
+40 93 DC 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 8B 36 8C 3C 8C 4C 8C 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 88 09 50 57 52 5F 53 54 41 54 45 85 12 44 8C
+F8 93 E0 84 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 8C F3 3F 82 8C 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 8C 30 4D 94 8C 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 8D FE FF 29 83
+B9 40 02 82 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 82 B2 49 FA 80 B2 49 02 80 B2 49 20 82
+B2 49 E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 20 29 83 89 43 00 20 FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 40
+FF 7F 22 02 B2 D3 46 02 B2 40 FC FF 42 02 E2 D3
+45 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
+B0 00 82 01 B2 40 1E 00 84 01 B2 D0 10 00 86 01
+B2 40 00 02 88 01 39 40 5C 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2
+5E 01 B0 12 F8 80 1E 82 38 40 C0 21 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12
+12 12 C4 21 84 12 2C 85 94 85 F0 83 34 80 E8 8D
+50 86 34 80 02 8E FC 8D EA 8D 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 04 8E B2 41 C4 21 3E 41 84 12 0A 80 2B 00
+2C 85 94 85 F0 83 34 80 20 8E 50 86 34 80 12 88
+BA 83 2C 85 50 86 34 80 12 88 2C 8E 3E 5F E7 3F
+3E 40 28 00 B0 12 CC 8D 19 42 C6 21 A2 53 C6 21
+89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20
+30 40 80 89 1C 15 12 12 C4 21 92 53 C4 21 84 12
+2C 85 50 86 34 80 74 8E 6A 8E 21 53 3E 90 10 00
+C6 2B 7F 2D 76 8E B2 41 C4 21 C1 3F 0D 12 84 12
+14 88 A8 8D 86 8E 0C 43 1B 42 C6 21 A2 53 C6 21
+6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12
+CC 8D 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
+18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
+10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
+08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E
+00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
+10 02 92 53 C4 21 B0 12 CC 8D ED 3F 7A 90 40 00
+16 20 3C 40 20 00 92 53 C4 21 B0 12 54 8E 0C 20
+3C 50 10 00 3E 40 2B 00 B0 12 54 8E 92 92 C0 21
+C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12
+54 8E FA 23 3C 50 10 00 B0 12 30 8E EF 3F 0C 43
+1B 42 C6 21 A2 53 C6 21 0D 12 84 12 14 88 A8 8D
+52 8F FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
+82 00 C7 3F B0 12 54 8E E0 23 3C 50 80 00 B0 12
+30 8E DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
+0A 80 00 13 5A 87 60 84 0A 80 2C 00 7C 8E 48 8F
+92 8F 09 4B 2E 4E 0E DC A2 3F 52 8A 03 4D 4F 56
+85 12 88 8F 00 40 9C 8F 05 4D 4F 56 2E 42 85 12
+88 8F 40 40 00 00 03 41 44 44 85 12 88 8F 00 50
+B6 8F 05 41 44 44 2E 42 85 12 88 8F 40 50 C2 8F
+04 41 44 44 43 00 85 12 88 8F 00 60 D0 8F 06 41
+44 44 43 2E 42 00 85 12 88 8F 40 60 76 8F 04 53
+55 42 43 00 85 12 88 8F 00 70 EE 8F 06 53 55 42
+43 2E 42 00 85 12 88 8F 40 70 FC 8F 03 53 55 42
+85 12 88 8F 00 80 0C 90 05 53 55 42 2E 42 85 12
+88 8F 40 80 28 8A 03 43 4D 50 85 12 88 8F 00 90
+26 90 05 43 4D 50 2E 42 85 12 88 8F 40 90 12 8A
+04 44 41 44 44 00 85 12 88 8F 00 A0 40 90 06 44
+41 44 44 2E 42 00 85 12 88 8F 40 A0 32 90 03 42
+49 54 85 12 88 8F 00 B0 5E 90 05 42 49 54 2E 42
+85 12 88 8F 40 B0 6A 90 03 42 49 43 85 12 88 8F
+00 C0 78 90 05 42 49 43 2E 42 85 12 88 8F 40 C0
+84 90 03 42 49 53 85 12 88 8F 00 D0 92 90 05 42
+49 53 2E 42 85 12 88 8F 40 D0 00 00 03 58 4F 52
+85 12 88 8F 00 E0 AC 90 05 58 4F 52 2E 42 85 12
+88 8F 40 E0 DE 8F 03 41 4E 44 85 12 88 8F 00 F0
+C6 90 05 41 4E 44 2E 42 85 12 88 8F 40 F0 14 88
+7C 8E E4 90 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
+0C DA 4F 3F 18 90 03 52 52 43 85 12 DE 90 00 10
+F6 90 05 52 52 43 2E 42 85 12 DE 90 40 10 02 91
+04 53 57 50 42 00 85 12 DE 90 80 10 10 91 03 52
+52 41 85 12 DE 90 00 11 1E 91 05 52 52 41 2E 42
+85 12 DE 90 40 11 2A 91 03 53 58 54 85 12 DE 90
+80 11 00 00 04 50 55 53 48 00 85 12 DE 90 00 12
+44 91 06 50 55 53 48 2E 42 00 85 12 DE 90 40 12
+9E 90 04 43 41 4C 4C 00 85 12 DE 90 80 12 1A 53
+0E 4A 0D 12 84 12 D6 84 14 80 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 36 81 38 91 03 53 3E 3D
+86 12 00 38 8C 91 02 53 3C 00 86 12 00 34 52 91
+03 30 3E 3D 86 12 00 30 A0 91 02 30 3C 00 86 12
+00 30 00 00 02 55 3C 00 86 12 00 2C B4 91 03 55
+3E 3D 86 12 00 28 AA 91 03 30 3C 3E 86 12 00 24
+C8 91 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
+1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D
+BE 91 04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
+00 00 30 4D 4E 90 04 45 4C 53 45 00 1A 42 C6 21
+BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00
+E3 3F 62 91 05 42 45 47 49 4E 30 40 28 80 F2 91
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21
+2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C6 21 30 4D D2 90 05 41
+47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
+48 49 4C 45 0D 12 84 12 E0 91 BA 83 60 84 96 91
+06 52 45 50 45 41 54 00 0D 12 84 12 74 92 F8 91
+60 84 A4 92 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21
+CB 2F 98 42 C6 21 00 00 30 4D 34 92 03 42 57 31
+85 12 A2 92 00 00 BC 92 03 42 57 32 85 12 A2 92
+00 00 C8 92 03 42 57 33 85 12 A2 92 00 00 E0 92
+3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F
+00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00
+03 46 57 31 85 12 DE 92 00 00 00 93 03 46 57 32
+85 12 DE 92 00 00 0C 93 03 46 57 33 85 12 DE 92
+00 00 18 93 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
+3E 40 00 3C 0D 12 84 12 92 88 EE 87 60 84 00 00
+05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
+3E B0 00 10 EF 27 3E E0 00 08 EC 3F 14 88 A8 8D
+62 93 92 53 C4 21 3E 40 2C 00 84 12 2C 85 50 86
+34 80 12 88 3E 8F 78 93 0A 4E 3E 4F 1A 83 F7 32
+29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
+38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
+5A 06 A6 3E 90 92 04 52 52 43 4D 00 85 12 5C 93
+50 00 A6 93 04 52 52 41 4D 00 85 12 5C 93 50 01
+B4 93 04 52 4C 41 4D 00 85 12 5C 93 50 02 C2 93
+04 52 52 55 4D 00 85 12 5C 93 50 03 D2 91 05 50
+55 53 48 4D 85 12 5C 93 00 15 DE 93 04 50 4F 50
+4D 00 85 12 5C 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 94 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
-02 82 02 82 02 82 02 82 02 82 02 82 02 82 22 8D
+02 82 02 82 02 82 02 82 02 82 02 82 02 82 1A 8D
 q
index e261507..6d0fa68 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF FA 93 08 8C 33 01
-10 00 81 06 B4 81 AA 80 B6 81 8A 81 80 82 FA 93
-08 8C 6E 82 90 83 FC 82 D8 82 3C 21 5E 84 D4 80
-E2 80 EE 80 20 00 0A 00 26 88 40 88 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF E2 93 F0 8B 34 01
+10 00 41 07 B6 81 AA 80 B8 81 8C 81 82 82 E2 93
+F0 8B 70 82 80 83 FE 82 DA 82 3C 21 4E 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 B6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
-B2 49 6C 82 B2 49 8E 83 B2 49 FA 82 B2 49 D6 82
+B2 49 6E 82 B2 49 7E 83 B2 49 FC 82 B2 49 D8 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 81 B0 12 F8 80
-0A 80 DE 21 DE 83 14 83 48 83 34 80 26 88 14 80
-05 1B 5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84
-A6 83 34 80 7C 81 14 80 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 83 9E 84 5A 83 14 80
-04 1B 5B 30 6D 00 5A 83 26 88 2E 93 13 28 B2 D0
-C0 07 80 05 18 42 02 18 08 11 38 D0 00 04 82 48
-94 05 F2 D0 0C 00 2A 02 92 C3 80 05 A2 D2 AA 05
-92 C3 30 01 30 41 48 43 A2 B3 AC 05 FD 27 C2 48
-8E 05 A2 B2 AC 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 81 D2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 81 04 57 41 52 4D 00 B0 12 8A 81
-78 40 03 00 B0 12 B8 81 84 12 14 80 07 0D 0A 1B
-5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84 0A 80
-23 00 F8 82 D4 84 14 80 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 83 0A 80 40 FF 28 80 D2 83 9E 84 14 80
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 80 7C 81
-00 00 06 41 43 43 45 50 54 00 30 40 6E 82 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 AC 05 B2 B0 10 00 80 05 B9 22 3A 17
-92 B3 AC 05 FD 27 58 42 8C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 81
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 82 2F 83 8F 4E 00 00 58 43
-B0 12 B8 81 92 B3 AC 05 FD 27 1E 42 8C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 82 08 4E 3E 4F
-A2 B3 AC 05 FD 27 C2 48 8E 05 30 4D F2 82 04 45
-43 48 4F 00 B2 40 C2 48 06 83 82 43 DE 21 38 40
-05 00 B0 12 B8 81 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 83 92 43 DE 21 28 42 F1 3F
-2A 83 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 82 78 83 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 81 02 43 52 00 30 40 90 83
-0D 12 84 12 14 80 02 0D 0A 00 5A 83 5E 84 2F 83
+B2 49 DC 21 3D 41 30 40 BC 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 81 0E 12 B0 12
+F8 80 0A 80 DE 21 CE 83 16 83 EE 80 34 80 8A 81
+14 80 05 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83
+C4 84 96 83 34 80 7E 81 14 80 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 83 8E 84 4A 83
+14 80 04 1B 5B 30 6D 00 4A 83 16 88 2E 93 13 28
+B2 D0 C0 07 80 05 18 42 02 18 08 11 38 D0 00 04
+82 48 94 05 F2 D0 0C 00 2A 02 92 C3 80 05 A2 D2
+AA 05 92 C3 30 01 30 41 48 43 A2 B3 AC 05 FD 27
+C2 48 8E 05 A2 B2 AC 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 81 D2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 81 04 57 41 52 4D 00 B0 12
+8C 81 78 40 03 00 B0 12 BA 81 84 12 14 80 07 0D
+0A 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83 C4 84
+0A 80 23 00 FA 82 C4 84 14 80 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 83 0A 80 40 FF 28 80 C2 83 8E 84
+14 80 0A 62 79 74 65 73 20 66 72 65 65 00 3A 80
+7E 81 00 00 06 41 43 43 45 50 54 00 30 40 70 82
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 AC 05 B2 B0 10 00 80 05 B8 22
+3A 17 92 B3 AC 05 FD 27 58 42 8C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 81 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 82 2F 83 8F 4E 00 00
+58 43 B0 12 BA 81 92 B3 AC 05 FD 27 1E 42 8C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 82 08 4E
+3E 4F A2 B3 AC 05 FD 27 C2 48 8E 05 30 4D F4 82
+04 45 43 48 4F 00 B2 40 C2 48 08 83 82 43 DE 21
+38 40 05 00 B0 12 BA 81 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 83 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 82 68 83 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 81 02 43 52 00 30 40 80 83
+0D 12 84 12 14 80 02 0D 0A 00 4A 83 4E 84 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 82 01 40 2E 4E
-30 4D DC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 82 01 40 2E 4E
+30 4D CC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 83 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 83 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E 80 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 84 02 23 53 00 0D 12 84 12
-1A 84 54 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 84 02 23 53 00 0D 12 84 12
+0A 84 44 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 83 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 83 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 84
-4C 84 EE 80 8C 84 68 84 5A 83 22 88 F8 82 5E 84
-42 83 01 2E 0E 93 E3 37 38 43 E2 3F 86 84 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 58 87
-0A 80 22 00 2A 85 F8 84 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 84
-82 2E 22 00 0D 12 84 12 E2 84 0A 80 5A 83 58 87
-5E 84 F6 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 83
+3C 84 EE 80 7C 84 58 84 4A 83 02 88 FA 82 4E 84
+2C 83 01 2E 0E 93 E3 37 38 43 E2 3F 76 84 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 48 87
+0A 80 22 00 1A 85 E8 84 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 84
+82 2E 22 00 0D 12 84 12 D2 84 0A 80 4A 83 48 87
+4E 84 F8 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC 86 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C 86 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 86 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 86 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,215 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 83 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 87 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 87 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 84 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 80 22 88 2A 85 DE 87 94 26
-3D 40 E6 87 D6 3E E8 87 0A 4E 3E 4F 3D 40 02 88
-2E 27 3D 40 D8 87 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 88 3E 4F 3D 40 D8 87 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 89 CC 3F
-0C 88 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C 83 BC 80
-D2 87 C2 83 F4 83 14 80 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 FC 83
+54 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 84 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 80 02 88 1A 85 BE 87 9C 26
+3D 40 C6 87 DE 3E C8 87 0A 4E 3E 4F 3D 40 E2 87
+36 27 3D 40 B8 87 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 87 3E 4F 3D 40 B8 87 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 89 CC 3F
+EC 87 86 12 20 00 D4 83 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C 83 BC 80
+B2 87 B2 83 E4 83 14 80 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 EC 83
 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 81
-3A 80 3C 88 6A 87 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 84 0A 80 2A 81 58 87 5E 84 8C 85 01 27
-0D 12 84 12 22 88 2A 85 92 85 34 80 20 88 5E 84
-00 00 83 5B 27 5D 0D 12 84 12 90 88 0A 80 0A 80
-58 87 58 87 5E 84 A2 88 81 5B 82 43 BE 21 30 4D
-0A 84 01 5D B2 43 BE 21 30 4D C2 88 81 5C 92 42
+3A 80 2C 88 08 88 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 84 0A 80 2A 81 48 87 4E 84 7C 85 01 27
+0D 12 84 12 02 88 1A 85 82 85 34 80 00 88 4E 84
+00 00 83 5B 27 5D 0D 12 84 12 80 88 0A 80 0A 80
+48 87 48 87 4E 84 92 88 81 5B 82 43 BE 21 30 4D
+FA 83 01 5D B2 43 BE 21 30 4D B2 88 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 88 2A 85 92 85 A6 83 34 80
-20 88 F4 83 34 80 04 89 0A 80 0A 80 58 87 58 87
-0A 80 58 87 58 87 5E 84 B8 88 01 3A 30 12 54 89
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 88 2A 85
-22 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 88 1A 85 82 85 96 83 34 80
+00 88 E4 83 34 80 F4 88 0A 80 0A 80 48 87 48 87
+0A 80 48 87 48 87 4E 84 A8 88 01 3A 30 12 44 89
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 88 1A 85
+12 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 81 0A 89 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A 80 5E 84 58 87 66 89 BA 88 5E 84
-C8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 87 06 43 52 45 41 54
-45 00 B0 12 10 89 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 89 04 43 4F 44 45 00 B0 12 10 89 A2 82
-C6 21 0D 12 84 12 0A 8C E4 8B 5E 84 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 89 24 8C 5E 84
-76 88 03 41 53 4D B2 40 E8 8B DA 21 E6 3F FE 89
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 8A 42 8C
-5E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 8C 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 89 85 48 49 32 4C 4F
-0D 12 84 12 28 80 B2 8B 58 87 BA 88 F2 89 5E 84
-98 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 8A
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80 00 00
-D6 83 22 88 2A 85 B8 87 9E 83 34 80 1A 8B AC 83
-14 80 06 5B 54 48 45 4E 5D 00 8C 8A F4 8A B0 8A
-D2 8A 5E 84 AC 83 14 80 06 5B 45 4C 53 45 5D 00
-8C 8A 0A 8B B0 8A D0 8A 5E 84 14 80 04 5B 49 46
-5D 00 8C 8A D2 8A 3A 80 D0 8A 80 83 14 80 05 0D
-0A 6B 6F 20 5A 83 BC 80 AC 80 3A 80 D2 8A C0 8A
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 8B 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 88 2A 85 92 85 3E 8B 5E 84 44 8B 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 8B
-EE 83 5E 84 76 8B B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 87 06 4D 41 52 4B 45 52 00 B0 12
-10 89 BA 40 85 12 FC FF BA 40 74 8B FE FF 28 83
-8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A 80 CA 21 E6 83 5E 84 85 12
-B6 8B 12 8A E4 89 0E 83 8E 88 6A 8A D0 82 86 8B
-10 85 AE 8C C2 8C 9A 84 24 85 00 00 5E 8B CC 88
-F2 85 00 00 85 12 B6 8B 70 92 D6 92 18 92 26 93
-DE 91 00 00 AA 8F 00 00 EE 93 D2 93 42 92 80 92
-BA 90 00 00 00 00 42 93 E2 8B 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE 8B 3C 8C 42 8C
-52 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 88 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 8C FA 93 DE 84 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 8C F3 3F 88 8C 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 8C 30 4D
-9A 8C 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 8D FE FF 29 83 B9 40 E0 81 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 81 B2 49 FA 80 B2 49
-02 80 B2 49 FE 81 B2 49 DA FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 20 29 83
-89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 D3 26 02 B2 40 FF 7F 22 02 B2 D3 46 02 B2 40
-FC FF 42 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
-B2 40 B6 00 82 01 B2 40 F4 00 84 01 B2 D0 10 00
-86 01 B2 40 00 02 88 01 39 40 5C 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18
-1E D2 5E 01 B0 12 F8 80 FC 81 38 40 C0 21 0A 4E
-39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E
-FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15
-0E 12 12 12 C4 21 84 12 2A 85 92 85 EE 83 34 80
-EA 8D 4E 86 34 80 04 8E FE 8D EC 8D 3C 4E 3C 80
-87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
-1B 17 30 41 06 8E B2 41 C4 21 3E 41 84 12 0A 80
-2B 00 2A 85 92 85 EE 83 34 80 22 8E 4E 86 34 80
-20 88 B8 83 2A 85 4E 86 34 80 20 88 2E 8E 3E 5F
-E7 3F 3E 40 28 00 B0 12 CE 8D 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21
-02 20 30 40 7E 89 1C 15 12 12 C4 21 92 53 C4 21
-84 12 2A 85 4E 86 34 80 76 8E 6C 8E 21 53 3E 90
-10 00 C6 2B 7F 2D 78 8E B2 41 C4 21 C1 3F 0D 12
-84 12 22 88 AA 8D 88 8E 0C 43 1B 42 C6 21 A2 53
-C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21
-B0 12 CE 8D 3C 40 00 03 0E 93 1C 24 3C 40 10 03
-1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
-2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
-3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21
-89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
-3C 40 10 02 92 53 C4 21 B0 12 CE 8D ED 3F 7A 90
-40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12 56 8E
-0C 20 3C 50 10 00 3E 40 2B 00 B0 12 56 8E 92 92
-C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F
-B0 12 56 8E FA 23 3C 50 10 00 B0 12 32 8E EF 3F
-0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12 22 88
-AA 8D 54 8F FE 90 26 00 00 00 3E 40 20 00 03 20
-3C 50 82 00 C7 3F B0 12 56 8E E0 23 3C 50 80 00
-B0 12 32 8E DB 3F 00 00 04 52 45 54 49 00 0D 12
-84 12 0A 80 00 13 58 87 5E 84 0A 80 2C 00 7E 8E
-4A 8F 94 8F 09 4B 2E 4E 0E DC A2 3F 58 8A 03 4D
-4F 56 85 12 8A 8F 00 40 9E 8F 05 4D 4F 56 2E 42
-85 12 8A 8F 40 40 00 00 03 41 44 44 85 12 8A 8F
-00 50 B8 8F 05 41 44 44 2E 42 85 12 8A 8F 40 50
-C4 8F 04 41 44 44 43 00 85 12 8A 8F 00 60 D2 8F
-06 41 44 44 43 2E 42 00 85 12 8A 8F 40 60 78 8F
-04 53 55 42 43 00 85 12 8A 8F 00 70 F0 8F 06 53
-55 42 43 2E 42 00 85 12 8A 8F 40 70 FE 8F 03 53
-55 42 85 12 8A 8F 00 80 0E 90 05 53 55 42 2E 42
-85 12 8A 8F 40 80 34 8A 03 43 4D 50 85 12 8A 8F
-00 90 28 90 05 43 4D 50 2E 42 85 12 8A 8F 40 90
-20 8A 04 44 41 44 44 00 85 12 8A 8F 00 A0 42 90
-06 44 41 44 44 2E 42 00 85 12 8A 8F 40 A0 34 90
-03 42 49 54 85 12 8A 8F 00 B0 60 90 05 42 49 54
-2E 42 85 12 8A 8F 40 B0 6C 90 03 42 49 43 85 12
-8A 8F 00 C0 7A 90 05 42 49 43 2E 42 85 12 8A 8F
-40 C0 86 90 03 42 49 53 85 12 8A 8F 00 D0 94 90
-05 42 49 53 2E 42 85 12 8A 8F 40 D0 00 00 03 58
-4F 52 85 12 8A 8F 00 E0 AE 90 05 58 4F 52 2E 42
-85 12 8A 8F 40 E0 E0 8F 03 41 4E 44 85 12 8A 8F
-00 F0 C8 90 05 41 4E 44 2E 42 85 12 8A 8F 40 F0
-22 88 7E 8E E6 90 0A 4C 3C F0 70 00 8A 10 3A F0
-0F 00 0C DA 4F 3F 1A 90 03 52 52 43 85 12 E0 90
-00 10 F8 90 05 52 52 43 2E 42 85 12 E0 90 40 10
-04 91 04 53 57 50 42 00 85 12 E0 90 80 10 12 91
-03 52 52 41 85 12 E0 90 00 11 20 91 05 52 52 41
-2E 42 85 12 E0 90 40 11 2C 91 03 53 58 54 85 12
-E0 90 80 11 00 00 04 50 55 53 48 00 85 12 E0 90
-00 12 46 91 06 50 55 53 48 2E 42 00 85 12 E0 90
-40 12 A0 90 04 43 41 4C 4C 00 85 12 E0 90 80 12
-1A 53 0E 4A 0D 12 84 12 D4 84 14 80 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 36 81 3A 91 03 53
-3E 3D 86 12 00 38 8E 91 02 53 3C 00 86 12 00 34
-54 91 03 30 3E 3D 86 12 00 30 A2 91 02 30 3C 00
-86 12 00 30 00 00 02 55 3C 00 86 12 00 2C B6 91
-03 55 3E 3D 86 12 00 28 AC 91 03 30 3C 3E 86 12
-00 24 CA 91 02 30 3D 00 86 12 00 20 00 00 02 49
-46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A
-30 4D C0 91 04 54 48 45 4E 00 1A 42 C6 21 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
-88 DA 00 00 30 4D 50 90 04 45 4C 53 45 00 1A 42
-C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A
-00 00 E3 3F 64 91 05 42 45 47 49 4E 30 40 28 80
-F4 91 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D D4 90
-05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
-05 57 48 49 4C 45 0D 12 84 12 E2 91 B8 83 5E 84
-98 91 06 52 45 50 45 41 54 00 0D 12 84 12 76 92
-FA 91 5E 84 A6 92 3D 41 08 4E 3E 4F 2A 48 B2 92
-C4 21 CB 2F 98 42 C6 21 00 00 30 4D 36 92 03 42
-57 31 85 12 A4 92 00 00 BE 92 03 42 57 32 85 12
-A4 92 00 00 CA 92 03 42 57 33 85 12 A4 92 00 00
-E2 92 3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B
-BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D
-00 00 03 46 57 31 85 12 E0 92 00 00 02 93 03 46
-57 32 85 12 E0 92 00 00 0E 93 03 46 57 33 85 12
-E0 92 00 00 1A 93 04 47 4F 54 4F 00 2F 83 8F 4E
-00 00 3E 40 00 3C 0D 12 84 12 90 88 FC 87 5E 84
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
-00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 22 88
-AA 8D 64 93 92 53 C4 21 3E 40 2C 00 84 12 2A 85
-4E 86 34 80 20 88 40 8F 7A 93 0A 4E 3E 4F 1A 83
-F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
-08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
-8A 10 5A 06 A6 3E 92 92 04 52 52 43 4D 00 85 12
-5E 93 50 00 A8 93 04 52 52 41 4D 00 85 12 5E 93
-50 01 B6 93 04 52 4C 41 4D 00 85 12 5E 93 50 02
-C4 93 04 52 52 55 4D 00 85 12 5E 93 50 03 D4 91
-05 50 55 53 48 4D 85 12 5E 93 00 15 E0 93 04 50
-4F 50 4D 00 85 12 5E 93 00 17
+74 63 68 21 36 81 FA 88 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A 80 4E 84 48 87 56 89 AA 88 4E 84
+A8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 87 06 43 52 45 41 54
+45 00 B0 12 00 89 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 89 04 43 4F 44 45 00 B0 12 00 89 A2 82
+C6 21 0D 12 84 12 F2 8B CC 8B 4E 84 A2 89 07 48
+44 4E 43 4F 44 45 B2 40 D0 8B DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 89 0C 8C
+2A 8C 4E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C 8C 2A 8C 4E 84 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE 89 85 48 49 32 4C 4F 0D 12 84 12 28 80 9A 8B
+48 87 AA 88 E2 89 4E 84 88 89 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 8A 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 80 00 00 C6 83 02 88 1A 85 98 87
+8E 83 34 80 02 8B 9C 83 14 80 06 5B 54 48 45 4E
+5D 00 74 8A DC 8A 98 8A BA 8A 4E 84 9C 83 14 80
+06 5B 45 4C 53 45 5D 00 74 8A F2 8A 98 8A B8 8A
+4E 84 14 80 04 5B 49 46 5D 00 74 8A BA 8A 3A 80
+B8 8A 70 83 14 80 05 0D 0A 6B 6F 20 4A 83 BC 80
+AC 80 3A 80 BA 8A A8 8A 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 8B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 88 1A 85 82 85
+26 8B 4E 84 2C 8B 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 8B DE 83 4E 84 5E 8B B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 87 06 4D
+41 52 4B 45 52 00 B0 12 00 89 BA 40 85 12 FC FF
+BA 40 5C 8B FE FF 28 83 8A 48 00 00 BA 40 AA 80
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A 80
+CA 21 D6 83 4E 84 85 12 9E 8B 66 88 D4 89 10 83
+7E 88 52 8A D2 82 6E 8B 00 85 96 8C AA 8C 8A 84
+14 85 00 00 46 8B BC 88 E2 85 00 00 85 12 9E 8B
+58 92 BE 92 00 92 0E 93 C6 91 00 00 92 8F 00 00
+D6 93 BA 93 2A 92 68 92 A2 90 00 00 00 00 2A 93
+CA 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 8B 24 8C 2A 8C 3A 8C 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 88
+09 50 57 52 5F 53 54 41 54 45 85 12 32 8C E2 93
+CE 84 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 8C F3 3F 70 8C 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E 8C 30 4D 82 8C 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 8D FE FF 29 83 B9 40
+E2 81 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 81 B2 49 FA 80 B2 49 02 80 B2 49 00 82 B2 49
+DA FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 20 29 83 89 43 00 20 FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 40 FF 7F
+22 02 B2 D3 46 02 B2 40 FC FF 42 02 B2 40 00 A5
+60 01 B2 40 FF 1E 80 01 B2 40 B6 00 82 01 B2 40
+F4 00 84 01 B2 D0 10 00 86 01 B2 40 00 02 88 01
+39 40 5C 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 80
+FE 81 38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52
+C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
+82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12
+1A 85 82 85 DE 83 34 80 D2 8D 3E 86 34 80 EC 8D
+E6 8D D4 8D 3C 4E 3C 80 87 12 05 24 1C 53 02 20
+2E 4E 01 3C 2E 83 21 52 1B 17 30 41 EE 8D B2 41
+C4 21 3E 41 84 12 0A 80 2B 00 1A 85 82 85 DE 83
+34 80 0A 8E 3E 86 34 80 00 88 A8 83 1A 85 3E 86
+34 80 00 88 16 8E 3E 5F E7 3F 3E 40 28 00 B0 12
+B6 8D 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40
+29 00 92 92 C0 21 C4 21 02 20 30 40 6E 89 1C 15
+12 12 C4 21 92 53 C4 21 84 12 1A 85 3E 86 34 80
+5E 8E 54 8E 21 53 3E 90 10 00 C6 2B 7F 2D 60 8E
+B2 41 C4 21 C1 3F 0D 12 84 12 02 88 92 8D 70 8E
+0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90
+23 00 27 20 92 53 C4 21 B0 12 B6 8D 3C 40 00 03
+0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
+2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
+3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
+19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41
+30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21
+B0 12 B6 8D ED 3F 7A 90 40 00 16 20 3C 40 20 00
+92 53 C4 21 B0 12 3E 8E 0C 20 3C 50 10 00 3E 40
+2B 00 B0 12 3E 8E 92 92 C0 21 C4 21 02 24 92 53
+C4 21 8E 10 0C 5E DA 3F B0 12 3E 8E FA 23 3C 50
+10 00 B0 12 1A 8E EF 3F 0C 43 1B 42 C6 21 A2 53
+C6 21 0D 12 84 12 02 88 92 8D 3C 8F FE 90 26 00
+00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
+3E 8E E0 23 3C 50 80 00 B0 12 1A 8E DB 3F 00 00
+04 52 45 54 49 00 0D 12 84 12 0A 80 00 13 48 87
+4E 84 0A 80 2C 00 66 8E 32 8F 7C 8F 09 4B 2E 4E
+0E DC A2 3F 40 8A 03 4D 4F 56 85 12 72 8F 00 40
+86 8F 05 4D 4F 56 2E 42 85 12 72 8F 40 40 00 00
+03 41 44 44 85 12 72 8F 00 50 A0 8F 05 41 44 44
+2E 42 85 12 72 8F 40 50 AC 8F 04 41 44 44 43 00
+85 12 72 8F 00 60 BA 8F 06 41 44 44 43 2E 42 00
+85 12 72 8F 40 60 60 8F 04 53 55 42 43 00 85 12
+72 8F 00 70 D8 8F 06 53 55 42 43 2E 42 00 85 12
+72 8F 40 70 E6 8F 03 53 55 42 85 12 72 8F 00 80
+F6 8F 05 53 55 42 2E 42 85 12 72 8F 40 80 16 8A
+03 43 4D 50 85 12 72 8F 00 90 10 90 05 43 4D 50
+2E 42 85 12 72 8F 40 90 00 8A 04 44 41 44 44 00
+85 12 72 8F 00 A0 2A 90 06 44 41 44 44 2E 42 00
+85 12 72 8F 40 A0 1C 90 03 42 49 54 85 12 72 8F
+00 B0 48 90 05 42 49 54 2E 42 85 12 72 8F 40 B0
+54 90 03 42 49 43 85 12 72 8F 00 C0 62 90 05 42
+49 43 2E 42 85 12 72 8F 40 C0 6E 90 03 42 49 53
+85 12 72 8F 00 D0 7C 90 05 42 49 53 2E 42 85 12
+72 8F 40 D0 00 00 03 58 4F 52 85 12 72 8F 00 E0
+96 90 05 58 4F 52 2E 42 85 12 72 8F 40 E0 C8 8F
+03 41 4E 44 85 12 72 8F 00 F0 B0 90 05 41 4E 44
+2E 42 85 12 72 8F 40 F0 02 88 66 8E CE 90 0A 4C
+3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 02 90
+03 52 52 43 85 12 C8 90 00 10 E0 90 05 52 52 43
+2E 42 85 12 C8 90 40 10 EC 90 04 53 57 50 42 00
+85 12 C8 90 80 10 FA 90 03 52 52 41 85 12 C8 90
+00 11 08 91 05 52 52 41 2E 42 85 12 C8 90 40 11
+14 91 03 53 58 54 85 12 C8 90 80 11 00 00 04 50
+55 53 48 00 85 12 C8 90 00 12 2E 91 06 50 55 53
+48 2E 42 00 85 12 C8 90 40 12 88 90 04 43 41 4C
+4C 00 85 12 C8 90 80 12 1A 53 0E 4A 0D 12 84 12
+C4 84 14 80 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 36 81 22 91 03 53 3E 3D 86 12 00 38 76 91
+02 53 3C 00 86 12 00 34 3C 91 03 30 3E 3D 86 12
+00 30 8A 91 02 30 3C 00 86 12 00 30 00 00 02 55
+3C 00 86 12 00 2C 9E 91 03 55 3E 3D 86 12 00 28
+94 91 03 30 3C 3E 86 12 00 24 B2 91 02 30 3D 00
+86 12 00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E
+00 00 A2 53 C6 21 0E 4A 30 4D A8 91 04 54 48 45
+4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 38 90
+04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00
+A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 4C 91 05 42
+45 47 49 4E 30 40 28 80 DC 91 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11
+3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C6 21 30 4D BC 90 05 41 47 41 49 4E 0A 4E
+38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
+84 12 CA 91 A8 83 4E 84 80 91 06 52 45 50 45 41
+54 00 0D 12 84 12 5E 92 E2 91 4E 84 8E 92 3D 41
+08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21
+00 00 30 4D 1E 92 03 42 57 31 85 12 8C 92 00 00
+A6 92 03 42 57 32 85 12 8C 92 00 00 B2 92 03 42
+57 33 85 12 8C 92 00 00 CA 92 3D 41 1A 42 C6 21
+28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21
+8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
+C8 92 00 00 EA 92 03 46 57 32 85 12 C8 92 00 00
+F6 92 03 46 57 33 85 12 C8 92 00 00 02 93 04 47
+4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
+84 12 80 88 DC 87 4E 84 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
+3E E0 00 08 EC 3F 02 88 92 8D 4C 93 92 53 C4 21
+3E 40 2C 00 84 12 1A 85 3E 86 34 80 00 88 28 8F
+62 93 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
+08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
+5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 7A 92
+04 52 52 43 4D 00 85 12 46 93 50 00 90 93 04 52
+52 41 4D 00 85 12 46 93 50 01 9E 93 04 52 4C 41
+4D 00 85 12 46 93 50 02 AC 93 04 52 52 55 4D 00
+85 12 46 93 50 03 BC 91 05 50 55 53 48 4D 85 12
+46 93 00 15 C8 93 04 50 4F 50 4D 00 85 12 46 93
+00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 80 82 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 20 8D
+77 00 10 00 12 00 14 00 16 00 00 00 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 82 82 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 08 8D
 q
index 3fe28fb..a353f80 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF 00 94 0A 8C 33 01
-10 00 81 36 94 81 AA 80 C6 81 9C 81 94 82 00 94
-0A 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
-E2 80 EE 80 20 00 0A 00 28 88 42 88 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF F8 93 02 8C 34 01
+10 00 41 33 94 81 AA 80 DA 81 9C 81 94 82 F8 93
+02 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 C6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
 B2 49 78 82 B2 49 90 83 B2 49 22 83 B2 49 FC 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 81 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 81 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 80 0A 80 DE 21 E0 83 32 83
 14 80 04 1B 5B 37 6D 00 5C 83 A8 83 34 80 86 81
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 82 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 82 2F 83
-8F 4E 00 00 B0 12 C6 81 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 81 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 81 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 83 08 4E 3E 4F C8 3F 1A 83 04 45 43 48
 4F 00 B2 40 C2 48 C0 82 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A 84 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 83 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 84 4E 84 EE 80 8E 84 6A 84 5C 83 24 88 20 83
+10 84 4E 84 EE 80 8E 84 6A 84 5C 83 14 88 20 83
 60 84 40 83 01 2E 0E 93 E3 37 38 43 E2 3F 88 84
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80
 5A 87 0A 80 22 00 2C 85 FA 84 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 80 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 83 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 87 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 87 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 84 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 80 24 88 2C 85 E0 87
-94 26 3D 40 E8 87 D6 3E EA 87 0A 4E 3E 4F 3D 40
-04 88 2E 27 3D 40 DA 87 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 88 3E 4F 3D 40 DA 87 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 80 14 88 2C 85 D0 87
+9C 26 3D 40 D8 87 DE 3E DA 87 0A 4E 3E 4F 3D 40
+F4 87 36 27 3D 40 CA 87 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 87 3E 4F 3D 40 CA 87 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 89
-CC 3F 0E 88 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE 87 86 12 20 00 E6 83 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E 83
-BC 80 D4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
+BC 80 C4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80
 FE 83 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 81 3A 80 3E 88 6C 87 86 41 42 4F 52 54 22 00
+2A 81 3A 80 3E 88 1A 88 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 84 0A 80 2A 81 5A 87 60 84 8E 85
-01 27 0D 12 84 12 24 88 2C 85 94 85 34 80 22 88
+01 27 0D 12 84 12 14 88 2C 85 94 85 34 80 12 88
 60 84 00 00 83 5B 27 5D 0D 12 84 12 92 88 0A 80
 0A 80 5A 87 5A 87 60 84 A4 88 81 5B 82 43 BE 21
 30 4D 0C 84 01 5D B2 43 BE 21 30 4D C4 88 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 88 2C 85 94 85 A8 83
-34 80 22 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
+4F 4E 45 00 0D 12 84 12 14 88 2C 85 94 85 A8 83
+34 80 12 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
 5A 87 0A 80 5A 87 5A 87 60 84 BA 88 01 3A 30 12
-56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 88
+56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 88
 2C 85 24 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,171 +159,171 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 81 0C 89 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A 80 60 84 5A 87 68 89 BC 88
-60 84 CA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 87 06 43 52 45
+60 84 BA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 87 06 43 52 45
 41 54 45 00 B0 12 12 89 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 89 04 43 4F 44 45 00 B0 12 12 89
-A2 82 C6 21 0D 12 84 12 0C 8C E6 8B 60 84 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89 26 8C
-60 84 78 88 03 41 53 4D B2 40 EA 8B DA 21 E6 3F
-00 8A 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 8A
-44 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 8C 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 89 85 48 49 32
-4C 4F 0D 12 84 12 28 80 B4 8B 5A 87 BC 88 F4 89
-60 84 9A 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 8A 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80
-00 00 D8 83 24 88 2C 85 BA 87 A0 83 34 80 1C 8B
-AE 83 14 80 06 5B 54 48 45 4E 5D 00 8E 8A F6 8A
-B2 8A D4 8A 60 84 AE 83 14 80 06 5B 45 4C 53 45
-5D 00 8E 8A 0C 8B B2 8A D2 8A 60 84 14 80 04 5B
-49 46 5D 00 8E 8A D4 8A 3A 80 D2 8A 82 83 14 80
-05 0D 0A 6B 6F 20 5C 83 BC 80 AC 80 3A 80 D4 8A
-C2 8A 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 8B 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 88 2C 85 94 85 40 8B 60 84 46 8B
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 8B F0 83 60 84 78 8B B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 87 06 4D 41 52 4B 45 52 00
-B0 12 12 89 BA 40 85 12 FC FF BA 40 76 8B FE FF
-28 83 8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A 80 CA 21 E8 83 60 84
-85 12 B8 8B 14 8A E6 89 2C 83 90 88 6C 8A F6 82
-88 8B 12 85 B0 8C C4 8C 9C 84 26 85 00 00 60 8B
-CE 88 F4 85 00 00 85 12 B8 8B 76 92 DC 92 1E 92
-2C 93 E4 91 00 00 B0 8F 00 00 F4 93 D8 93 48 92
-86 92 C0 90 00 00 00 00 48 93 E4 8B 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 8B 3E 8C
-44 8C 54 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 88 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 8C 00 94 E0 84 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 8C F3 3F 8A 8C
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 8C
-30 4D 9C 8C 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 8D FE FF 29 83 B9 40 02 82 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 82 B2 49 FA 80
-B2 49 02 80 B2 49 20 82 B2 49 E0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 20
-29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 B2 D3 26 02 B2 40 FF 7F 22 02 B2 D3 46 02
-B2 40 FC FF 42 02 E2 D3 45 02 B2 40 00 A5 60 01
-B2 40 FF 1E 80 01 B2 40 B6 00 82 01 B2 40 F4 00
-84 01 B2 D0 10 00 86 01 B2 40 00 02 88 01 39 40
-5C 00 18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42
-08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 80 1E 82
-38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12 2C 85
-94 85 F0 83 34 80 F0 8D 50 86 34 80 0A 8E 04 8E
-F2 8D 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 0C 8E B2 41 C4 21
-3E 41 84 12 0A 80 2B 00 2C 85 94 85 F0 83 34 80
-28 8E 50 86 34 80 22 88 BA 83 2C 85 50 86 34 80
-22 88 34 8E 3E 5F E7 3F 3E 40 28 00 B0 12 D4 8D
-19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00
-92 92 C0 21 C4 21 02 20 30 40 80 89 1C 15 12 12
-C4 21 92 53 C4 21 84 12 2C 85 50 86 34 80 7C 8E
-72 8E 21 53 3E 90 10 00 C6 2B 7F 2D 7E 8E B2 41
-C4 21 C1 3F 0D 12 84 12 24 88 B0 8D 8E 8E 0C 43
-1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00
-27 20 92 53 C4 21 B0 12 D4 8D 3C 40 00 03 0E 93
-1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
-14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
-0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
-C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D
-7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12
-D4 8D ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
-C4 21 B0 12 5C 8E 0C 20 3C 50 10 00 3E 40 2B 00
-B0 12 5C 8E 92 92 C0 21 C4 21 02 24 92 53 C4 21
-8E 10 0C 5E DA 3F B0 12 5C 8E FA 23 3C 50 10 00
-B0 12 38 8E EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21
-0D 12 84 12 24 88 B0 8D 5A 8F FE 90 26 00 00 00
-3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 5C 8E
-E0 23 3C 50 80 00 B0 12 38 8E DB 3F 00 00 04 52
-45 54 49 00 0D 12 84 12 0A 80 00 13 5A 87 60 84
-0A 80 2C 00 84 8E 50 8F 9A 8F 09 4B 2E 4E 0E DC
-A2 3F 5A 8A 03 4D 4F 56 85 12 90 8F 00 40 A4 8F
-05 4D 4F 56 2E 42 85 12 90 8F 40 40 00 00 03 41
-44 44 85 12 90 8F 00 50 BE 8F 05 41 44 44 2E 42
-85 12 90 8F 40 50 CA 8F 04 41 44 44 43 00 85 12
-90 8F 00 60 D8 8F 06 41 44 44 43 2E 42 00 85 12
-90 8F 40 60 7E 8F 04 53 55 42 43 00 85 12 90 8F
-00 70 F6 8F 06 53 55 42 43 2E 42 00 85 12 90 8F
-40 70 04 90 03 53 55 42 85 12 90 8F 00 80 14 90
-05 53 55 42 2E 42 85 12 90 8F 40 80 36 8A 03 43
-4D 50 85 12 90 8F 00 90 2E 90 05 43 4D 50 2E 42
-85 12 90 8F 40 90 22 8A 04 44 41 44 44 00 85 12
-90 8F 00 A0 48 90 06 44 41 44 44 2E 42 00 85 12
-90 8F 40 A0 3A 90 03 42 49 54 85 12 90 8F 00 B0
-66 90 05 42 49 54 2E 42 85 12 90 8F 40 B0 72 90
-03 42 49 43 85 12 90 8F 00 C0 80 90 05 42 49 43
-2E 42 85 12 90 8F 40 C0 8C 90 03 42 49 53 85 12
-90 8F 00 D0 9A 90 05 42 49 53 2E 42 85 12 90 8F
-40 D0 00 00 03 58 4F 52 85 12 90 8F 00 E0 B4 90
-05 58 4F 52 2E 42 85 12 90 8F 40 E0 E6 8F 03 41
-4E 44 85 12 90 8F 00 F0 CE 90 05 41 4E 44 2E 42
-85 12 90 8F 40 F0 24 88 84 8E EC 90 0A 4C 3C F0
-70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 20 90 03 52
-52 43 85 12 E6 90 00 10 FE 90 05 52 52 43 2E 42
-85 12 E6 90 40 10 0A 91 04 53 57 50 42 00 85 12
-E6 90 80 10 18 91 03 52 52 41 85 12 E6 90 00 11
-26 91 05 52 52 41 2E 42 85 12 E6 90 40 11 32 91
-03 53 58 54 85 12 E6 90 80 11 00 00 04 50 55 53
-48 00 85 12 E6 90 00 12 4C 91 06 50 55 53 48 2E
-42 00 85 12 E6 90 40 12 A6 90 04 43 41 4C 4C 00
-85 12 E6 90 80 12 1A 53 0E 4A 0D 12 84 12 D6 84
-14 80 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-36 81 40 91 03 53 3E 3D 86 12 00 38 94 91 02 53
-3C 00 86 12 00 34 5A 91 03 30 3E 3D 86 12 00 30
-A8 91 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
-86 12 00 2C BC 91 03 55 3E 3D 86 12 00 28 B2 91
-03 30 3C 3E 86 12 00 24 D0 91 02 30 3D 00 86 12
-00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 0E 4A 30 4D C6 91 04 54 48 45 4E 00
-1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 B1 2F 88 DA 00 00 30 4D 56 90 04 45
-4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53
-C6 21 2F 83 8F 4A 00 00 E3 3F 6A 91 05 42 45 47
-49 4E 30 40 28 80 FA 91 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90
-00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C6 21 30 4D DA 90 05 41 47 41 49 4E 0A 4E 38 40
-00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
-E8 91 BA 83 60 84 9E 91 06 52 45 50 45 41 54 00
-0D 12 84 12 7C 92 00 92 60 84 AC 92 3D 41 08 4E
-3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00
-30 4D 3C 92 03 42 57 31 85 12 AA 92 00 00 C4 92
-03 42 57 32 85 12 AA 92 00 00 D0 92 03 42 57 33
-85 12 AA 92 00 00 E8 92 3D 41 1A 42 C6 21 28 4E
-B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A
-00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 E6 92
-00 00 08 93 03 46 57 32 85 12 E6 92 00 00 14 93
-03 46 57 33 85 12 E6 92 00 00 20 93 04 47 4F 54
-4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
-92 88 FE 87 60 84 00 00 05 3F 47 4F 54 4F 3E 90
-00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
-00 08 EC 3F 24 88 B0 8D 6A 93 92 53 C4 21 3E 40
-2C 00 84 12 2C 85 50 86 34 80 22 88 46 8F 80 93
-0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
-59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
-AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 98 92 04 52
-52 43 4D 00 85 12 64 93 50 00 AE 93 04 52 52 41
-4D 00 85 12 64 93 50 01 BC 93 04 52 4C 41 4D 00
-85 12 64 93 50 02 CA 93 04 52 52 55 4D 00 85 12
-64 93 50 03 DA 91 05 50 55 53 48 4D 85 12 64 93
-00 15 E6 93 04 50 4F 50 4D 00 85 12 64 93 00 17
+A2 82 C6 21 0D 12 84 12 04 8C DE 8B 60 84 B4 89
+07 48 44 4E 43 4F 44 45 B2 40 E2 8B DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89
+1E 8C 3C 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E 8C 3C 8C 60 84
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 8A 85 48 49 32 4C 4F 0D 12 84 12 28 80
+AC 8B 5A 87 BC 88 F4 89 60 84 9A 89 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 8A 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 80 00 00 D8 83 14 88 2C 85
+AA 87 A0 83 34 80 14 8B AE 83 14 80 06 5B 54 48
+45 4E 5D 00 86 8A EE 8A AA 8A CC 8A 60 84 AE 83
+14 80 06 5B 45 4C 53 45 5D 00 86 8A 04 8B AA 8A
+CA 8A 60 84 14 80 04 5B 49 46 5D 00 86 8A CC 8A
+3A 80 CA 8A 82 83 14 80 05 0D 0A 6B 6F 20 5C 83
+BC 80 AC 80 3A 80 CC 8A BA 8A 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 8B 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 88 2C 85
+94 85 38 8B 60 84 3E 8B 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 8B F0 83 60 84 70 8B
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 87
+06 4D 41 52 4B 45 52 00 B0 12 12 89 BA 40 85 12
+FC FF BA 40 6E 8B FE FF 28 83 8A 48 00 00 BA 40
+AA 80 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A 80 CA 21 E8 83 60 84 85 12 B0 8B 78 88 E6 89
+2C 83 90 88 64 8A F6 82 80 8B 12 85 A8 8C BC 8C
+9C 84 26 85 00 00 58 8B CE 88 F4 85 00 00 85 12
+B0 8B 6E 92 D4 92 16 92 24 93 DC 91 00 00 A8 8F
+00 00 EC 93 D0 93 40 92 7E 92 B8 90 00 00 00 00
+40 93 DC 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 8B 36 8C 3C 8C 4C 8C 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 88 09 50 57 52 5F 53 54 41 54 45 85 12 44 8C
+F8 93 E0 84 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 8C F3 3F 82 8C 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 8C 30 4D 94 8C 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 8D FE FF 29 83
+B9 40 02 82 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 82 B2 49 FA 80 B2 49 02 80 B2 49 20 82
+B2 49 E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 20 29 83 89 43 00 20 FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 40
+FF 7F 22 02 B2 D3 46 02 B2 40 FC FF 42 02 E2 D3
+45 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
+B6 00 82 01 B2 40 F4 00 84 01 B2 D0 10 00 86 01
+B2 40 00 02 88 01 39 40 5C 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2
+5E 01 B0 12 F8 80 1E 82 38 40 C0 21 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12
+12 12 C4 21 84 12 2C 85 94 85 F0 83 34 80 E8 8D
+50 86 34 80 02 8E FC 8D EA 8D 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 04 8E B2 41 C4 21 3E 41 84 12 0A 80 2B 00
+2C 85 94 85 F0 83 34 80 20 8E 50 86 34 80 12 88
+BA 83 2C 85 50 86 34 80 12 88 2C 8E 3E 5F E7 3F
+3E 40 28 00 B0 12 CC 8D 19 42 C6 21 A2 53 C6 21
+89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20
+30 40 80 89 1C 15 12 12 C4 21 92 53 C4 21 84 12
+2C 85 50 86 34 80 74 8E 6A 8E 21 53 3E 90 10 00
+C6 2B 7F 2D 76 8E B2 41 C4 21 C1 3F 0D 12 84 12
+14 88 A8 8D 86 8E 0C 43 1B 42 C6 21 A2 53 C6 21
+6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12
+CC 8D 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
+18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
+10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
+08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E
+00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
+10 02 92 53 C4 21 B0 12 CC 8D ED 3F 7A 90 40 00
+16 20 3C 40 20 00 92 53 C4 21 B0 12 54 8E 0C 20
+3C 50 10 00 3E 40 2B 00 B0 12 54 8E 92 92 C0 21
+C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12
+54 8E FA 23 3C 50 10 00 B0 12 30 8E EF 3F 0C 43
+1B 42 C6 21 A2 53 C6 21 0D 12 84 12 14 88 A8 8D
+52 8F FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
+82 00 C7 3F B0 12 54 8E E0 23 3C 50 80 00 B0 12
+30 8E DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
+0A 80 00 13 5A 87 60 84 0A 80 2C 00 7C 8E 48 8F
+92 8F 09 4B 2E 4E 0E DC A2 3F 52 8A 03 4D 4F 56
+85 12 88 8F 00 40 9C 8F 05 4D 4F 56 2E 42 85 12
+88 8F 40 40 00 00 03 41 44 44 85 12 88 8F 00 50
+B6 8F 05 41 44 44 2E 42 85 12 88 8F 40 50 C2 8F
+04 41 44 44 43 00 85 12 88 8F 00 60 D0 8F 06 41
+44 44 43 2E 42 00 85 12 88 8F 40 60 76 8F 04 53
+55 42 43 00 85 12 88 8F 00 70 EE 8F 06 53 55 42
+43 2E 42 00 85 12 88 8F 40 70 FC 8F 03 53 55 42
+85 12 88 8F 00 80 0C 90 05 53 55 42 2E 42 85 12
+88 8F 40 80 28 8A 03 43 4D 50 85 12 88 8F 00 90
+26 90 05 43 4D 50 2E 42 85 12 88 8F 40 90 12 8A
+04 44 41 44 44 00 85 12 88 8F 00 A0 40 90 06 44
+41 44 44 2E 42 00 85 12 88 8F 40 A0 32 90 03 42
+49 54 85 12 88 8F 00 B0 5E 90 05 42 49 54 2E 42
+85 12 88 8F 40 B0 6A 90 03 42 49 43 85 12 88 8F
+00 C0 78 90 05 42 49 43 2E 42 85 12 88 8F 40 C0
+84 90 03 42 49 53 85 12 88 8F 00 D0 92 90 05 42
+49 53 2E 42 85 12 88 8F 40 D0 00 00 03 58 4F 52
+85 12 88 8F 00 E0 AC 90 05 58 4F 52 2E 42 85 12
+88 8F 40 E0 DE 8F 03 41 4E 44 85 12 88 8F 00 F0
+C6 90 05 41 4E 44 2E 42 85 12 88 8F 40 F0 14 88
+7C 8E E4 90 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
+0C DA 4F 3F 18 90 03 52 52 43 85 12 DE 90 00 10
+F6 90 05 52 52 43 2E 42 85 12 DE 90 40 10 02 91
+04 53 57 50 42 00 85 12 DE 90 80 10 10 91 03 52
+52 41 85 12 DE 90 00 11 1E 91 05 52 52 41 2E 42
+85 12 DE 90 40 11 2A 91 03 53 58 54 85 12 DE 90
+80 11 00 00 04 50 55 53 48 00 85 12 DE 90 00 12
+44 91 06 50 55 53 48 2E 42 00 85 12 DE 90 40 12
+9E 90 04 43 41 4C 4C 00 85 12 DE 90 80 12 1A 53
+0E 4A 0D 12 84 12 D6 84 14 80 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 36 81 38 91 03 53 3E 3D
+86 12 00 38 8C 91 02 53 3C 00 86 12 00 34 52 91
+03 30 3E 3D 86 12 00 30 A0 91 02 30 3C 00 86 12
+00 30 00 00 02 55 3C 00 86 12 00 2C B4 91 03 55
+3E 3D 86 12 00 28 AA 91 03 30 3C 3E 86 12 00 24
+C8 91 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
+1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D
+BE 91 04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
+00 00 30 4D 4E 90 04 45 4C 53 45 00 1A 42 C6 21
+BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00
+E3 3F 62 91 05 42 45 47 49 4E 30 40 28 80 F2 91
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21
+2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C6 21 30 4D D2 90 05 41
+47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
+48 49 4C 45 0D 12 84 12 E0 91 BA 83 60 84 96 91
+06 52 45 50 45 41 54 00 0D 12 84 12 74 92 F8 91
+60 84 A4 92 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21
+CB 2F 98 42 C6 21 00 00 30 4D 34 92 03 42 57 31
+85 12 A2 92 00 00 BC 92 03 42 57 32 85 12 A2 92
+00 00 C8 92 03 42 57 33 85 12 A2 92 00 00 E0 92
+3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F
+00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00
+03 46 57 31 85 12 DE 92 00 00 00 93 03 46 57 32
+85 12 DE 92 00 00 0C 93 03 46 57 33 85 12 DE 92
+00 00 18 93 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
+3E 40 00 3C 0D 12 84 12 92 88 EE 87 60 84 00 00
+05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
+3E B0 00 10 EF 27 3E E0 00 08 EC 3F 14 88 A8 8D
+62 93 92 53 C4 21 3E 40 2C 00 84 12 2C 85 50 86
+34 80 12 88 3E 8F 78 93 0A 4E 3E 4F 1A 83 F7 32
+29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
+38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
+5A 06 A6 3E 90 92 04 52 52 43 4D 00 85 12 5C 93
+50 00 A6 93 04 52 52 41 4D 00 85 12 5C 93 50 01
+B4 93 04 52 4C 41 4D 00 85 12 5C 93 50 02 C2 93
+04 52 52 55 4D 00 85 12 5C 93 50 03 D2 91 05 50
+55 53 48 4D 85 12 5C 93 00 15 DE 93 04 50 4F 50
+4D 00 85 12 5C 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 94 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
-02 82 02 82 02 82 02 82 02 82 02 82 02 82 22 8D
+02 82 02 82 02 82 02 82 02 82 02 82 02 82 1A 8D
 q
diff --git a/binaries/Log/log.txt b/binaries/Log/log.txt
new file mode 100644 (file)
index 0000000..9faef68
--- /dev/null
@@ -0,0 +1,75 @@
+Fri Nov  6 19:33:33 2020:      * -----/|-------------------------------------------------------------------- *
+Fri Nov  6 19:33:33 2020:      *     / |__                                                                   *
+Fri Nov  6 19:33:33 2020:      *    /_   /   MSP Flasher v1.3.20                                             *
+Fri Nov  6 19:33:33 2020:      *      | /                                                                    *
+Fri Nov  6 19:33:33 2020:      * -----|/-------------------------------------------------------------------- *
+Fri Nov  6 19:33:33 2020:      *
+Fri Nov  6 19:33:33 2020:      * Evaluating triggers...done
+Fri Nov  6 19:33:34 2020:      * Checking for available FET debuggers: 
+Fri Nov  6 19:33:34 2020:      * Found USB FET @ COM11 <- Selected
+Fri Nov  6 19:33:34 2020:      * Initializing interface @ COM11...done
+Fri Nov  6 19:33:35 2020:      * Checking firmware compatibility: 
+Fri Nov  6 19:33:35 2020:      * FET firmware is up to date.
+Fri Nov  6 19:33:35 2020:      * Reading FW version...
+Fri Nov  6 19:33:35 2020:      * Debugger does not support target voltages other than 3000 mV!
+Fri Nov  6 19:33:35 2020:      * Setting VCC to 3000 mV...done
+Fri Nov  6 19:33:35 2020:      * Accessing device...done
+Fri Nov  6 19:33:35 2020:      * Reading device information...
+Fri Nov  6 19:33:35 2020:      * Warning: Found device does not match -n selection:
+Fri Nov  6 19:33:35 2020:      - Selected: MSP430FR6989
+Fri Nov  6 19:33:35 2020:      - Found:    MSP430FR5994
+Fri Nov  6 19:33:35 2020:      - Continue? (Y/N): Fri Nov  6 19:33:41 2020:    n
+Fri Nov  6 19:33:41 2020:      * Resetting device (RST/NMI)...done
+Fri Nov  6 19:33:42 2020:      * Starting target code execution...done
+Fri Nov  6 19:33:43 2020:      * Disconnecting from device...done
+Fri Nov  6 19:33:43 2020:      * 
+Fri Nov  6 19:33:43 2020:      * ----------------------------------------------------------------------------
+Fri Nov  6 19:33:43 2020:      * Driver      : closed (No error)
+Fri Nov  6 19:33:43 2020:      * ----------------------------------------------------------------------------
+Fri Nov  6 19:33:43 2020:      */
+Fri Nov  6 19:33:53 2020:      * -----/|-------------------------------------------------------------------- *
+Fri Nov  6 19:33:53 2020:      *     / |__                                                                   *
+Fri Nov  6 19:33:53 2020:      *    /_   /   MSP Flasher v1.3.20                                             *
+Fri Nov  6 19:33:53 2020:      *      | /                                                                    *
+Fri Nov  6 19:33:53 2020:      * -----|/-------------------------------------------------------------------- *
+Fri Nov  6 19:33:53 2020:      *
+Fri Nov  6 19:33:53 2020:      * Evaluating triggers...done
+Fri Nov  6 19:33:53 2020:      * Checking for available FET debuggers: 
+Fri Nov  6 19:33:53 2020:      * Found USB FET @ COM11 <- Selected
+Fri Nov  6 19:33:53 2020:      * Initializing interface @ COM11...done
+Fri Nov  6 19:33:54 2020:      * Checking firmware compatibility: 
+Fri Nov  6 19:33:54 2020:      * FET firmware is up to date.
+Fri Nov  6 19:33:54 2020:      * Reading FW version...
+Fri Nov  6 19:33:54 2020:      * Debugger does not support target voltages other than 3000 mV!
+Fri Nov  6 19:33:54 2020:      * Setting VCC to 3000 mV...done
+Fri Nov  6 19:33:54 2020:      * Accessing device...done
+Fri Nov  6 19:33:54 2020:      * Reading device information...done
+Fri Nov  6 19:33:54 2020:      * Loading file into device...done
+Fri Nov  6 19:33:56 2020:      * Verifying memory (B:\binaries\MSP_EXP430FR5994_16MHz_I2C.txt)...done
+Fri Nov  6 19:33:58 2020:      * 
+Fri Nov  6 19:33:58 2020:      * ----------------------------------------------------------------------------
+Fri Nov  6 19:33:58 2020:      * Arguments   : -s -m SBW2 -n MSP430FR5994 -v -w B:\binaries\MSP_EXP430FR5994_16MHz_I2C.txt -z [RESET,VCC] 
+Fri Nov  6 19:33:58 2020:      * ----------------------------------------------------------------------------
+Fri Nov  6 19:33:58 2020:      * Driver      : loaded
+Fri Nov  6 19:33:58 2020:      * Dll Version : 31400000
+Fri Nov  6 19:33:58 2020:      * FwVersion   : 31200000
+Fri Nov  6 19:33:58 2020:      * Interface   : TIUSB
+Fri Nov  6 19:33:58 2020:      * HwVersion   : E 4.0
+Fri Nov  6 19:33:58 2020:      * JTAG Mode   : AUTO
+Fri Nov  6 19:33:58 2020:      * Device      : MSP430FR5994
+Fri Nov  6 19:33:58 2020:      * EEM         : Level 5, ClockCntrl 2
+Fri Nov  6 19:33:58 2020:      * Erase Mode  : ERASE_ALL
+Fri Nov  6 19:33:58 2020:      * Prog.File   : B:\binaries\MSP_EXP430FR5994_16MHz_I2C.txt
+Fri Nov  6 19:33:58 2020:      * Verified    : TRUE
+Fri Nov  6 19:33:58 2020:      * BSL Unlock  : FALSE
+Fri Nov  6 19:33:58 2020:      * InfoA Access: FALSE
+Fri Nov  6 19:33:58 2020:      * VCC ON      : 3000 mV
+Fri Nov  6 19:33:58 2020:      * ----------------------------------------------------------------------------
+Fri Nov  6 19:33:58 2020:      * Resetting device (RST/NMI)...done
+Fri Nov  6 19:33:59 2020:      * Starting target code execution...done
+Fri Nov  6 19:33:59 2020:      * Disconnecting from device...done
+Fri Nov  6 19:33:59 2020:      * 
+Fri Nov  6 19:33:59 2020:      * ----------------------------------------------------------------------------
+Fri Nov  6 19:33:59 2020:      * Driver      : closed (No error)
+Fri Nov  6 19:33:59 2020:      * ----------------------------------------------------------------------------
+Fri Nov  6 19:33:59 2020:      */
diff --git a/binaries/MSP430FRerase_total.bat b/binaries/MSP430FRerase_total.bat
new file mode 100644 (file)
index 0000000..fe8664f
--- /dev/null
@@ -0,0 +1,2 @@
+a:\prog\MSP430Flasher\msp430flasher -e ERASE_TOTAL
+pause
diff --git a/binaries/MSP430read.bat b/binaries/MSP430read.bat
new file mode 100644 (file)
index 0000000..acb7507
--- /dev/null
@@ -0,0 +1,13 @@
+
+:: usage: MSP430Read RAM|INFO|MAIN|BSL output
+
+set howtoread=%1
+set readfile=%2
+if "%1" == "" set howtoread=MAIN
+if "%2" == "" set readfile=DUMP
+
+A:\prog\MSP430Flasher\msp430flasher -m SBW2 -r [%readfile%_%howtoread%.HEX,%howtoread%] -z [VCC=3000]
+::A:\prog\srecord\srec_cat %readfile%_%howtoread%.HEX -intel -output %readfile%_%howtoread%.bin -Binary
+::A:\prog\HxD\HxD.exe" %readfile%_%howtoread%.bin
+
+pause
diff --git a/binaries/MSP430reset.bat b/binaries/MSP430reset.bat
new file mode 100644 (file)
index 0000000..70cbe7a
--- /dev/null
@@ -0,0 +1 @@
+a:\prog\MSP430Flasher\msp430flasher -m SBW2 -z [RESET,VCC=3300]
\ No newline at end of file
index 33e12a6..f2dc6f8 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF 06 94 08 8C 33 01
-10 00 81 86 B4 81 AA 80 B6 81 8A 81 80 82 06 94
-08 8C 6E 82 90 83 FC 82 D8 82 3C 21 5E 84 D4 80
-E2 80 EE 80 20 00 0A 00 26 88 40 88 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF EE 93 F0 8B 34 01
+10 00 41 87 B6 81 AA 80 B8 81 8C 81 82 82 EE 93
+F0 8B 70 82 80 83 FE 82 DA 82 3C 21 4E 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 B6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
-B2 49 6C 82 B2 49 8E 83 B2 49 FA 82 B2 49 D6 82
+B2 49 6E 82 B2 49 7E 83 B2 49 FC 82 B2 49 D8 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 81 B0 12 F8 80
-0A 80 DE 21 DE 83 14 83 48 83 34 80 26 88 14 80
-05 1B 5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84
-A6 83 34 80 7C 81 14 80 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 83 9E 84 5A 83 14 80
-04 1B 5B 30 6D 00 5A 83 26 88 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 81 E2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 81 04 57 41 52 4D 00 B0 12 8A 81
-78 40 03 00 B0 12 B8 81 84 12 14 80 07 0D 0A 1B
-5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84 0A 80
-23 00 F8 82 D4 84 14 80 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 83 0A 80 40 FF 28 80 D2 83 9E 84 14 80
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 80 7C 81
-00 00 06 41 43 43 45 50 54 00 30 40 6E 82 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 81
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 82 2F 83 8F 4E 00 00 58 43
-B0 12 B8 81 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 82 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 82 04 45
-43 48 4F 00 B2 40 C2 48 06 83 82 43 DE 21 38 40
-05 00 B0 12 B8 81 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 83 92 43 DE 21 28 42 F1 3F
-2A 83 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 82 78 83 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 81 02 43 52 00 30 40 90 83
-0D 12 84 12 14 80 02 0D 0A 00 5A 83 5E 84 2F 83
+B2 49 DC 21 3D 41 30 40 BC 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 81 0E 12 B0 12
+F8 80 0A 80 DE 21 CE 83 16 83 EE 80 34 80 8A 81
+14 80 05 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83
+C4 84 96 83 34 80 7E 81 14 80 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 83 8E 84 4A 83
+14 80 04 1B 5B 30 6D 00 4A 83 16 88 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 81 E2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 81 04 57 41 52 4D 00 B0 12
+8C 81 78 40 03 00 B0 12 BA 81 84 12 14 80 07 0D
+0A 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83 C4 84
+0A 80 23 00 FA 82 C4 84 14 80 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 83 0A 80 40 FF 28 80 C2 83 8E 84
+14 80 0A 62 79 74 65 73 20 66 72 65 65 00 3A 80
+7E 81 00 00 06 41 43 43 45 50 54 00 30 40 70 82
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 81 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 82 2F 83 8F 4E 00 00
+58 43 B0 12 BA 81 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 82 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 82
+04 45 43 48 4F 00 B2 40 C2 48 08 83 82 43 DE 21
+38 40 05 00 B0 12 BA 81 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 83 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 82 68 83 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 81 02 43 52 00 30 40 80 83
+0D 12 84 12 14 80 02 0D 0A 00 4A 83 4E 84 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 82 01 40 2E 4E
-30 4D DC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 82 01 40 2E 4E
+30 4D CC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 83 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 83 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E 80 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 84 02 23 53 00 0D 12 84 12
-1A 84 54 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 84 02 23 53 00 0D 12 84 12
+0A 84 44 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 83 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 83 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 84
-4C 84 EE 80 8C 84 68 84 5A 83 22 88 F8 82 5E 84
-42 83 01 2E 0E 93 E3 37 38 43 E2 3F 86 84 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 58 87
-0A 80 22 00 2A 85 F8 84 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 84
-82 2E 22 00 0D 12 84 12 E2 84 0A 80 5A 83 58 87
-5E 84 F6 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 83
+3C 84 EE 80 7C 84 58 84 4A 83 02 88 FA 82 4E 84
+2C 83 01 2E 0E 93 E3 37 38 43 E2 3F 76 84 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 48 87
+0A 80 22 00 1A 85 E8 84 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 84
+82 2E 22 00 0D 12 84 12 D2 84 0A 80 4A 83 48 87
+4E 84 F8 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC 86 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C 86 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 86 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 86 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 83 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 87 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 87 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 84 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 80 22 88 2A 85 DE 87 94 26
-3D 40 E6 87 D6 3E E8 87 0A 4E 3E 4F 3D 40 02 88
-2E 27 3D 40 D8 87 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 88 3E 4F 3D 40 D8 87 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 89 CC 3F
-0C 88 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C 83 BC 80
-D2 87 C2 83 F4 83 14 80 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 FC 83
+54 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 84 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 80 02 88 1A 85 BE 87 9C 26
+3D 40 C6 87 DE 3E C8 87 0A 4E 3E 4F 3D 40 E2 87
+36 27 3D 40 B8 87 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 87 3E 4F 3D 40 B8 87 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 89 CC 3F
+EC 87 86 12 20 00 D4 83 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C 83 BC 80
+B2 87 B2 83 E4 83 14 80 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 EC 83
 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 81
-3A 80 3C 88 6A 87 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 84 0A 80 2A 81 58 87 5E 84 8C 85 01 27
-0D 12 84 12 22 88 2A 85 92 85 34 80 20 88 5E 84
-00 00 83 5B 27 5D 0D 12 84 12 90 88 0A 80 0A 80
-58 87 58 87 5E 84 A2 88 81 5B 82 43 BE 21 30 4D
-0A 84 01 5D B2 43 BE 21 30 4D C2 88 81 5C 92 42
+3A 80 2C 88 08 88 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 84 0A 80 2A 81 48 87 4E 84 7C 85 01 27
+0D 12 84 12 02 88 1A 85 82 85 34 80 00 88 4E 84
+00 00 83 5B 27 5D 0D 12 84 12 80 88 0A 80 0A 80
+48 87 48 87 4E 84 92 88 81 5B 82 43 BE 21 30 4D
+FA 83 01 5D B2 43 BE 21 30 4D B2 88 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 88 2A 85 92 85 A6 83 34 80
-20 88 F4 83 34 80 04 89 0A 80 0A 80 58 87 58 87
-0A 80 58 87 58 87 5E 84 B8 88 01 3A 30 12 54 89
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 88 2A 85
-22 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 88 1A 85 82 85 96 83 34 80
+00 88 E4 83 34 80 F4 88 0A 80 0A 80 48 87 48 87
+0A 80 48 87 48 87 4E 84 A8 88 01 3A 30 12 44 89
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 88 1A 85
+12 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 81 0A 89 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A 80 5E 84 58 87 66 89 BA 88 5E 84
-C8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 87 06 43 52 45 41 54
-45 00 B0 12 10 89 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 89 04 43 4F 44 45 00 B0 12 10 89 A2 82
-C6 21 0D 12 84 12 0A 8C E4 8B 5E 84 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 89 24 8C 5E 84
-76 88 03 41 53 4D B2 40 E8 8B DA 21 E6 3F FE 89
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 8A 42 8C
-5E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 8C 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 89 85 48 49 32 4C 4F
-0D 12 84 12 28 80 B2 8B 58 87 BA 88 F2 89 5E 84
-98 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 8A
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80 00 00
-D6 83 22 88 2A 85 B8 87 9E 83 34 80 1A 8B AC 83
-14 80 06 5B 54 48 45 4E 5D 00 8C 8A F4 8A B0 8A
-D2 8A 5E 84 AC 83 14 80 06 5B 45 4C 53 45 5D 00
-8C 8A 0A 8B B0 8A D0 8A 5E 84 14 80 04 5B 49 46
-5D 00 8C 8A D2 8A 3A 80 D0 8A 80 83 14 80 05 0D
-0A 6B 6F 20 5A 83 BC 80 AC 80 3A 80 D2 8A C0 8A
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 8B 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 88 2A 85 92 85 3E 8B 5E 84 44 8B 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 8B
-EE 83 5E 84 76 8B B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 87 06 4D 41 52 4B 45 52 00 B0 12
-10 89 BA 40 85 12 FC FF BA 40 74 8B FE FF 28 83
-8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A 80 CA 21 E6 83 5E 84 85 12
-B6 8B 12 8A E4 89 0E 83 8E 88 6A 8A D0 82 86 8B
-10 85 AE 8C C2 8C 9A 84 24 85 00 00 5E 8B CC 88
-F2 85 00 00 85 12 B6 8B 7C 92 E2 92 24 92 32 93
-EA 91 00 00 B6 8F 00 00 FA 93 DE 93 4E 92 8C 92
-C6 90 00 00 00 00 4E 93 E2 8B 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE 8B 3C 8C 42 8C
-52 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 88 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 8C 06 94 DE 84 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 8C F3 3F 88 8C 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 8C 30 4D
-9A 8C 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 8D FE FF 29 83 B9 40 E0 81 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 81 B2 49 FA 80 B2 49
-02 80 B2 49 FE 81 B2 49 E0 FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10 29 83
-89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 D3 26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00
-43 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43
-A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
-BA 00 82 01 B2 40 E8 01 84 01 82 43 88 01 F2 D0
-C0 00 0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
-B0 12 F8 80 FC 81 38 40 C0 21 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
-C4 21 84 12 2A 85 92 85 EE 83 34 80 F6 8D 4E 86
-34 80 10 8E 0A 8E F8 8D 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-12 8E B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2A 85
-92 85 EE 83 34 80 2E 8E 4E 86 34 80 20 88 B8 83
-2A 85 4E 86 34 80 20 88 3A 8E 3E 5F E7 3F 3E 40
-28 00 B0 12 DA 8D 19 42 C6 21 A2 53 C6 21 89 4E
-00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
-7E 89 1C 15 12 12 C4 21 92 53 C4 21 84 12 2A 85
-4E 86 34 80 82 8E 78 8E 21 53 3E 90 10 00 C6 2B
-7F 2D 84 8E B2 41 C4 21 C1 3F 0D 12 84 12 22 88
-B6 8D 94 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 DA 8D
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 21 B0 12 DA 8D ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 21 B0 12 62 8E 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 62 8E 92 92 C0 21 C4 21
-02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 62 8E
-FA 23 3C 50 10 00 B0 12 3E 8E EF 3F 0C 43 1B 42
-C6 21 A2 53 C6 21 0D 12 84 12 22 88 B6 8D 60 8F
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 62 8E E0 23 3C 50 80 00 B0 12 3E 8E
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 80
-00 13 58 87 5E 84 0A 80 2C 00 8A 8E 56 8F A0 8F
-09 4B 2E 4E 0E DC A2 3F 58 8A 03 4D 4F 56 85 12
-96 8F 00 40 AA 8F 05 4D 4F 56 2E 42 85 12 96 8F
-40 40 00 00 03 41 44 44 85 12 96 8F 00 50 C4 8F
-05 41 44 44 2E 42 85 12 96 8F 40 50 D0 8F 04 41
-44 44 43 00 85 12 96 8F 00 60 DE 8F 06 41 44 44
-43 2E 42 00 85 12 96 8F 40 60 84 8F 04 53 55 42
-43 00 85 12 96 8F 00 70 FC 8F 06 53 55 42 43 2E
-42 00 85 12 96 8F 40 70 0A 90 03 53 55 42 85 12
-96 8F 00 80 1A 90 05 53 55 42 2E 42 85 12 96 8F
-40 80 34 8A 03 43 4D 50 85 12 96 8F 00 90 34 90
-05 43 4D 50 2E 42 85 12 96 8F 40 90 20 8A 04 44
-41 44 44 00 85 12 96 8F 00 A0 4E 90 06 44 41 44
-44 2E 42 00 85 12 96 8F 40 A0 40 90 03 42 49 54
-85 12 96 8F 00 B0 6C 90 05 42 49 54 2E 42 85 12
-96 8F 40 B0 78 90 03 42 49 43 85 12 96 8F 00 C0
-86 90 05 42 49 43 2E 42 85 12 96 8F 40 C0 92 90
-03 42 49 53 85 12 96 8F 00 D0 A0 90 05 42 49 53
-2E 42 85 12 96 8F 40 D0 00 00 03 58 4F 52 85 12
-96 8F 00 E0 BA 90 05 58 4F 52 2E 42 85 12 96 8F
-40 E0 EC 8F 03 41 4E 44 85 12 96 8F 00 F0 D4 90
-05 41 4E 44 2E 42 85 12 96 8F 40 F0 22 88 8A 8E
-F2 90 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 26 90 03 52 52 43 85 12 EC 90 00 10 04 91
-05 52 52 43 2E 42 85 12 EC 90 40 10 10 91 04 53
-57 50 42 00 85 12 EC 90 80 10 1E 91 03 52 52 41
-85 12 EC 90 00 11 2C 91 05 52 52 41 2E 42 85 12
-EC 90 40 11 38 91 03 53 58 54 85 12 EC 90 80 11
-00 00 04 50 55 53 48 00 85 12 EC 90 00 12 52 91
-06 50 55 53 48 2E 42 00 85 12 EC 90 40 12 AC 90
-04 43 41 4C 4C 00 85 12 EC 90 80 12 1A 53 0E 4A
-0D 12 84 12 D4 84 14 80 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 81 46 91 03 53 3E 3D 86 12
-00 38 9A 91 02 53 3C 00 86 12 00 34 60 91 03 30
-3E 3D 86 12 00 30 AE 91 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C C2 91 03 55 3E 3D
-86 12 00 28 B8 91 03 30 3C 3E 86 12 00 24 D6 91
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D CC 91
-04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 5C 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40
-00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
-70 91 05 42 45 47 49 4E 30 40 28 80 00 92 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 21 30 4D E0 90 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 EE 91 B8 83 5E 84 A4 91 06 52
-45 50 45 41 54 00 0D 12 84 12 82 92 06 92 5E 84
-B2 92 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
-98 42 C6 21 00 00 30 4D 42 92 03 42 57 31 85 12
-B0 92 00 00 CA 92 03 42 57 32 85 12 B0 92 00 00
-D6 92 03 42 57 33 85 12 B0 92 00 00 EE 92 3D 41
-1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
-A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 EC 92 00 00 0E 93 03 46 57 32 85 12
-EC 92 00 00 1A 93 03 46 57 33 85 12 EC 92 00 00
-26 93 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 90 88 FC 87 5E 84 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 22 88 B6 8D 70 93
-92 53 C4 21 3E 40 2C 00 84 12 2A 85 4E 86 34 80
-20 88 4C 8F 86 93 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E 9E 92 04 52 52 43 4D 00 85 12 6A 93 50 00
-B4 93 04 52 52 41 4D 00 85 12 6A 93 50 01 C2 93
-04 52 4C 41 4D 00 85 12 6A 93 50 02 D0 93 04 52
-52 55 4D 00 85 12 6A 93 50 03 E0 91 05 50 55 53
-48 4D 85 12 6A 93 00 15 EC 93 04 50 4F 50 4D 00
-85 12 6A 93 00 17
+74 63 68 21 36 81 FA 88 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A 80 4E 84 48 87 56 89 AA 88 4E 84
+A8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 87 06 43 52 45 41 54
+45 00 B0 12 00 89 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 89 04 43 4F 44 45 00 B0 12 00 89 A2 82
+C6 21 0D 12 84 12 F2 8B CC 8B 4E 84 A2 89 07 48
+44 4E 43 4F 44 45 B2 40 D0 8B DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 89 0C 8C
+2A 8C 4E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C 8C 2A 8C 4E 84 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE 89 85 48 49 32 4C 4F 0D 12 84 12 28 80 9A 8B
+48 87 AA 88 E2 89 4E 84 88 89 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 8A 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 80 00 00 C6 83 02 88 1A 85 98 87
+8E 83 34 80 02 8B 9C 83 14 80 06 5B 54 48 45 4E
+5D 00 74 8A DC 8A 98 8A BA 8A 4E 84 9C 83 14 80
+06 5B 45 4C 53 45 5D 00 74 8A F2 8A 98 8A B8 8A
+4E 84 14 80 04 5B 49 46 5D 00 74 8A BA 8A 3A 80
+B8 8A 70 83 14 80 05 0D 0A 6B 6F 20 4A 83 BC 80
+AC 80 3A 80 BA 8A A8 8A 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 8B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 88 1A 85 82 85
+26 8B 4E 84 2C 8B 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 8B DE 83 4E 84 5E 8B B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 87 06 4D
+41 52 4B 45 52 00 B0 12 00 89 BA 40 85 12 FC FF
+BA 40 5C 8B FE FF 28 83 8A 48 00 00 BA 40 AA 80
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A 80
+CA 21 D6 83 4E 84 85 12 9E 8B 66 88 D4 89 10 83
+7E 88 52 8A D2 82 6E 8B 00 85 96 8C AA 8C 8A 84
+14 85 00 00 46 8B BC 88 E2 85 00 00 85 12 9E 8B
+64 92 CA 92 0C 92 1A 93 D2 91 00 00 9E 8F 00 00
+E2 93 C6 93 36 92 74 92 AE 90 00 00 00 00 36 93
+CA 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 8B 24 8C 2A 8C 3A 8C 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 88
+09 50 57 52 5F 53 54 41 54 45 85 12 32 8C EE 93
+CE 84 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 8C F3 3F 70 8C 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E 8C 30 4D 82 8C 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 8D FE FF 29 83 B9 40
+E2 81 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 81 B2 49 FA 80 B2 49 02 80 B2 49 00 82 B2 49
+E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 10 29 83 89 43 00 20 FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 43 22 02
+F2 D3 47 02 F2 40 BF 00 43 02 F2 40 A5 00 A1 01
+F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
+B2 40 FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01
+84 01 82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18
+82 43 08 18 1E D2 5E 01 B0 12 F8 80 FE 81 38 40
+C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
+30 4D 1C 15 0E 12 12 12 C4 21 84 12 1A 85 82 85
+DE 83 34 80 DE 8D 3E 86 34 80 F8 8D F2 8D E0 8D
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 FA 8D B2 41 C4 21 3E 41
+84 12 0A 80 2B 00 1A 85 82 85 DE 83 34 80 16 8E
+3E 86 34 80 00 88 A8 83 1A 85 3E 86 34 80 00 88
+22 8E 3E 5F E7 3F 3E 40 28 00 B0 12 C2 8D 19 42
+C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
+C0 21 C4 21 02 20 30 40 6E 89 1C 15 12 12 C4 21
+92 53 C4 21 84 12 1A 85 3E 86 34 80 6A 8E 60 8E
+21 53 3E 90 10 00 C6 2B 7F 2D 6C 8E B2 41 C4 21
+C1 3F 0D 12 84 12 02 88 9E 8D 7C 8E 0C 43 1B 42
+C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 21 B0 12 C2 8D 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 C2 8D
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
+B0 12 4A 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+4A 8E 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
+0C 5E DA 3F B0 12 4A 8E FA 23 3C 50 10 00 B0 12
+26 8E EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
+84 12 02 88 9E 8D 48 8F FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 4A 8E E0 23
+3C 50 80 00 B0 12 26 8E DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 80 00 13 48 87 4E 84 0A 80
+2C 00 72 8E 3E 8F 88 8F 09 4B 2E 4E 0E DC A2 3F
+40 8A 03 4D 4F 56 85 12 7E 8F 00 40 92 8F 05 4D
+4F 56 2E 42 85 12 7E 8F 40 40 00 00 03 41 44 44
+85 12 7E 8F 00 50 AC 8F 05 41 44 44 2E 42 85 12
+7E 8F 40 50 B8 8F 04 41 44 44 43 00 85 12 7E 8F
+00 60 C6 8F 06 41 44 44 43 2E 42 00 85 12 7E 8F
+40 60 6C 8F 04 53 55 42 43 00 85 12 7E 8F 00 70
+E4 8F 06 53 55 42 43 2E 42 00 85 12 7E 8F 40 70
+F2 8F 03 53 55 42 85 12 7E 8F 00 80 02 90 05 53
+55 42 2E 42 85 12 7E 8F 40 80 16 8A 03 43 4D 50
+85 12 7E 8F 00 90 1C 90 05 43 4D 50 2E 42 85 12
+7E 8F 40 90 00 8A 04 44 41 44 44 00 85 12 7E 8F
+00 A0 36 90 06 44 41 44 44 2E 42 00 85 12 7E 8F
+40 A0 28 90 03 42 49 54 85 12 7E 8F 00 B0 54 90
+05 42 49 54 2E 42 85 12 7E 8F 40 B0 60 90 03 42
+49 43 85 12 7E 8F 00 C0 6E 90 05 42 49 43 2E 42
+85 12 7E 8F 40 C0 7A 90 03 42 49 53 85 12 7E 8F
+00 D0 88 90 05 42 49 53 2E 42 85 12 7E 8F 40 D0
+00 00 03 58 4F 52 85 12 7E 8F 00 E0 A2 90 05 58
+4F 52 2E 42 85 12 7E 8F 40 E0 D4 8F 03 41 4E 44
+85 12 7E 8F 00 F0 BC 90 05 41 4E 44 2E 42 85 12
+7E 8F 40 F0 02 88 72 8E DA 90 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 0E 90 03 52 52 43
+85 12 D4 90 00 10 EC 90 05 52 52 43 2E 42 85 12
+D4 90 40 10 F8 90 04 53 57 50 42 00 85 12 D4 90
+80 10 06 91 03 52 52 41 85 12 D4 90 00 11 14 91
+05 52 52 41 2E 42 85 12 D4 90 40 11 20 91 03 53
+58 54 85 12 D4 90 80 11 00 00 04 50 55 53 48 00
+85 12 D4 90 00 12 3A 91 06 50 55 53 48 2E 42 00
+85 12 D4 90 40 12 94 90 04 43 41 4C 4C 00 85 12
+D4 90 80 12 1A 53 0E 4A 0D 12 84 12 C4 84 14 80
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81
+2E 91 03 53 3E 3D 86 12 00 38 82 91 02 53 3C 00
+86 12 00 34 48 91 03 30 3E 3D 86 12 00 30 96 91
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C AA 91 03 55 3E 3D 86 12 00 28 A0 91 03 30
+3C 3E 86 12 00 24 BE 91 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
+C6 21 0E 4A 30 4D B4 91 04 54 48 45 4E 00 1A 42
+C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 44 90 04 45 4C 53
+45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
+2F 83 8F 4A 00 00 E3 3F 58 91 05 42 45 47 49 4E
+30 40 28 80 E8 91 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
+30 4D C8 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 D6 91
+A8 83 4E 84 8C 91 06 52 45 50 45 41 54 00 0D 12
+84 12 6A 92 EE 91 4E 84 9A 92 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
+2A 92 03 42 57 31 85 12 98 92 00 00 B2 92 03 42
+57 32 85 12 98 92 00 00 BE 92 03 42 57 33 85 12
+98 92 00 00 D6 92 3D 41 1A 42 C6 21 28 4E B2 92
+C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 D4 92 00 00
+F6 92 03 46 57 32 85 12 D4 92 00 00 02 93 03 46
+57 33 85 12 D4 92 00 00 0E 93 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 80 88
+DC 87 4E 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 02 88 9E 8D 58 93 92 53 C4 21 3E 40 2C 00
+84 12 1A 85 3E 86 34 80 00 88 34 8F 6E 93 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E 86 92 04 52 52 43
+4D 00 85 12 52 93 50 00 9C 93 04 52 52 41 4D 00
+85 12 52 93 50 01 AA 93 04 52 4C 41 4D 00 85 12
+52 93 50 02 B8 93 04 52 52 55 4D 00 85 12 52 93
+50 03 C8 91 05 50 55 53 48 4D 85 12 52 93 00 15
+D4 93 04 50 4F 50 4D 00 85 12 52 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-80 82 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 20 8D
+77 00 10 00 12 00 14 00 16 00 00 00 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+82 82 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 08 8D
 q
index 201a72d..08f3f28 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 0C 94 0A 8C 33 01
-10 00 81 B6 94 81 AA 80 C6 81 9C 81 94 82 0C 94
-0A 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
-E2 80 EE 80 20 00 0A 00 28 88 42 88 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF 04 94 02 8C 34 01
+10 00 41 B3 94 81 AA 80 DA 81 9C 81 94 82 04 94
+02 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 C6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
 B2 49 78 82 B2 49 90 83 B2 49 22 83 B2 49 FC 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 81 92 C3 9C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 81 92 C3 9C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 9C 05 F3 23 B0 12 F8 80 0A 80 DE 21 E0 83 32 83
 14 80 04 1B 5B 37 6D 00 5C 83 A8 83 34 80 86 81
@@ -52,7 +52,7 @@ C2 48 8E 05 30 4D C8 82 2D 83 92 B3 9C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 9C 05
 FD 27 58 42 8C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 82 2F 83
-8F 4E 00 00 B0 12 C6 81 92 B3 9C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 81 92 B3 9C 05 FD 27 1E 42
 8C 05 B0 12 C8 81 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 83 08 4E 3E 4F C8 3F 1A 83 04 45 43 48
 4F 00 B2 40 C2 48 C0 82 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A 84 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 83 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 84 4E 84 EE 80 8E 84 6A 84 5C 83 24 88 20 83
+10 84 4E 84 EE 80 8E 84 6A 84 5C 83 14 88 20 83
 60 84 40 83 01 2E 0E 93 E3 37 38 43 E2 3F 88 84
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80
 5A 87 0A 80 22 00 2C 85 FA 84 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 80 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 83 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 87 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 87 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 84 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 80 24 88 2C 85 E0 87
-94 26 3D 40 E8 87 D6 3E EA 87 0A 4E 3E 4F 3D 40
-04 88 2E 27 3D 40 DA 87 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 88 3E 4F 3D 40 DA 87 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 80 14 88 2C 85 D0 87
+9C 26 3D 40 D8 87 DE 3E DA 87 0A 4E 3E 4F 3D 40
+F4 87 36 27 3D 40 CA 87 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 87 3E 4F 3D 40 CA 87 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 89
-CC 3F 0E 88 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE 87 86 12 20 00 E6 83 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E 83
-BC 80 D4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
+BC 80 C4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80
 FE 83 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 81 3A 80 3E 88 6C 87 86 41 42 4F 52 54 22 00
+2A 81 3A 80 3E 88 1A 88 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 84 0A 80 2A 81 5A 87 60 84 8E 85
-01 27 0D 12 84 12 24 88 2C 85 94 85 34 80 22 88
+01 27 0D 12 84 12 14 88 2C 85 94 85 34 80 12 88
 60 84 00 00 83 5B 27 5D 0D 12 84 12 92 88 0A 80
 0A 80 5A 87 5A 87 60 84 A4 88 81 5B 82 43 BE 21
 30 4D 0C 84 01 5D B2 43 BE 21 30 4D C4 88 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 88 2C 85 94 85 A8 83
-34 80 22 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
+4F 4E 45 00 0D 12 84 12 14 88 2C 85 94 85 A8 83
+34 80 12 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
 5A 87 0A 80 5A 87 5A 87 60 84 BA 88 01 3A 30 12
-56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 88
+56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 88
 2C 85 24 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,172 +159,172 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 81 0C 89 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A 80 60 84 5A 87 68 89 BC 88
-60 84 CA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 87 06 43 52 45
+60 84 BA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 87 06 43 52 45
 41 54 45 00 B0 12 12 89 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 89 04 43 4F 44 45 00 B0 12 12 89
-A2 82 C6 21 0D 12 84 12 0C 8C E6 8B 60 84 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89 26 8C
-60 84 78 88 03 41 53 4D B2 40 EA 8B DA 21 E6 3F
-00 8A 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 8A
-44 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 8C 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 89 85 48 49 32
-4C 4F 0D 12 84 12 28 80 B4 8B 5A 87 BC 88 F4 89
-60 84 9A 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 8A 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80
-00 00 D8 83 24 88 2C 85 BA 87 A0 83 34 80 1C 8B
-AE 83 14 80 06 5B 54 48 45 4E 5D 00 8E 8A F6 8A
-B2 8A D4 8A 60 84 AE 83 14 80 06 5B 45 4C 53 45
-5D 00 8E 8A 0C 8B B2 8A D2 8A 60 84 14 80 04 5B
-49 46 5D 00 8E 8A D4 8A 3A 80 D2 8A 82 83 14 80
-05 0D 0A 6B 6F 20 5C 83 BC 80 AC 80 3A 80 D4 8A
-C2 8A 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 8B 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 88 2C 85 94 85 40 8B 60 84 46 8B
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 8B F0 83 60 84 78 8B B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 87 06 4D 41 52 4B 45 52 00
-B0 12 12 89 BA 40 85 12 FC FF BA 40 76 8B FE FF
-28 83 8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A 80 CA 21 E8 83 60 84
-85 12 B8 8B 14 8A E6 89 2C 83 90 88 6C 8A F6 82
-88 8B 12 85 B0 8C C4 8C 9C 84 26 85 00 00 60 8B
-CE 88 F4 85 00 00 85 12 B8 8B 82 92 E8 92 2A 92
-38 93 F0 91 00 00 BC 8F 00 00 00 94 E4 93 54 92
-92 92 CC 90 00 00 00 00 54 93 E4 8B 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 8B 3E 8C
-44 8C 54 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 88 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 8C 0C 94 E0 84 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 8C F3 3F 8A 8C
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 8C
-30 4D 9C 8C 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 8D FE FF 29 83 B9 40 02 82 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 82 B2 49 FA 80
-B2 49 02 80 B2 49 20 82 B2 49 E2 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 D2 D3 05 02 B2 D3 26 02 B2 43 22 02 F2 D3
-47 02 F2 40 BF 00 43 02 F2 40 A5 00 A1 01 F2 40
-10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01 84 01
-82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 80 1E 82 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C 85 94 85 F0 83
-34 80 FC 8D 50 86 34 80 16 8E 10 8E FE 8D 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 18 8E B2 41 C4 21 3E 41 84 12
-0A 80 2B 00 2C 85 94 85 F0 83 34 80 34 8E 50 86
-34 80 22 88 BA 83 2C 85 50 86 34 80 22 88 40 8E
-3E 5F E7 3F 3E 40 28 00 B0 12 E0 8D 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 80 89 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C 85 50 86 34 80 88 8E 7E 8E 21 53
-3E 90 10 00 C6 2B 7F 2D 8A 8E B2 41 C4 21 C1 3F
-0D 12 84 12 24 88 BC 8D 9A 8E 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 E0 8D 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 E0 8D ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-68 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 68 8E
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 68 8E FA 23 3C 50 10 00 B0 12 44 8E
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-24 88 BC 8D 66 8F FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 68 8E E0 23 3C 50
-80 00 B0 12 44 8E DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A 80 00 13 5A 87 60 84 0A 80 2C 00
-90 8E 5C 8F A6 8F 09 4B 2E 4E 0E DC A2 3F 5A 8A
-03 4D 4F 56 85 12 9C 8F 00 40 B0 8F 05 4D 4F 56
-2E 42 85 12 9C 8F 40 40 00 00 03 41 44 44 85 12
-9C 8F 00 50 CA 8F 05 41 44 44 2E 42 85 12 9C 8F
-40 50 D6 8F 04 41 44 44 43 00 85 12 9C 8F 00 60
-E4 8F 06 41 44 44 43 2E 42 00 85 12 9C 8F 40 60
-8A 8F 04 53 55 42 43 00 85 12 9C 8F 00 70 02 90
-06 53 55 42 43 2E 42 00 85 12 9C 8F 40 70 10 90
-03 53 55 42 85 12 9C 8F 00 80 20 90 05 53 55 42
-2E 42 85 12 9C 8F 40 80 36 8A 03 43 4D 50 85 12
-9C 8F 00 90 3A 90 05 43 4D 50 2E 42 85 12 9C 8F
-40 90 22 8A 04 44 41 44 44 00 85 12 9C 8F 00 A0
-54 90 06 44 41 44 44 2E 42 00 85 12 9C 8F 40 A0
-46 90 03 42 49 54 85 12 9C 8F 00 B0 72 90 05 42
-49 54 2E 42 85 12 9C 8F 40 B0 7E 90 03 42 49 43
-85 12 9C 8F 00 C0 8C 90 05 42 49 43 2E 42 85 12
-9C 8F 40 C0 98 90 03 42 49 53 85 12 9C 8F 00 D0
-A6 90 05 42 49 53 2E 42 85 12 9C 8F 40 D0 00 00
-03 58 4F 52 85 12 9C 8F 00 E0 C0 90 05 58 4F 52
-2E 42 85 12 9C 8F 40 E0 F2 8F 03 41 4E 44 85 12
-9C 8F 00 F0 DA 90 05 41 4E 44 2E 42 85 12 9C 8F
-40 F0 24 88 90 8E F8 90 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 2C 90 03 52 52 43 85 12
-F2 90 00 10 0A 91 05 52 52 43 2E 42 85 12 F2 90
-40 10 16 91 04 53 57 50 42 00 85 12 F2 90 80 10
-24 91 03 52 52 41 85 12 F2 90 00 11 32 91 05 52
-52 41 2E 42 85 12 F2 90 40 11 3E 91 03 53 58 54
-85 12 F2 90 80 11 00 00 04 50 55 53 48 00 85 12
-F2 90 00 12 58 91 06 50 55 53 48 2E 42 00 85 12
-F2 90 40 12 B2 90 04 43 41 4C 4C 00 85 12 F2 90
-80 12 1A 53 0E 4A 0D 12 84 12 D6 84 14 80 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81 4C 91
-03 53 3E 3D 86 12 00 38 A0 91 02 53 3C 00 86 12
-00 34 66 91 03 30 3E 3D 86 12 00 30 B4 91 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-C8 91 03 55 3E 3D 86 12 00 28 BE 91 03 30 3C 3E
-86 12 00 24 DC 91 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D D2 91 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 62 90 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 76 91 05 42 45 47 49 4E 30 40
-28 80 06 92 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-E6 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 F4 91 BA 83
-60 84 AA 91 06 52 45 50 45 41 54 00 0D 12 84 12
-88 92 0C 92 60 84 B8 92 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 48 92
-03 42 57 31 85 12 B6 92 00 00 D0 92 03 42 57 32
-85 12 B6 92 00 00 DC 92 03 42 57 33 85 12 B6 92
-00 00 F4 92 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 F2 92 00 00 14 93
-03 46 57 32 85 12 F2 92 00 00 20 93 03 46 57 33
-85 12 F2 92 00 00 2C 93 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 88 FE 87
-60 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-24 88 BC 8D 76 93 92 53 C4 21 3E 40 2C 00 84 12
-2C 85 50 86 34 80 22 88 52 8F 8C 93 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E A4 92 04 52 52 43 4D 00
-85 12 70 93 50 00 BA 93 04 52 52 41 4D 00 85 12
-70 93 50 01 C8 93 04 52 4C 41 4D 00 85 12 70 93
-50 02 D6 93 04 52 52 55 4D 00 85 12 70 93 50 03
-E6 91 05 50 55 53 48 4D 85 12 70 93 00 15 F2 93
-04 50 4F 50 4D 00 85 12 70 93 00 17
+A2 82 C6 21 0D 12 84 12 04 8C DE 8B 60 84 B4 89
+07 48 44 4E 43 4F 44 45 B2 40 E2 8B DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89
+1E 8C 3C 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E 8C 3C 8C 60 84
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 8A 85 48 49 32 4C 4F 0D 12 84 12 28 80
+AC 8B 5A 87 BC 88 F4 89 60 84 9A 89 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 8A 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 80 00 00 D8 83 14 88 2C 85
+AA 87 A0 83 34 80 14 8B AE 83 14 80 06 5B 54 48
+45 4E 5D 00 86 8A EE 8A AA 8A CC 8A 60 84 AE 83
+14 80 06 5B 45 4C 53 45 5D 00 86 8A 04 8B AA 8A
+CA 8A 60 84 14 80 04 5B 49 46 5D 00 86 8A CC 8A
+3A 80 CA 8A 82 83 14 80 05 0D 0A 6B 6F 20 5C 83
+BC 80 AC 80 3A 80 CC 8A BA 8A 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 8B 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 88 2C 85
+94 85 38 8B 60 84 3E 8B 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 8B F0 83 60 84 70 8B
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 87
+06 4D 41 52 4B 45 52 00 B0 12 12 89 BA 40 85 12
+FC FF BA 40 6E 8B FE FF 28 83 8A 48 00 00 BA 40
+AA 80 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A 80 CA 21 E8 83 60 84 85 12 B0 8B 78 88 E6 89
+2C 83 90 88 64 8A F6 82 80 8B 12 85 A8 8C BC 8C
+9C 84 26 85 00 00 58 8B CE 88 F4 85 00 00 85 12
+B0 8B 7A 92 E0 92 22 92 30 93 E8 91 00 00 B4 8F
+00 00 F8 93 DC 93 4C 92 8A 92 C4 90 00 00 00 00
+4C 93 DC 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 8B 36 8C 3C 8C 4C 8C 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 88 09 50 57 52 5F 53 54 41 54 45 85 12 44 8C
+04 94 E0 84 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 8C F3 3F 82 8C 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 8C 30 4D 94 8C 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 8D FE FF 29 83
+B9 40 02 82 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 82 B2 49 FA 80 B2 49 02 80 B2 49 20 82
+B2 49 E2 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 D2 D3 05 02 B2 D3
+26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00 43 02
+F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 BA 00
+82 01 B2 40 E8 01 84 01 82 43 88 01 F2 D0 C0 00
+0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 80 1E 82 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 2C 85 94 85 F0 83 34 80 F4 8D 50 86 34 80
+0E 8E 08 8E F6 8D 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 10 8E
+B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2C 85 94 85
+F0 83 34 80 2C 8E 50 86 34 80 12 88 BA 83 2C 85
+50 86 34 80 12 88 38 8E 3E 5F E7 3F 3E 40 28 00
+B0 12 D8 8D 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 80 89
+1C 15 12 12 C4 21 92 53 C4 21 84 12 2C 85 50 86
+34 80 80 8E 76 8E 21 53 3E 90 10 00 C6 2B 7F 2D
+82 8E B2 41 C4 21 C1 3F 0D 12 84 12 14 88 B4 8D
+92 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 D8 8D 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 D8 8D ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 60 8E 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 60 8E 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 60 8E FA 23
+3C 50 10 00 B0 12 3C 8E EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 14 88 B4 8D 5E 8F FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 60 8E E0 23 3C 50 80 00 B0 12 3C 8E DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A 80 00 13
+5A 87 60 84 0A 80 2C 00 88 8E 54 8F 9E 8F 09 4B
+2E 4E 0E DC A2 3F 52 8A 03 4D 4F 56 85 12 94 8F
+00 40 A8 8F 05 4D 4F 56 2E 42 85 12 94 8F 40 40
+00 00 03 41 44 44 85 12 94 8F 00 50 C2 8F 05 41
+44 44 2E 42 85 12 94 8F 40 50 CE 8F 04 41 44 44
+43 00 85 12 94 8F 00 60 DC 8F 06 41 44 44 43 2E
+42 00 85 12 94 8F 40 60 82 8F 04 53 55 42 43 00
+85 12 94 8F 00 70 FA 8F 06 53 55 42 43 2E 42 00
+85 12 94 8F 40 70 08 90 03 53 55 42 85 12 94 8F
+00 80 18 90 05 53 55 42 2E 42 85 12 94 8F 40 80
+28 8A 03 43 4D 50 85 12 94 8F 00 90 32 90 05 43
+4D 50 2E 42 85 12 94 8F 40 90 12 8A 04 44 41 44
+44 00 85 12 94 8F 00 A0 4C 90 06 44 41 44 44 2E
+42 00 85 12 94 8F 40 A0 3E 90 03 42 49 54 85 12
+94 8F 00 B0 6A 90 05 42 49 54 2E 42 85 12 94 8F
+40 B0 76 90 03 42 49 43 85 12 94 8F 00 C0 84 90
+05 42 49 43 2E 42 85 12 94 8F 40 C0 90 90 03 42
+49 53 85 12 94 8F 00 D0 9E 90 05 42 49 53 2E 42
+85 12 94 8F 40 D0 00 00 03 58 4F 52 85 12 94 8F
+00 E0 B8 90 05 58 4F 52 2E 42 85 12 94 8F 40 E0
+EA 8F 03 41 4E 44 85 12 94 8F 00 F0 D2 90 05 41
+4E 44 2E 42 85 12 94 8F 40 F0 14 88 88 8E F0 90
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+24 90 03 52 52 43 85 12 EA 90 00 10 02 91 05 52
+52 43 2E 42 85 12 EA 90 40 10 0E 91 04 53 57 50
+42 00 85 12 EA 90 80 10 1C 91 03 52 52 41 85 12
+EA 90 00 11 2A 91 05 52 52 41 2E 42 85 12 EA 90
+40 11 36 91 03 53 58 54 85 12 EA 90 80 11 00 00
+04 50 55 53 48 00 85 12 EA 90 00 12 50 91 06 50
+55 53 48 2E 42 00 85 12 EA 90 40 12 AA 90 04 43
+41 4C 4C 00 85 12 EA 90 80 12 1A 53 0E 4A 0D 12
+84 12 D6 84 14 80 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 81 44 91 03 53 3E 3D 86 12 00 38
+98 91 02 53 3C 00 86 12 00 34 5E 91 03 30 3E 3D
+86 12 00 30 AC 91 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C C0 91 03 55 3E 3D 86 12
+00 28 B6 91 03 30 3C 3E 86 12 00 24 D4 91 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D CA 91 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+5A 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 6E 91
+05 42 45 47 49 4E 30 40 28 80 FE 91 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D DE 90 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 EC 91 BA 83 60 84 A2 91 06 52 45 50
+45 41 54 00 0D 12 84 12 80 92 04 92 60 84 B0 92
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 40 92 03 42 57 31 85 12 AE 92
+00 00 C8 92 03 42 57 32 85 12 AE 92 00 00 D4 92
+03 42 57 33 85 12 AE 92 00 00 EC 92 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 EA 92 00 00 0C 93 03 46 57 32 85 12 EA 92
+00 00 18 93 03 46 57 33 85 12 EA 92 00 00 24 93
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 92 88 EE 87 60 84 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 14 88 B4 8D 6E 93 92 53
+C4 21 3E 40 2C 00 84 12 2C 85 50 86 34 80 12 88
+4A 8F 84 93 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+9C 92 04 52 52 43 4D 00 85 12 68 93 50 00 B2 93
+04 52 52 41 4D 00 85 12 68 93 50 01 C0 93 04 52
+4C 41 4D 00 85 12 68 93 50 02 CE 93 04 52 52 55
+4D 00 85 12 68 93 50 03 DE 91 05 50 55 53 48 4D
+85 12 68 93 00 15 EA 93 04 50 4F 50 4D 00 85 12
+68 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 94 82 02 82 02 82 02 82 02 82 02 82 02 82
-02 82 02 82 02 82 02 82 02 82 02 82 02 82 22 8D
+02 82 02 82 02 82 02 82 02 82 02 82 02 82 1A 8D
 q
index e1b8ea1..b8ae925 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF F6 93 08 8C 33 01
-10 00 81 86 B4 81 AA 80 B6 81 8A 81 80 82 F6 93
-08 8C 6E 82 90 83 FC 82 D8 82 3C 21 5E 84 D4 80
-E2 80 EE 80 20 00 0A 00 26 88 40 88 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF DE 93 F0 8B 34 01
+10 00 41 87 B6 81 AA 80 B8 81 8C 81 82 82 DE 93
+F0 8B 70 82 80 83 FE 82 DA 82 3C 21 4E 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 B6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
-B2 49 6C 82 B2 49 8E 83 B2 49 FA 82 B2 49 D6 82
+B2 49 6E 82 B2 49 7E 83 B2 49 FC 82 B2 49 D8 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 81 B0 12 F8 80
-0A 80 DE 21 DE 83 14 83 48 83 34 80 26 88 14 80
-05 1B 5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84
-A6 83 34 80 7C 81 14 80 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 83 9E 84 5A 83 14 80
-04 1B 5B 30 6D 00 5A 83 26 88 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 81 E2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 81 04 57 41 52 4D 00 B0 12 8A 81
-78 40 03 00 B0 12 B8 81 84 12 14 80 07 0D 0A 1B
-5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84 0A 80
-23 00 F8 82 D4 84 14 80 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 83 0A 80 40 FF 28 80 D2 83 9E 84 14 80
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 80 7C 81
-00 00 06 41 43 43 45 50 54 00 30 40 6E 82 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 81
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 82 2F 83 8F 4E 00 00 58 43
-B0 12 B8 81 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 82 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 82 04 45
-43 48 4F 00 B2 40 C2 48 06 83 82 43 DE 21 38 40
-05 00 B0 12 B8 81 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 83 92 43 DE 21 28 42 F1 3F
-2A 83 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 82 78 83 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 81 02 43 52 00 30 40 90 83
-0D 12 84 12 14 80 02 0D 0A 00 5A 83 5E 84 2F 83
+B2 49 DC 21 3D 41 30 40 BC 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 81 0E 12 B0 12
+F8 80 0A 80 DE 21 CE 83 16 83 EE 80 34 80 8A 81
+14 80 05 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83
+C4 84 96 83 34 80 7E 81 14 80 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 83 8E 84 4A 83
+14 80 04 1B 5B 30 6D 00 4A 83 16 88 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 81 E2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 81 04 57 41 52 4D 00 B0 12
+8C 81 78 40 03 00 B0 12 BA 81 84 12 14 80 07 0D
+0A 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83 C4 84
+0A 80 23 00 FA 82 C4 84 14 80 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 83 0A 80 40 FF 28 80 C2 83 8E 84
+14 80 0A 62 79 74 65 73 20 66 72 65 65 00 3A 80
+7E 81 00 00 06 41 43 43 45 50 54 00 30 40 70 82
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 81 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 82 2F 83 8F 4E 00 00
+58 43 B0 12 BA 81 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 82 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 82
+04 45 43 48 4F 00 B2 40 C2 48 08 83 82 43 DE 21
+38 40 05 00 B0 12 BA 81 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 83 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 82 68 83 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 81 02 43 52 00 30 40 80 83
+0D 12 84 12 14 80 02 0D 0A 00 4A 83 4E 84 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 82 01 40 2E 4E
-30 4D DC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 82 01 40 2E 4E
+30 4D CC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 83 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 83 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E 80 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 84 02 23 53 00 0D 12 84 12
-1A 84 54 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 84 02 23 53 00 0D 12 84 12
+0A 84 44 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 83 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 83 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 84
-4C 84 EE 80 8C 84 68 84 5A 83 22 88 F8 82 5E 84
-42 83 01 2E 0E 93 E3 37 38 43 E2 3F 86 84 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 58 87
-0A 80 22 00 2A 85 F8 84 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 84
-82 2E 22 00 0D 12 84 12 E2 84 0A 80 5A 83 58 87
-5E 84 F6 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 83
+3C 84 EE 80 7C 84 58 84 4A 83 02 88 FA 82 4E 84
+2C 83 01 2E 0E 93 E3 37 38 43 E2 3F 76 84 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 48 87
+0A 80 22 00 1A 85 E8 84 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 84
+82 2E 22 00 0D 12 84 12 D2 84 0A 80 4A 83 48 87
+4E 84 F8 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC 86 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C 86 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 86 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 86 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,215 +121,214 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 83 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 87 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 87 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 84 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 80 22 88 2A 85 DE 87 94 26
-3D 40 E6 87 D6 3E E8 87 0A 4E 3E 4F 3D 40 02 88
-2E 27 3D 40 D8 87 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 88 3E 4F 3D 40 D8 87 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 89 CC 3F
-0C 88 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C 83 BC 80
-D2 87 C2 83 F4 83 14 80 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 FC 83
+54 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 84 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 80 02 88 1A 85 BE 87 9C 26
+3D 40 C6 87 DE 3E C8 87 0A 4E 3E 4F 3D 40 E2 87
+36 27 3D 40 B8 87 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 87 3E 4F 3D 40 B8 87 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 89 CC 3F
+EC 87 86 12 20 00 D4 83 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C 83 BC 80
+B2 87 B2 83 E4 83 14 80 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 EC 83
 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 81
-3A 80 3C 88 6A 87 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 84 0A 80 2A 81 58 87 5E 84 8C 85 01 27
-0D 12 84 12 22 88 2A 85 92 85 34 80 20 88 5E 84
-00 00 83 5B 27 5D 0D 12 84 12 90 88 0A 80 0A 80
-58 87 58 87 5E 84 A2 88 81 5B 82 43 BE 21 30 4D
-0A 84 01 5D B2 43 BE 21 30 4D C2 88 81 5C 92 42
+3A 80 2C 88 08 88 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 84 0A 80 2A 81 48 87 4E 84 7C 85 01 27
+0D 12 84 12 02 88 1A 85 82 85 34 80 00 88 4E 84
+00 00 83 5B 27 5D 0D 12 84 12 80 88 0A 80 0A 80
+48 87 48 87 4E 84 92 88 81 5B 82 43 BE 21 30 4D
+FA 83 01 5D B2 43 BE 21 30 4D B2 88 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 88 2A 85 92 85 A6 83 34 80
-20 88 F4 83 34 80 04 89 0A 80 0A 80 58 87 58 87
-0A 80 58 87 58 87 5E 84 B8 88 01 3A 30 12 54 89
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 88 2A 85
-22 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 88 1A 85 82 85 96 83 34 80
+00 88 E4 83 34 80 F4 88 0A 80 0A 80 48 87 48 87
+0A 80 48 87 48 87 4E 84 A8 88 01 3A 30 12 44 89
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 88 1A 85
+12 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 81 0A 89 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A 80 5E 84 58 87 66 89 BA 88 5E 84
-C8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 87 06 43 52 45 41 54
-45 00 B0 12 10 89 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 89 04 43 4F 44 45 00 B0 12 10 89 A2 82
-C6 21 0D 12 84 12 0A 8C E4 8B 5E 84 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 89 24 8C 5E 84
-76 88 03 41 53 4D B2 40 E8 8B DA 21 E6 3F FE 89
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 8A 42 8C
-5E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 8C 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 89 85 48 49 32 4C 4F
-0D 12 84 12 28 80 B2 8B 58 87 BA 88 F2 89 5E 84
-98 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 8A
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80 00 00
-D6 83 22 88 2A 85 B8 87 9E 83 34 80 1A 8B AC 83
-14 80 06 5B 54 48 45 4E 5D 00 8C 8A F4 8A B0 8A
-D2 8A 5E 84 AC 83 14 80 06 5B 45 4C 53 45 5D 00
-8C 8A 0A 8B B0 8A D0 8A 5E 84 14 80 04 5B 49 46
-5D 00 8C 8A D2 8A 3A 80 D0 8A 80 83 14 80 05 0D
-0A 6B 6F 20 5A 83 BC 80 AC 80 3A 80 D2 8A C0 8A
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 8B 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 88 2A 85 92 85 3E 8B 5E 84 44 8B 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 8B
-EE 83 5E 84 76 8B B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 87 06 4D 41 52 4B 45 52 00 B0 12
-10 89 BA 40 85 12 FC FF BA 40 74 8B FE FF 28 83
-8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A 80 CA 21 E6 83 5E 84 85 12
-B6 8B 12 8A E4 89 0E 83 8E 88 6A 8A D0 82 86 8B
-10 85 AE 8C C2 8C 9A 84 24 85 00 00 5E 8B CC 88
-F2 85 00 00 85 12 B6 8B 6C 92 D2 92 14 92 22 93
-DA 91 00 00 A6 8F 00 00 EA 93 CE 93 3E 92 7C 92
-B6 90 00 00 00 00 3E 93 E2 8B 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE 8B 3C 8C 42 8C
-52 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 88 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 8C F6 93 DE 84 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 8C F3 3F 88 8C 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 8C 30 4D
-9A 8C 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 8D FE FF 29 83 B9 40 E0 81 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 81 B2 49 FA 80 B2 49
-02 80 B2 49 FE 81 B2 49 E0 FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10 29 83
-89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 D3 26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00
-43 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
-B0 00 82 01 B2 40 1E 00 84 01 82 43 88 01 F2 D0
-C0 00 0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
-B0 12 F8 80 FC 81 38 40 C0 21 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
-C4 21 84 12 2A 85 92 85 EE 83 34 80 E6 8D 4E 86
-34 80 00 8E FA 8D E8 8D 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-02 8E B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2A 85
-92 85 EE 83 34 80 1E 8E 4E 86 34 80 20 88 B8 83
-2A 85 4E 86 34 80 20 88 2A 8E 3E 5F E7 3F 3E 40
-28 00 B0 12 CA 8D 19 42 C6 21 A2 53 C6 21 89 4E
-00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
-7E 89 1C 15 12 12 C4 21 92 53 C4 21 84 12 2A 85
-4E 86 34 80 72 8E 68 8E 21 53 3E 90 10 00 C6 2B
-7F 2D 74 8E B2 41 C4 21 C1 3F 0D 12 84 12 22 88
-A6 8D 84 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 CA 8D
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 21 B0 12 CA 8D ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 21 B0 12 52 8E 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 52 8E 92 92 C0 21 C4 21
-02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 52 8E
-FA 23 3C 50 10 00 B0 12 2E 8E EF 3F 0C 43 1B 42
-C6 21 A2 53 C6 21 0D 12 84 12 22 88 A6 8D 50 8F
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 52 8E E0 23 3C 50 80 00 B0 12 2E 8E
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 80
-00 13 58 87 5E 84 0A 80 2C 00 7A 8E 46 8F 90 8F
-09 4B 2E 4E 0E DC A2 3F 58 8A 03 4D 4F 56 85 12
-86 8F 00 40 9A 8F 05 4D 4F 56 2E 42 85 12 86 8F
-40 40 00 00 03 41 44 44 85 12 86 8F 00 50 B4 8F
-05 41 44 44 2E 42 85 12 86 8F 40 50 C0 8F 04 41
-44 44 43 00 85 12 86 8F 00 60 CE 8F 06 41 44 44
-43 2E 42 00 85 12 86 8F 40 60 74 8F 04 53 55 42
-43 00 85 12 86 8F 00 70 EC 8F 06 53 55 42 43 2E
-42 00 85 12 86 8F 40 70 FA 8F 03 53 55 42 85 12
-86 8F 00 80 0A 90 05 53 55 42 2E 42 85 12 86 8F
-40 80 34 8A 03 43 4D 50 85 12 86 8F 00 90 24 90
-05 43 4D 50 2E 42 85 12 86 8F 40 90 20 8A 04 44
-41 44 44 00 85 12 86 8F 00 A0 3E 90 06 44 41 44
-44 2E 42 00 85 12 86 8F 40 A0 30 90 03 42 49 54
-85 12 86 8F 00 B0 5C 90 05 42 49 54 2E 42 85 12
-86 8F 40 B0 68 90 03 42 49 43 85 12 86 8F 00 C0
-76 90 05 42 49 43 2E 42 85 12 86 8F 40 C0 82 90
-03 42 49 53 85 12 86 8F 00 D0 90 90 05 42 49 53
-2E 42 85 12 86 8F 40 D0 00 00 03 58 4F 52 85 12
-86 8F 00 E0 AA 90 05 58 4F 52 2E 42 85 12 86 8F
-40 E0 DC 8F 03 41 4E 44 85 12 86 8F 00 F0 C4 90
-05 41 4E 44 2E 42 85 12 86 8F 40 F0 22 88 7A 8E
-E2 90 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 16 90 03 52 52 43 85 12 DC 90 00 10 F4 90
-05 52 52 43 2E 42 85 12 DC 90 40 10 00 91 04 53
-57 50 42 00 85 12 DC 90 80 10 0E 91 03 52 52 41
-85 12 DC 90 00 11 1C 91 05 52 52 41 2E 42 85 12
-DC 90 40 11 28 91 03 53 58 54 85 12 DC 90 80 11
-00 00 04 50 55 53 48 00 85 12 DC 90 00 12 42 91
-06 50 55 53 48 2E 42 00 85 12 DC 90 40 12 9C 90
-04 43 41 4C 4C 00 85 12 DC 90 80 12 1A 53 0E 4A
-0D 12 84 12 D4 84 14 80 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 81 36 91 03 53 3E 3D 86 12
-00 38 8A 91 02 53 3C 00 86 12 00 34 50 91 03 30
-3E 3D 86 12 00 30 9E 91 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C B2 91 03 55 3E 3D
-86 12 00 28 A8 91 03 30 3C 3E 86 12 00 24 C6 91
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D BC 91
-04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 4C 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40
-00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
-60 91 05 42 45 47 49 4E 30 40 28 80 F0 91 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 21 30 4D D0 90 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 DE 91 B8 83 5E 84 94 91 06 52
-45 50 45 41 54 00 0D 12 84 12 72 92 F6 91 5E 84
-A2 92 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
-98 42 C6 21 00 00 30 4D 32 92 03 42 57 31 85 12
-A0 92 00 00 BA 92 03 42 57 32 85 12 A0 92 00 00
-C6 92 03 42 57 33 85 12 A0 92 00 00 DE 92 3D 41
-1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
-A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 DC 92 00 00 FE 92 03 46 57 32 85 12
-DC 92 00 00 0A 93 03 46 57 33 85 12 DC 92 00 00
-16 93 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 90 88 FC 87 5E 84 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 22 88 A6 8D 60 93
-92 53 C4 21 3E 40 2C 00 84 12 2A 85 4E 86 34 80
-20 88 3C 8F 76 93 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E 8E 92 04 52 52 43 4D 00 85 12 5A 93 50 00
-A4 93 04 52 52 41 4D 00 85 12 5A 93 50 01 B2 93
-04 52 4C 41 4D 00 85 12 5A 93 50 02 C0 93 04 52
-52 55 4D 00 85 12 5A 93 50 03 D0 91 05 50 55 53
-48 4D 85 12 5A 93 00 15 DC 93 04 50 4F 50 4D 00
-85 12 5A 93 00 17
+74 63 68 21 36 81 FA 88 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A 80 4E 84 48 87 56 89 AA 88 4E 84
+A8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 87 06 43 52 45 41 54
+45 00 B0 12 00 89 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 89 04 43 4F 44 45 00 B0 12 00 89 A2 82
+C6 21 0D 12 84 12 F2 8B CC 8B 4E 84 A2 89 07 48
+44 4E 43 4F 44 45 B2 40 D0 8B DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 89 0C 8C
+2A 8C 4E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C 8C 2A 8C 4E 84 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE 89 85 48 49 32 4C 4F 0D 12 84 12 28 80 9A 8B
+48 87 AA 88 E2 89 4E 84 88 89 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 8A 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 80 00 00 C6 83 02 88 1A 85 98 87
+8E 83 34 80 02 8B 9C 83 14 80 06 5B 54 48 45 4E
+5D 00 74 8A DC 8A 98 8A BA 8A 4E 84 9C 83 14 80
+06 5B 45 4C 53 45 5D 00 74 8A F2 8A 98 8A B8 8A
+4E 84 14 80 04 5B 49 46 5D 00 74 8A BA 8A 3A 80
+B8 8A 70 83 14 80 05 0D 0A 6B 6F 20 4A 83 BC 80
+AC 80 3A 80 BA 8A A8 8A 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 8B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 88 1A 85 82 85
+26 8B 4E 84 2C 8B 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 8B DE 83 4E 84 5E 8B B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 87 06 4D
+41 52 4B 45 52 00 B0 12 00 89 BA 40 85 12 FC FF
+BA 40 5C 8B FE FF 28 83 8A 48 00 00 BA 40 AA 80
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A 80
+CA 21 D6 83 4E 84 85 12 9E 8B 66 88 D4 89 10 83
+7E 88 52 8A D2 82 6E 8B 00 85 96 8C AA 8C 8A 84
+14 85 00 00 46 8B BC 88 E2 85 00 00 85 12 9E 8B
+54 92 BA 92 FC 91 0A 93 C2 91 00 00 8E 8F 00 00
+D2 93 B6 93 26 92 64 92 9E 90 00 00 00 00 26 93
+CA 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 8B 24 8C 2A 8C 3A 8C 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 88
+09 50 57 52 5F 53 54 41 54 45 85 12 32 8C DE 93
+CE 84 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 8C F3 3F 70 8C 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E 8C 30 4D 82 8C 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 8D FE FF 29 83 B9 40
+E2 81 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 81 B2 49 FA 80 B2 49 02 80 B2 49 00 82 B2 49
+E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 10 29 83 89 43 00 20 FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 43 22 02
+F2 D3 47 02 F2 40 BF 00 43 02 B2 40 00 A5 60 01
+B2 40 FF 1E 80 01 B2 40 B0 00 82 01 B2 40 1E 00
+84 01 82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18
+82 43 08 18 1E D2 5E 01 B0 12 F8 80 FE 81 38 40
+C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
+30 4D 1C 15 0E 12 12 12 C4 21 84 12 1A 85 82 85
+DE 83 34 80 CE 8D 3E 86 34 80 E8 8D E2 8D D0 8D
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 EA 8D B2 41 C4 21 3E 41
+84 12 0A 80 2B 00 1A 85 82 85 DE 83 34 80 06 8E
+3E 86 34 80 00 88 A8 83 1A 85 3E 86 34 80 00 88
+12 8E 3E 5F E7 3F 3E 40 28 00 B0 12 B2 8D 19 42
+C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
+C0 21 C4 21 02 20 30 40 6E 89 1C 15 12 12 C4 21
+92 53 C4 21 84 12 1A 85 3E 86 34 80 5A 8E 50 8E
+21 53 3E 90 10 00 C6 2B 7F 2D 5C 8E B2 41 C4 21
+C1 3F 0D 12 84 12 02 88 8E 8D 6C 8E 0C 43 1B 42
+C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 21 B0 12 B2 8D 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 B2 8D
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
+B0 12 3A 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+3A 8E 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
+0C 5E DA 3F B0 12 3A 8E FA 23 3C 50 10 00 B0 12
+16 8E EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
+84 12 02 88 8E 8D 38 8F FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 3A 8E E0 23
+3C 50 80 00 B0 12 16 8E DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 80 00 13 48 87 4E 84 0A 80
+2C 00 62 8E 2E 8F 78 8F 09 4B 2E 4E 0E DC A2 3F
+40 8A 03 4D 4F 56 85 12 6E 8F 00 40 82 8F 05 4D
+4F 56 2E 42 85 12 6E 8F 40 40 00 00 03 41 44 44
+85 12 6E 8F 00 50 9C 8F 05 41 44 44 2E 42 85 12
+6E 8F 40 50 A8 8F 04 41 44 44 43 00 85 12 6E 8F
+00 60 B6 8F 06 41 44 44 43 2E 42 00 85 12 6E 8F
+40 60 5C 8F 04 53 55 42 43 00 85 12 6E 8F 00 70
+D4 8F 06 53 55 42 43 2E 42 00 85 12 6E 8F 40 70
+E2 8F 03 53 55 42 85 12 6E 8F 00 80 F2 8F 05 53
+55 42 2E 42 85 12 6E 8F 40 80 16 8A 03 43 4D 50
+85 12 6E 8F 00 90 0C 90 05 43 4D 50 2E 42 85 12
+6E 8F 40 90 00 8A 04 44 41 44 44 00 85 12 6E 8F
+00 A0 26 90 06 44 41 44 44 2E 42 00 85 12 6E 8F
+40 A0 18 90 03 42 49 54 85 12 6E 8F 00 B0 44 90
+05 42 49 54 2E 42 85 12 6E 8F 40 B0 50 90 03 42
+49 43 85 12 6E 8F 00 C0 5E 90 05 42 49 43 2E 42
+85 12 6E 8F 40 C0 6A 90 03 42 49 53 85 12 6E 8F
+00 D0 78 90 05 42 49 53 2E 42 85 12 6E 8F 40 D0
+00 00 03 58 4F 52 85 12 6E 8F 00 E0 92 90 05 58
+4F 52 2E 42 85 12 6E 8F 40 E0 C4 8F 03 41 4E 44
+85 12 6E 8F 00 F0 AC 90 05 41 4E 44 2E 42 85 12
+6E 8F 40 F0 02 88 62 8E CA 90 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F FE 8F 03 52 52 43
+85 12 C4 90 00 10 DC 90 05 52 52 43 2E 42 85 12
+C4 90 40 10 E8 90 04 53 57 50 42 00 85 12 C4 90
+80 10 F6 90 03 52 52 41 85 12 C4 90 00 11 04 91
+05 52 52 41 2E 42 85 12 C4 90 40 11 10 91 03 53
+58 54 85 12 C4 90 80 11 00 00 04 50 55 53 48 00
+85 12 C4 90 00 12 2A 91 06 50 55 53 48 2E 42 00
+85 12 C4 90 40 12 84 90 04 43 41 4C 4C 00 85 12
+C4 90 80 12 1A 53 0E 4A 0D 12 84 12 C4 84 14 80
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81
+1E 91 03 53 3E 3D 86 12 00 38 72 91 02 53 3C 00
+86 12 00 34 38 91 03 30 3E 3D 86 12 00 30 86 91
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C 9A 91 03 55 3E 3D 86 12 00 28 90 91 03 30
+3C 3E 86 12 00 24 AE 91 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
+C6 21 0E 4A 30 4D A4 91 04 54 48 45 4E 00 1A 42
+C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 34 90 04 45 4C 53
+45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
+2F 83 8F 4A 00 00 E3 3F 48 91 05 42 45 47 49 4E
+30 40 28 80 D8 91 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
+30 4D B8 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 C6 91
+A8 83 4E 84 7C 91 06 52 45 50 45 41 54 00 0D 12
+84 12 5A 92 DE 91 4E 84 8A 92 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
+1A 92 03 42 57 31 85 12 88 92 00 00 A2 92 03 42
+57 32 85 12 88 92 00 00 AE 92 03 42 57 33 85 12
+88 92 00 00 C6 92 3D 41 1A 42 C6 21 28 4E B2 92
+C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 C4 92 00 00
+E6 92 03 46 57 32 85 12 C4 92 00 00 F2 92 03 46
+57 33 85 12 C4 92 00 00 FE 92 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 80 88
+DC 87 4E 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 02 88 8E 8D 48 93 92 53 C4 21 3E 40 2C 00
+84 12 1A 85 3E 86 34 80 00 88 24 8F 5E 93 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E 76 92 04 52 52 43
+4D 00 85 12 42 93 50 00 8C 93 04 52 52 41 4D 00
+85 12 42 93 50 01 9A 93 04 52 4C 41 4D 00 85 12
+42 93 50 02 A8 93 04 52 52 55 4D 00 85 12 42 93
+50 03 B8 91 05 50 55 53 48 4D 85 12 42 93 00 15
+C4 93 04 50 4F 50 4D 00 85 12 42 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-80 82 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 20 8D
+77 00 10 00 12 00 14 00 16 00 00 00 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+82 82 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 08 8D
 q
index 7e2a90e..601cd93 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF FC 93 0A 8C 33 01
-10 00 81 B6 94 81 AA 80 C6 81 9C 81 94 82 FC 93
-0A 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
-E2 80 EE 80 20 00 0A 00 28 88 42 88 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF F4 93 02 8C 34 01
+10 00 41 B3 94 81 AA 80 DA 81 9C 81 94 82 F4 93
+02 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 C6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
 B2 49 78 82 B2 49 90 83 B2 49 22 83 B2 49 FC 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 81 92 C3 9C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 81 92 C3 9C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 9C 05 F3 23 B0 12 F8 80 0A 80 DE 21 E0 83 32 83
 14 80 04 1B 5B 37 6D 00 5C 83 A8 83 34 80 86 81
@@ -52,7 +52,7 @@ C2 48 8E 05 30 4D C8 82 2D 83 92 B3 9C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 9C 05
 FD 27 58 42 8C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 82 2F 83
-8F 4E 00 00 B0 12 C6 81 92 B3 9C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 81 92 B3 9C 05 FD 27 1E 42
 8C 05 B0 12 C8 81 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 83 08 4E 3E 4F C8 3F 1A 83 04 45 43 48
 4F 00 B2 40 C2 48 C0 82 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A 84 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 83 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 84 4E 84 EE 80 8E 84 6A 84 5C 83 24 88 20 83
+10 84 4E 84 EE 80 8E 84 6A 84 5C 83 14 88 20 83
 60 84 40 83 01 2E 0E 93 E3 37 38 43 E2 3F 88 84
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80
 5A 87 0A 80 22 00 2C 85 FA 84 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 80 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 83 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 87 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 87 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 84 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 80 24 88 2C 85 E0 87
-94 26 3D 40 E8 87 D6 3E EA 87 0A 4E 3E 4F 3D 40
-04 88 2E 27 3D 40 DA 87 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 88 3E 4F 3D 40 DA 87 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 80 14 88 2C 85 D0 87
+9C 26 3D 40 D8 87 DE 3E DA 87 0A 4E 3E 4F 3D 40
+F4 87 36 27 3D 40 CA 87 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 87 3E 4F 3D 40 CA 87 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 89
-CC 3F 0E 88 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE 87 86 12 20 00 E6 83 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E 83
-BC 80 D4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
+BC 80 C4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80
 FE 83 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 81 3A 80 3E 88 6C 87 86 41 42 4F 52 54 22 00
+2A 81 3A 80 3E 88 1A 88 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 84 0A 80 2A 81 5A 87 60 84 8E 85
-01 27 0D 12 84 12 24 88 2C 85 94 85 34 80 22 88
+01 27 0D 12 84 12 14 88 2C 85 94 85 34 80 12 88
 60 84 00 00 83 5B 27 5D 0D 12 84 12 92 88 0A 80
 0A 80 5A 87 5A 87 60 84 A4 88 81 5B 82 43 BE 21
 30 4D 0C 84 01 5D B2 43 BE 21 30 4D C4 88 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 88 2C 85 94 85 A8 83
-34 80 22 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
+4F 4E 45 00 0D 12 84 12 14 88 2C 85 94 85 A8 83
+34 80 12 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
 5A 87 0A 80 5A 87 5A 87 60 84 BA 88 01 3A 30 12
-56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 88
+56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 88
 2C 85 24 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,171 +159,171 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 81 0C 89 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A 80 60 84 5A 87 68 89 BC 88
-60 84 CA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 87 06 43 52 45
+60 84 BA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 87 06 43 52 45
 41 54 45 00 B0 12 12 89 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 89 04 43 4F 44 45 00 B0 12 12 89
-A2 82 C6 21 0D 12 84 12 0C 8C E6 8B 60 84 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89 26 8C
-60 84 78 88 03 41 53 4D B2 40 EA 8B DA 21 E6 3F
-00 8A 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 8A
-44 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 8C 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 89 85 48 49 32
-4C 4F 0D 12 84 12 28 80 B4 8B 5A 87 BC 88 F4 89
-60 84 9A 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 8A 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80
-00 00 D8 83 24 88 2C 85 BA 87 A0 83 34 80 1C 8B
-AE 83 14 80 06 5B 54 48 45 4E 5D 00 8E 8A F6 8A
-B2 8A D4 8A 60 84 AE 83 14 80 06 5B 45 4C 53 45
-5D 00 8E 8A 0C 8B B2 8A D2 8A 60 84 14 80 04 5B
-49 46 5D 00 8E 8A D4 8A 3A 80 D2 8A 82 83 14 80
-05 0D 0A 6B 6F 20 5C 83 BC 80 AC 80 3A 80 D4 8A
-C2 8A 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 8B 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 88 2C 85 94 85 40 8B 60 84 46 8B
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 8B F0 83 60 84 78 8B B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 87 06 4D 41 52 4B 45 52 00
-B0 12 12 89 BA 40 85 12 FC FF BA 40 76 8B FE FF
-28 83 8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A 80 CA 21 E8 83 60 84
-85 12 B8 8B 14 8A E6 89 2C 83 90 88 6C 8A F6 82
-88 8B 12 85 B0 8C C4 8C 9C 84 26 85 00 00 60 8B
-CE 88 F4 85 00 00 85 12 B8 8B 72 92 D8 92 1A 92
-28 93 E0 91 00 00 AC 8F 00 00 F0 93 D4 93 44 92
-82 92 BC 90 00 00 00 00 44 93 E4 8B 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 8B 3E 8C
-44 8C 54 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 88 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 8C FC 93 E0 84 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 8C F3 3F 8A 8C
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 8C
-30 4D 9C 8C 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 8D FE FF 29 83 B9 40 02 82 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 82 B2 49 FA 80
-B2 49 02 80 B2 49 20 82 B2 49 E2 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 D2 D3 05 02 B2 D3 26 02 B2 43 22 02 F2 D3
-47 02 F2 40 BF 00 43 02 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 B0 00 82 01 B2 40 1E 00 84 01
-82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 80 1E 82 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C 85 94 85 F0 83
-34 80 EC 8D 50 86 34 80 06 8E 00 8E EE 8D 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 08 8E B2 41 C4 21 3E 41 84 12
-0A 80 2B 00 2C 85 94 85 F0 83 34 80 24 8E 50 86
-34 80 22 88 BA 83 2C 85 50 86 34 80 22 88 30 8E
-3E 5F E7 3F 3E 40 28 00 B0 12 D0 8D 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 80 89 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C 85 50 86 34 80 78 8E 6E 8E 21 53
-3E 90 10 00 C6 2B 7F 2D 7A 8E B2 41 C4 21 C1 3F
-0D 12 84 12 24 88 AC 8D 8A 8E 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 D0 8D 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 D0 8D ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-58 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 58 8E
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 58 8E FA 23 3C 50 10 00 B0 12 34 8E
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-24 88 AC 8D 56 8F FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 58 8E E0 23 3C 50
-80 00 B0 12 34 8E DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A 80 00 13 5A 87 60 84 0A 80 2C 00
-80 8E 4C 8F 96 8F 09 4B 2E 4E 0E DC A2 3F 5A 8A
-03 4D 4F 56 85 12 8C 8F 00 40 A0 8F 05 4D 4F 56
-2E 42 85 12 8C 8F 40 40 00 00 03 41 44 44 85 12
-8C 8F 00 50 BA 8F 05 41 44 44 2E 42 85 12 8C 8F
-40 50 C6 8F 04 41 44 44 43 00 85 12 8C 8F 00 60
-D4 8F 06 41 44 44 43 2E 42 00 85 12 8C 8F 40 60
-7A 8F 04 53 55 42 43 00 85 12 8C 8F 00 70 F2 8F
-06 53 55 42 43 2E 42 00 85 12 8C 8F 40 70 00 90
-03 53 55 42 85 12 8C 8F 00 80 10 90 05 53 55 42
-2E 42 85 12 8C 8F 40 80 36 8A 03 43 4D 50 85 12
-8C 8F 00 90 2A 90 05 43 4D 50 2E 42 85 12 8C 8F
-40 90 22 8A 04 44 41 44 44 00 85 12 8C 8F 00 A0
-44 90 06 44 41 44 44 2E 42 00 85 12 8C 8F 40 A0
-36 90 03 42 49 54 85 12 8C 8F 00 B0 62 90 05 42
-49 54 2E 42 85 12 8C 8F 40 B0 6E 90 03 42 49 43
-85 12 8C 8F 00 C0 7C 90 05 42 49 43 2E 42 85 12
-8C 8F 40 C0 88 90 03 42 49 53 85 12 8C 8F 00 D0
-96 90 05 42 49 53 2E 42 85 12 8C 8F 40 D0 00 00
-03 58 4F 52 85 12 8C 8F 00 E0 B0 90 05 58 4F 52
-2E 42 85 12 8C 8F 40 E0 E2 8F 03 41 4E 44 85 12
-8C 8F 00 F0 CA 90 05 41 4E 44 2E 42 85 12 8C 8F
-40 F0 24 88 80 8E E8 90 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 1C 90 03 52 52 43 85 12
-E2 90 00 10 FA 90 05 52 52 43 2E 42 85 12 E2 90
-40 10 06 91 04 53 57 50 42 00 85 12 E2 90 80 10
-14 91 03 52 52 41 85 12 E2 90 00 11 22 91 05 52
-52 41 2E 42 85 12 E2 90 40 11 2E 91 03 53 58 54
-85 12 E2 90 80 11 00 00 04 50 55 53 48 00 85 12
-E2 90 00 12 48 91 06 50 55 53 48 2E 42 00 85 12
-E2 90 40 12 A2 90 04 43 41 4C 4C 00 85 12 E2 90
-80 12 1A 53 0E 4A 0D 12 84 12 D6 84 14 80 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81 3C 91
-03 53 3E 3D 86 12 00 38 90 91 02 53 3C 00 86 12
-00 34 56 91 03 30 3E 3D 86 12 00 30 A4 91 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-B8 91 03 55 3E 3D 86 12 00 28 AE 91 03 30 3C 3E
-86 12 00 24 CC 91 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D C2 91 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 52 90 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 66 91 05 42 45 47 49 4E 30 40
-28 80 F6 91 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-D6 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 E4 91 BA 83
-60 84 9A 91 06 52 45 50 45 41 54 00 0D 12 84 12
-78 92 FC 91 60 84 A8 92 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 38 92
-03 42 57 31 85 12 A6 92 00 00 C0 92 03 42 57 32
-85 12 A6 92 00 00 CC 92 03 42 57 33 85 12 A6 92
-00 00 E4 92 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 E2 92 00 00 04 93
-03 46 57 32 85 12 E2 92 00 00 10 93 03 46 57 33
-85 12 E2 92 00 00 1C 93 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 88 FE 87
-60 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-24 88 AC 8D 66 93 92 53 C4 21 3E 40 2C 00 84 12
-2C 85 50 86 34 80 22 88 42 8F 7C 93 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E 94 92 04 52 52 43 4D 00
-85 12 60 93 50 00 AA 93 04 52 52 41 4D 00 85 12
-60 93 50 01 B8 93 04 52 4C 41 4D 00 85 12 60 93
-50 02 C6 93 04 52 52 55 4D 00 85 12 60 93 50 03
-D6 91 05 50 55 53 48 4D 85 12 60 93 00 15 E2 93
-04 50 4F 50 4D 00 85 12 60 93 00 17
+A2 82 C6 21 0D 12 84 12 04 8C DE 8B 60 84 B4 89
+07 48 44 4E 43 4F 44 45 B2 40 E2 8B DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89
+1E 8C 3C 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E 8C 3C 8C 60 84
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 8A 85 48 49 32 4C 4F 0D 12 84 12 28 80
+AC 8B 5A 87 BC 88 F4 89 60 84 9A 89 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 8A 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 80 00 00 D8 83 14 88 2C 85
+AA 87 A0 83 34 80 14 8B AE 83 14 80 06 5B 54 48
+45 4E 5D 00 86 8A EE 8A AA 8A CC 8A 60 84 AE 83
+14 80 06 5B 45 4C 53 45 5D 00 86 8A 04 8B AA 8A
+CA 8A 60 84 14 80 04 5B 49 46 5D 00 86 8A CC 8A
+3A 80 CA 8A 82 83 14 80 05 0D 0A 6B 6F 20 5C 83
+BC 80 AC 80 3A 80 CC 8A BA 8A 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 8B 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 88 2C 85
+94 85 38 8B 60 84 3E 8B 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 8B F0 83 60 84 70 8B
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 87
+06 4D 41 52 4B 45 52 00 B0 12 12 89 BA 40 85 12
+FC FF BA 40 6E 8B FE FF 28 83 8A 48 00 00 BA 40
+AA 80 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A 80 CA 21 E8 83 60 84 85 12 B0 8B 78 88 E6 89
+2C 83 90 88 64 8A F6 82 80 8B 12 85 A8 8C BC 8C
+9C 84 26 85 00 00 58 8B CE 88 F4 85 00 00 85 12
+B0 8B 6A 92 D0 92 12 92 20 93 D8 91 00 00 A4 8F
+00 00 E8 93 CC 93 3C 92 7A 92 B4 90 00 00 00 00
+3C 93 DC 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 8B 36 8C 3C 8C 4C 8C 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 88 09 50 57 52 5F 53 54 41 54 45 85 12 44 8C
+F4 93 E0 84 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 8C F3 3F 82 8C 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 8C 30 4D 94 8C 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 8D FE FF 29 83
+B9 40 02 82 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 82 B2 49 FA 80 B2 49 02 80 B2 49 20 82
+B2 49 E2 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 D2 D3 05 02 B2 D3
+26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00 43 02
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B0 00
+82 01 B2 40 1E 00 84 01 82 43 88 01 F2 D0 C0 00
+0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 80 1E 82 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 2C 85 94 85 F0 83 34 80 E4 8D 50 86 34 80
+FE 8D F8 8D E6 8D 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 00 8E
+B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2C 85 94 85
+F0 83 34 80 1C 8E 50 86 34 80 12 88 BA 83 2C 85
+50 86 34 80 12 88 28 8E 3E 5F E7 3F 3E 40 28 00
+B0 12 C8 8D 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 80 89
+1C 15 12 12 C4 21 92 53 C4 21 84 12 2C 85 50 86
+34 80 70 8E 66 8E 21 53 3E 90 10 00 C6 2B 7F 2D
+72 8E B2 41 C4 21 C1 3F 0D 12 84 12 14 88 A4 8D
+82 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 C8 8D 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 C8 8D ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 50 8E 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 50 8E 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 50 8E FA 23
+3C 50 10 00 B0 12 2C 8E EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 14 88 A4 8D 4E 8F FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 50 8E E0 23 3C 50 80 00 B0 12 2C 8E DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A 80 00 13
+5A 87 60 84 0A 80 2C 00 78 8E 44 8F 8E 8F 09 4B
+2E 4E 0E DC A2 3F 52 8A 03 4D 4F 56 85 12 84 8F
+00 40 98 8F 05 4D 4F 56 2E 42 85 12 84 8F 40 40
+00 00 03 41 44 44 85 12 84 8F 00 50 B2 8F 05 41
+44 44 2E 42 85 12 84 8F 40 50 BE 8F 04 41 44 44
+43 00 85 12 84 8F 00 60 CC 8F 06 41 44 44 43 2E
+42 00 85 12 84 8F 40 60 72 8F 04 53 55 42 43 00
+85 12 84 8F 00 70 EA 8F 06 53 55 42 43 2E 42 00
+85 12 84 8F 40 70 F8 8F 03 53 55 42 85 12 84 8F
+00 80 08 90 05 53 55 42 2E 42 85 12 84 8F 40 80
+28 8A 03 43 4D 50 85 12 84 8F 00 90 22 90 05 43
+4D 50 2E 42 85 12 84 8F 40 90 12 8A 04 44 41 44
+44 00 85 12 84 8F 00 A0 3C 90 06 44 41 44 44 2E
+42 00 85 12 84 8F 40 A0 2E 90 03 42 49 54 85 12
+84 8F 00 B0 5A 90 05 42 49 54 2E 42 85 12 84 8F
+40 B0 66 90 03 42 49 43 85 12 84 8F 00 C0 74 90
+05 42 49 43 2E 42 85 12 84 8F 40 C0 80 90 03 42
+49 53 85 12 84 8F 00 D0 8E 90 05 42 49 53 2E 42
+85 12 84 8F 40 D0 00 00 03 58 4F 52 85 12 84 8F
+00 E0 A8 90 05 58 4F 52 2E 42 85 12 84 8F 40 E0
+DA 8F 03 41 4E 44 85 12 84 8F 00 F0 C2 90 05 41
+4E 44 2E 42 85 12 84 8F 40 F0 14 88 78 8E E0 90
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+14 90 03 52 52 43 85 12 DA 90 00 10 F2 90 05 52
+52 43 2E 42 85 12 DA 90 40 10 FE 90 04 53 57 50
+42 00 85 12 DA 90 80 10 0C 91 03 52 52 41 85 12
+DA 90 00 11 1A 91 05 52 52 41 2E 42 85 12 DA 90
+40 11 26 91 03 53 58 54 85 12 DA 90 80 11 00 00
+04 50 55 53 48 00 85 12 DA 90 00 12 40 91 06 50
+55 53 48 2E 42 00 85 12 DA 90 40 12 9A 90 04 43
+41 4C 4C 00 85 12 DA 90 80 12 1A 53 0E 4A 0D 12
+84 12 D6 84 14 80 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 81 34 91 03 53 3E 3D 86 12 00 38
+88 91 02 53 3C 00 86 12 00 34 4E 91 03 30 3E 3D
+86 12 00 30 9C 91 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C B0 91 03 55 3E 3D 86 12
+00 28 A6 91 03 30 3C 3E 86 12 00 24 C4 91 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D BA 91 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+4A 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 5E 91
+05 42 45 47 49 4E 30 40 28 80 EE 91 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D CE 90 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 DC 91 BA 83 60 84 92 91 06 52 45 50
+45 41 54 00 0D 12 84 12 70 92 F4 91 60 84 A0 92
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 30 92 03 42 57 31 85 12 9E 92
+00 00 B8 92 03 42 57 32 85 12 9E 92 00 00 C4 92
+03 42 57 33 85 12 9E 92 00 00 DC 92 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 DA 92 00 00 FC 92 03 46 57 32 85 12 DA 92
+00 00 08 93 03 46 57 33 85 12 DA 92 00 00 14 93
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 92 88 EE 87 60 84 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 14 88 A4 8D 5E 93 92 53
+C4 21 3E 40 2C 00 84 12 2C 85 50 86 34 80 12 88
+3A 8F 74 93 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+8C 92 04 52 52 43 4D 00 85 12 58 93 50 00 A2 93
+04 52 52 41 4D 00 85 12 58 93 50 01 B0 93 04 52
+4C 41 4D 00 85 12 58 93 50 02 BE 93 04 52 52 55
+4D 00 85 12 58 93 50 03 CE 91 05 50 55 53 48 4D
+85 12 58 93 00 15 DA 93 04 50 4F 50 4D 00 85 12
+58 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 94 82 02 82 02 82 02 82 02 82 02 82 02 82
-02 82 02 82 02 82 02 82 02 82 02 82 02 82 22 8D
+02 82 02 82 02 82 02 82 02 82 02 82 02 82 1A 8D
 q
index b935f8b..aaaec9a 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-C0 5D 12 00 00 00 F8 00 F9 FF 06 94 08 8C 33 01
-10 00 81 86 B4 81 AA 80 B6 81 8A 81 80 82 06 94
-08 8C 6E 82 90 83 FC 82 D8 82 3C 21 5E 84 D4 80
-E2 80 EE 80 20 00 0A 00 26 88 40 88 00 00 00 00
+C0 5D 12 00 00 00 F8 00 F9 FF EE 93 F0 8B 34 01
+10 00 41 87 B6 81 AA 80 B8 81 8C 81 82 82 EE 93
+F0 8B 70 82 80 83 FE 82 DA 82 3C 21 4E 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 B6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
-B2 49 6C 82 B2 49 8E 83 B2 49 FA 82 B2 49 D6 82
+B2 49 6E 82 B2 49 7E 83 B2 49 FC 82 B2 49 D8 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 81 B0 12 F8 80
-0A 80 DE 21 DE 83 14 83 48 83 34 80 26 88 14 80
-05 1B 5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84
-A6 83 34 80 7C 81 14 80 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 83 9E 84 5A 83 14 80
-04 1B 5B 30 6D 00 5A 83 26 88 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 81 E2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 81 04 57 41 52 4D 00 B0 12 8A 81
-78 40 03 00 B0 12 B8 81 84 12 14 80 07 0D 0A 1B
-5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84 0A 80
-23 00 F8 82 D4 84 14 80 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 83 0A 80 40 FF 28 80 D2 83 9E 84 14 80
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 80 7C 81
-00 00 06 41 43 43 45 50 54 00 30 40 6E 82 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 81
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 82 2F 83 8F 4E 00 00 58 43
-B0 12 B8 81 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 82 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 82 04 45
-43 48 4F 00 B2 40 C2 48 06 83 82 43 DE 21 38 40
-05 00 B0 12 B8 81 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 83 92 43 DE 21 28 42 F1 3F
-2A 83 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 82 78 83 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 81 02 43 52 00 30 40 90 83
-0D 12 84 12 14 80 02 0D 0A 00 5A 83 5E 84 2F 83
+B2 49 DC 21 3D 41 30 40 BC 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 81 0E 12 B0 12
+F8 80 0A 80 DE 21 CE 83 16 83 EE 80 34 80 8A 81
+14 80 05 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83
+C4 84 96 83 34 80 7E 81 14 80 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 83 8E 84 4A 83
+14 80 04 1B 5B 30 6D 00 4A 83 16 88 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 81 E2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 81 04 57 41 52 4D 00 B0 12
+8C 81 78 40 03 00 B0 12 BA 81 84 12 14 80 07 0D
+0A 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83 C4 84
+0A 80 23 00 FA 82 C4 84 14 80 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 83 0A 80 40 FF 28 80 C2 83 8E 84
+14 80 0A 62 79 74 65 73 20 66 72 65 65 00 3A 80
+7E 81 00 00 06 41 43 43 45 50 54 00 30 40 70 82
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 81 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 82 2F 83 8F 4E 00 00
+58 43 B0 12 BA 81 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 82 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 82
+04 45 43 48 4F 00 B2 40 C2 48 08 83 82 43 DE 21
+38 40 05 00 B0 12 BA 81 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 83 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 82 68 83 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 81 02 43 52 00 30 40 80 83
+0D 12 84 12 14 80 02 0D 0A 00 4A 83 4E 84 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 82 01 40 2E 4E
-30 4D DC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 82 01 40 2E 4E
+30 4D CC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 83 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 83 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E 80 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 84 02 23 53 00 0D 12 84 12
-1A 84 54 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 84 02 23 53 00 0D 12 84 12
+0A 84 44 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 83 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 83 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 84
-4C 84 EE 80 8C 84 68 84 5A 83 22 88 F8 82 5E 84
-42 83 01 2E 0E 93 E3 37 38 43 E2 3F 86 84 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 58 87
-0A 80 22 00 2A 85 F8 84 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 84
-82 2E 22 00 0D 12 84 12 E2 84 0A 80 5A 83 58 87
-5E 84 F6 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 83
+3C 84 EE 80 7C 84 58 84 4A 83 02 88 FA 82 4E 84
+2C 83 01 2E 0E 93 E3 37 38 43 E2 3F 76 84 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 48 87
+0A 80 22 00 1A 85 E8 84 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 84
+82 2E 22 00 0D 12 84 12 D2 84 0A 80 4A 83 48 87
+4E 84 F8 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC 86 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C 86 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 86 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 86 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 83 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 87 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 87 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 84 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 80 22 88 2A 85 DE 87 94 26
-3D 40 E6 87 D6 3E E8 87 0A 4E 3E 4F 3D 40 02 88
-2E 27 3D 40 D8 87 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 88 3E 4F 3D 40 D8 87 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 89 CC 3F
-0C 88 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C 83 BC 80
-D2 87 C2 83 F4 83 14 80 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 FC 83
+54 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 84 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 80 02 88 1A 85 BE 87 9C 26
+3D 40 C6 87 DE 3E C8 87 0A 4E 3E 4F 3D 40 E2 87
+36 27 3D 40 B8 87 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 87 3E 4F 3D 40 B8 87 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 89 CC 3F
+EC 87 86 12 20 00 D4 83 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C 83 BC 80
+B2 87 B2 83 E4 83 14 80 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 EC 83
 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 81
-3A 80 3C 88 6A 87 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 84 0A 80 2A 81 58 87 5E 84 8C 85 01 27
-0D 12 84 12 22 88 2A 85 92 85 34 80 20 88 5E 84
-00 00 83 5B 27 5D 0D 12 84 12 90 88 0A 80 0A 80
-58 87 58 87 5E 84 A2 88 81 5B 82 43 BE 21 30 4D
-0A 84 01 5D B2 43 BE 21 30 4D C2 88 81 5C 92 42
+3A 80 2C 88 08 88 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 84 0A 80 2A 81 48 87 4E 84 7C 85 01 27
+0D 12 84 12 02 88 1A 85 82 85 34 80 00 88 4E 84
+00 00 83 5B 27 5D 0D 12 84 12 80 88 0A 80 0A 80
+48 87 48 87 4E 84 92 88 81 5B 82 43 BE 21 30 4D
+FA 83 01 5D B2 43 BE 21 30 4D B2 88 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 88 2A 85 92 85 A6 83 34 80
-20 88 F4 83 34 80 04 89 0A 80 0A 80 58 87 58 87
-0A 80 58 87 58 87 5E 84 B8 88 01 3A 30 12 54 89
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 88 2A 85
-22 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 88 1A 85 82 85 96 83 34 80
+00 88 E4 83 34 80 F4 88 0A 80 0A 80 48 87 48 87
+0A 80 48 87 48 87 4E 84 A8 88 01 3A 30 12 44 89
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 88 1A 85
+12 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 81 0A 89 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A 80 5E 84 58 87 66 89 BA 88 5E 84
-C8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 87 06 43 52 45 41 54
-45 00 B0 12 10 89 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 89 04 43 4F 44 45 00 B0 12 10 89 A2 82
-C6 21 0D 12 84 12 0A 8C E4 8B 5E 84 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 89 24 8C 5E 84
-76 88 03 41 53 4D B2 40 E8 8B DA 21 E6 3F FE 89
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 8A 42 8C
-5E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 8C 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 89 85 48 49 32 4C 4F
-0D 12 84 12 28 80 B2 8B 58 87 BA 88 F2 89 5E 84
-98 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 8A
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80 00 00
-D6 83 22 88 2A 85 B8 87 9E 83 34 80 1A 8B AC 83
-14 80 06 5B 54 48 45 4E 5D 00 8C 8A F4 8A B0 8A
-D2 8A 5E 84 AC 83 14 80 06 5B 45 4C 53 45 5D 00
-8C 8A 0A 8B B0 8A D0 8A 5E 84 14 80 04 5B 49 46
-5D 00 8C 8A D2 8A 3A 80 D0 8A 80 83 14 80 05 0D
-0A 6B 6F 20 5A 83 BC 80 AC 80 3A 80 D2 8A C0 8A
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 8B 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 88 2A 85 92 85 3E 8B 5E 84 44 8B 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 8B
-EE 83 5E 84 76 8B B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 87 06 4D 41 52 4B 45 52 00 B0 12
-10 89 BA 40 85 12 FC FF BA 40 74 8B FE FF 28 83
-8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A 80 CA 21 E6 83 5E 84 85 12
-B6 8B 12 8A E4 89 0E 83 8E 88 6A 8A D0 82 86 8B
-10 85 AE 8C C2 8C 9A 84 24 85 00 00 5E 8B CC 88
-F2 85 00 00 85 12 B6 8B 7C 92 E2 92 24 92 32 93
-EA 91 00 00 B6 8F 00 00 FA 93 DE 93 4E 92 8C 92
-C6 90 00 00 00 00 4E 93 E2 8B 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE 8B 3C 8C 42 8C
-52 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 88 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 8C 06 94 DE 84 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 8C F3 3F 88 8C 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 8C 30 4D
-9A 8C 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 8D FE FF 29 83 B9 40 E0 81 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 81 B2 49 FA 80 B2 49
-02 80 B2 49 FE 81 B2 49 E0 FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10 29 83
-89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 D3 26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00
-43 02 F2 40 A5 00 A1 01 F2 40 20 00 A0 01 D2 43
-A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
-BE 00 82 01 B2 40 DC 02 84 01 82 43 88 01 F2 D0
-C0 00 0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
-B0 12 F8 80 FC 81 38 40 C0 21 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
-C4 21 84 12 2A 85 92 85 EE 83 34 80 F6 8D 4E 86
-34 80 10 8E 0A 8E F8 8D 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-12 8E B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2A 85
-92 85 EE 83 34 80 2E 8E 4E 86 34 80 20 88 B8 83
-2A 85 4E 86 34 80 20 88 3A 8E 3E 5F E7 3F 3E 40
-28 00 B0 12 DA 8D 19 42 C6 21 A2 53 C6 21 89 4E
-00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
-7E 89 1C 15 12 12 C4 21 92 53 C4 21 84 12 2A 85
-4E 86 34 80 82 8E 78 8E 21 53 3E 90 10 00 C6 2B
-7F 2D 84 8E B2 41 C4 21 C1 3F 0D 12 84 12 22 88
-B6 8D 94 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 DA 8D
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 21 B0 12 DA 8D ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 21 B0 12 62 8E 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 62 8E 92 92 C0 21 C4 21
-02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 62 8E
-FA 23 3C 50 10 00 B0 12 3E 8E EF 3F 0C 43 1B 42
-C6 21 A2 53 C6 21 0D 12 84 12 22 88 B6 8D 60 8F
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 62 8E E0 23 3C 50 80 00 B0 12 3E 8E
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 80
-00 13 58 87 5E 84 0A 80 2C 00 8A 8E 56 8F A0 8F
-09 4B 2E 4E 0E DC A2 3F 58 8A 03 4D 4F 56 85 12
-96 8F 00 40 AA 8F 05 4D 4F 56 2E 42 85 12 96 8F
-40 40 00 00 03 41 44 44 85 12 96 8F 00 50 C4 8F
-05 41 44 44 2E 42 85 12 96 8F 40 50 D0 8F 04 41
-44 44 43 00 85 12 96 8F 00 60 DE 8F 06 41 44 44
-43 2E 42 00 85 12 96 8F 40 60 84 8F 04 53 55 42
-43 00 85 12 96 8F 00 70 FC 8F 06 53 55 42 43 2E
-42 00 85 12 96 8F 40 70 0A 90 03 53 55 42 85 12
-96 8F 00 80 1A 90 05 53 55 42 2E 42 85 12 96 8F
-40 80 34 8A 03 43 4D 50 85 12 96 8F 00 90 34 90
-05 43 4D 50 2E 42 85 12 96 8F 40 90 20 8A 04 44
-41 44 44 00 85 12 96 8F 00 A0 4E 90 06 44 41 44
-44 2E 42 00 85 12 96 8F 40 A0 40 90 03 42 49 54
-85 12 96 8F 00 B0 6C 90 05 42 49 54 2E 42 85 12
-96 8F 40 B0 78 90 03 42 49 43 85 12 96 8F 00 C0
-86 90 05 42 49 43 2E 42 85 12 96 8F 40 C0 92 90
-03 42 49 53 85 12 96 8F 00 D0 A0 90 05 42 49 53
-2E 42 85 12 96 8F 40 D0 00 00 03 58 4F 52 85 12
-96 8F 00 E0 BA 90 05 58 4F 52 2E 42 85 12 96 8F
-40 E0 EC 8F 03 41 4E 44 85 12 96 8F 00 F0 D4 90
-05 41 4E 44 2E 42 85 12 96 8F 40 F0 22 88 8A 8E
-F2 90 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 26 90 03 52 52 43 85 12 EC 90 00 10 04 91
-05 52 52 43 2E 42 85 12 EC 90 40 10 10 91 04 53
-57 50 42 00 85 12 EC 90 80 10 1E 91 03 52 52 41
-85 12 EC 90 00 11 2C 91 05 52 52 41 2E 42 85 12
-EC 90 40 11 38 91 03 53 58 54 85 12 EC 90 80 11
-00 00 04 50 55 53 48 00 85 12 EC 90 00 12 52 91
-06 50 55 53 48 2E 42 00 85 12 EC 90 40 12 AC 90
-04 43 41 4C 4C 00 85 12 EC 90 80 12 1A 53 0E 4A
-0D 12 84 12 D4 84 14 80 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 81 46 91 03 53 3E 3D 86 12
-00 38 9A 91 02 53 3C 00 86 12 00 34 60 91 03 30
-3E 3D 86 12 00 30 AE 91 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C C2 91 03 55 3E 3D
-86 12 00 28 B8 91 03 30 3C 3E 86 12 00 24 D6 91
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D CC 91
-04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 5C 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40
-00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
-70 91 05 42 45 47 49 4E 30 40 28 80 00 92 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 21 30 4D E0 90 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 EE 91 B8 83 5E 84 A4 91 06 52
-45 50 45 41 54 00 0D 12 84 12 82 92 06 92 5E 84
-B2 92 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
-98 42 C6 21 00 00 30 4D 42 92 03 42 57 31 85 12
-B0 92 00 00 CA 92 03 42 57 32 85 12 B0 92 00 00
-D6 92 03 42 57 33 85 12 B0 92 00 00 EE 92 3D 41
-1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
-A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 EC 92 00 00 0E 93 03 46 57 32 85 12
-EC 92 00 00 1A 93 03 46 57 33 85 12 EC 92 00 00
-26 93 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 90 88 FC 87 5E 84 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 22 88 B6 8D 70 93
-92 53 C4 21 3E 40 2C 00 84 12 2A 85 4E 86 34 80
-20 88 4C 8F 86 93 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E 9E 92 04 52 52 43 4D 00 85 12 6A 93 50 00
-B4 93 04 52 52 41 4D 00 85 12 6A 93 50 01 C2 93
-04 52 4C 41 4D 00 85 12 6A 93 50 02 D0 93 04 52
-52 55 4D 00 85 12 6A 93 50 03 E0 91 05 50 55 53
-48 4D 85 12 6A 93 00 15 EC 93 04 50 4F 50 4D 00
-85 12 6A 93 00 17
+74 63 68 21 36 81 FA 88 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A 80 4E 84 48 87 56 89 AA 88 4E 84
+A8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 87 06 43 52 45 41 54
+45 00 B0 12 00 89 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 89 04 43 4F 44 45 00 B0 12 00 89 A2 82
+C6 21 0D 12 84 12 F2 8B CC 8B 4E 84 A2 89 07 48
+44 4E 43 4F 44 45 B2 40 D0 8B DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 89 0C 8C
+2A 8C 4E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C 8C 2A 8C 4E 84 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE 89 85 48 49 32 4C 4F 0D 12 84 12 28 80 9A 8B
+48 87 AA 88 E2 89 4E 84 88 89 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 8A 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 80 00 00 C6 83 02 88 1A 85 98 87
+8E 83 34 80 02 8B 9C 83 14 80 06 5B 54 48 45 4E
+5D 00 74 8A DC 8A 98 8A BA 8A 4E 84 9C 83 14 80
+06 5B 45 4C 53 45 5D 00 74 8A F2 8A 98 8A B8 8A
+4E 84 14 80 04 5B 49 46 5D 00 74 8A BA 8A 3A 80
+B8 8A 70 83 14 80 05 0D 0A 6B 6F 20 4A 83 BC 80
+AC 80 3A 80 BA 8A A8 8A 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 8B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 88 1A 85 82 85
+26 8B 4E 84 2C 8B 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 8B DE 83 4E 84 5E 8B B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 87 06 4D
+41 52 4B 45 52 00 B0 12 00 89 BA 40 85 12 FC FF
+BA 40 5C 8B FE FF 28 83 8A 48 00 00 BA 40 AA 80
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A 80
+CA 21 D6 83 4E 84 85 12 9E 8B 66 88 D4 89 10 83
+7E 88 52 8A D2 82 6E 8B 00 85 96 8C AA 8C 8A 84
+14 85 00 00 46 8B BC 88 E2 85 00 00 85 12 9E 8B
+64 92 CA 92 0C 92 1A 93 D2 91 00 00 9E 8F 00 00
+E2 93 C6 93 36 92 74 92 AE 90 00 00 00 00 36 93
+CA 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 8B 24 8C 2A 8C 3A 8C 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 88
+09 50 57 52 5F 53 54 41 54 45 85 12 32 8C EE 93
+CE 84 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 8C F3 3F 70 8C 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E 8C 30 4D 82 8C 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 8D FE FF 29 83 B9 40
+E2 81 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 81 B2 49 FA 80 B2 49 02 80 B2 49 00 82 B2 49
+E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 10 29 83 89 43 00 20 FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 43 22 02
+F2 D3 47 02 F2 40 BF 00 43 02 F2 40 A5 00 A1 01
+F2 40 20 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
+B2 40 FF 1E 80 01 B2 40 BE 00 82 01 B2 40 DC 02
+84 01 82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18
+82 43 08 18 1E D2 5E 01 B0 12 F8 80 FE 81 38 40
+C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
+30 4D 1C 15 0E 12 12 12 C4 21 84 12 1A 85 82 85
+DE 83 34 80 DE 8D 3E 86 34 80 F8 8D F2 8D E0 8D
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 FA 8D B2 41 C4 21 3E 41
+84 12 0A 80 2B 00 1A 85 82 85 DE 83 34 80 16 8E
+3E 86 34 80 00 88 A8 83 1A 85 3E 86 34 80 00 88
+22 8E 3E 5F E7 3F 3E 40 28 00 B0 12 C2 8D 19 42
+C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
+C0 21 C4 21 02 20 30 40 6E 89 1C 15 12 12 C4 21
+92 53 C4 21 84 12 1A 85 3E 86 34 80 6A 8E 60 8E
+21 53 3E 90 10 00 C6 2B 7F 2D 6C 8E B2 41 C4 21
+C1 3F 0D 12 84 12 02 88 9E 8D 7C 8E 0C 43 1B 42
+C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 21 B0 12 C2 8D 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 C2 8D
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
+B0 12 4A 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+4A 8E 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
+0C 5E DA 3F B0 12 4A 8E FA 23 3C 50 10 00 B0 12
+26 8E EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
+84 12 02 88 9E 8D 48 8F FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 4A 8E E0 23
+3C 50 80 00 B0 12 26 8E DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 80 00 13 48 87 4E 84 0A 80
+2C 00 72 8E 3E 8F 88 8F 09 4B 2E 4E 0E DC A2 3F
+40 8A 03 4D 4F 56 85 12 7E 8F 00 40 92 8F 05 4D
+4F 56 2E 42 85 12 7E 8F 40 40 00 00 03 41 44 44
+85 12 7E 8F 00 50 AC 8F 05 41 44 44 2E 42 85 12
+7E 8F 40 50 B8 8F 04 41 44 44 43 00 85 12 7E 8F
+00 60 C6 8F 06 41 44 44 43 2E 42 00 85 12 7E 8F
+40 60 6C 8F 04 53 55 42 43 00 85 12 7E 8F 00 70
+E4 8F 06 53 55 42 43 2E 42 00 85 12 7E 8F 40 70
+F2 8F 03 53 55 42 85 12 7E 8F 00 80 02 90 05 53
+55 42 2E 42 85 12 7E 8F 40 80 16 8A 03 43 4D 50
+85 12 7E 8F 00 90 1C 90 05 43 4D 50 2E 42 85 12
+7E 8F 40 90 00 8A 04 44 41 44 44 00 85 12 7E 8F
+00 A0 36 90 06 44 41 44 44 2E 42 00 85 12 7E 8F
+40 A0 28 90 03 42 49 54 85 12 7E 8F 00 B0 54 90
+05 42 49 54 2E 42 85 12 7E 8F 40 B0 60 90 03 42
+49 43 85 12 7E 8F 00 C0 6E 90 05 42 49 43 2E 42
+85 12 7E 8F 40 C0 7A 90 03 42 49 53 85 12 7E 8F
+00 D0 88 90 05 42 49 53 2E 42 85 12 7E 8F 40 D0
+00 00 03 58 4F 52 85 12 7E 8F 00 E0 A2 90 05 58
+4F 52 2E 42 85 12 7E 8F 40 E0 D4 8F 03 41 4E 44
+85 12 7E 8F 00 F0 BC 90 05 41 4E 44 2E 42 85 12
+7E 8F 40 F0 02 88 72 8E DA 90 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 0E 90 03 52 52 43
+85 12 D4 90 00 10 EC 90 05 52 52 43 2E 42 85 12
+D4 90 40 10 F8 90 04 53 57 50 42 00 85 12 D4 90
+80 10 06 91 03 52 52 41 85 12 D4 90 00 11 14 91
+05 52 52 41 2E 42 85 12 D4 90 40 11 20 91 03 53
+58 54 85 12 D4 90 80 11 00 00 04 50 55 53 48 00
+85 12 D4 90 00 12 3A 91 06 50 55 53 48 2E 42 00
+85 12 D4 90 40 12 94 90 04 43 41 4C 4C 00 85 12
+D4 90 80 12 1A 53 0E 4A 0D 12 84 12 C4 84 14 80
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81
+2E 91 03 53 3E 3D 86 12 00 38 82 91 02 53 3C 00
+86 12 00 34 48 91 03 30 3E 3D 86 12 00 30 96 91
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C AA 91 03 55 3E 3D 86 12 00 28 A0 91 03 30
+3C 3E 86 12 00 24 BE 91 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
+C6 21 0E 4A 30 4D B4 91 04 54 48 45 4E 00 1A 42
+C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 44 90 04 45 4C 53
+45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
+2F 83 8F 4A 00 00 E3 3F 58 91 05 42 45 47 49 4E
+30 40 28 80 E8 91 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
+30 4D C8 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 D6 91
+A8 83 4E 84 8C 91 06 52 45 50 45 41 54 00 0D 12
+84 12 6A 92 EE 91 4E 84 9A 92 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
+2A 92 03 42 57 31 85 12 98 92 00 00 B2 92 03 42
+57 32 85 12 98 92 00 00 BE 92 03 42 57 33 85 12
+98 92 00 00 D6 92 3D 41 1A 42 C6 21 28 4E B2 92
+C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 D4 92 00 00
+F6 92 03 46 57 32 85 12 D4 92 00 00 02 93 03 46
+57 33 85 12 D4 92 00 00 0E 93 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 80 88
+DC 87 4E 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 02 88 9E 8D 58 93 92 53 C4 21 3E 40 2C 00
+84 12 1A 85 3E 86 34 80 00 88 34 8F 6E 93 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E 86 92 04 52 52 43
+4D 00 85 12 52 93 50 00 9C 93 04 52 52 41 4D 00
+85 12 52 93 50 01 AA 93 04 52 4C 41 4D 00 85 12
+52 93 50 02 B8 93 04 52 52 55 4D 00 85 12 52 93
+50 03 C8 91 05 50 55 53 48 4D 85 12 52 93 00 15
+D4 93 04 50 4F 50 4D 00 85 12 52 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-80 82 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 20 8D
+77 00 10 00 12 00 14 00 16 00 00 00 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+82 82 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 08 8D
 q
index dbd93bb..869679f 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-C0 5D 0D 00 01 49 18 00 F9 FF 0C 94 0A 8C 33 01
-10 00 81 B6 94 81 AA 80 C6 81 9C 81 94 82 0C 94
-0A 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
-E2 80 EE 80 20 00 0A 00 28 88 42 88 00 00 00 00
+C0 5D 0D 00 01 49 18 00 F9 FF 04 94 02 8C 34 01
+10 00 41 B3 94 81 AA 80 DA 81 9C 81 94 82 04 94
+02 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 C6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
 B2 49 78 82 B2 49 90 83 B2 49 22 83 B2 49 FC 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 81 92 C3 9C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 81 92 C3 9C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 9C 05 F3 23 B0 12 F8 80 0A 80 DE 21 E0 83 32 83
 14 80 04 1B 5B 37 6D 00 5C 83 A8 83 34 80 86 81
@@ -52,7 +52,7 @@ C2 48 8E 05 30 4D C8 82 2D 83 92 B3 9C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 9C 05
 FD 27 58 42 8C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 82 2F 83
-8F 4E 00 00 B0 12 C6 81 92 B3 9C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 81 92 B3 9C 05 FD 27 1E 42
 8C 05 B0 12 C8 81 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 83 08 4E 3E 4F C8 3F 1A 83 04 45 43 48
 4F 00 B2 40 C2 48 C0 82 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A 84 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 83 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 84 4E 84 EE 80 8E 84 6A 84 5C 83 24 88 20 83
+10 84 4E 84 EE 80 8E 84 6A 84 5C 83 14 88 20 83
 60 84 40 83 01 2E 0E 93 E3 37 38 43 E2 3F 88 84
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80
 5A 87 0A 80 22 00 2C 85 FA 84 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 80 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 83 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 87 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 87 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 84 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 80 24 88 2C 85 E0 87
-94 26 3D 40 E8 87 D6 3E EA 87 0A 4E 3E 4F 3D 40
-04 88 2E 27 3D 40 DA 87 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 88 3E 4F 3D 40 DA 87 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 80 14 88 2C 85 D0 87
+9C 26 3D 40 D8 87 DE 3E DA 87 0A 4E 3E 4F 3D 40
+F4 87 36 27 3D 40 CA 87 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 87 3E 4F 3D 40 CA 87 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 89
-CC 3F 0E 88 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE 87 86 12 20 00 E6 83 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E 83
-BC 80 D4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
+BC 80 C4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80
 FE 83 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 81 3A 80 3E 88 6C 87 86 41 42 4F 52 54 22 00
+2A 81 3A 80 3E 88 1A 88 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 84 0A 80 2A 81 5A 87 60 84 8E 85
-01 27 0D 12 84 12 24 88 2C 85 94 85 34 80 22 88
+01 27 0D 12 84 12 14 88 2C 85 94 85 34 80 12 88
 60 84 00 00 83 5B 27 5D 0D 12 84 12 92 88 0A 80
 0A 80 5A 87 5A 87 60 84 A4 88 81 5B 82 43 BE 21
 30 4D 0C 84 01 5D B2 43 BE 21 30 4D C4 88 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 88 2C 85 94 85 A8 83
-34 80 22 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
+4F 4E 45 00 0D 12 84 12 14 88 2C 85 94 85 A8 83
+34 80 12 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
 5A 87 0A 80 5A 87 5A 87 60 84 BA 88 01 3A 30 12
-56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 88
+56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 88
 2C 85 24 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,172 +159,172 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 81 0C 89 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A 80 60 84 5A 87 68 89 BC 88
-60 84 CA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 87 06 43 52 45
+60 84 BA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 87 06 43 52 45
 41 54 45 00 B0 12 12 89 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 89 04 43 4F 44 45 00 B0 12 12 89
-A2 82 C6 21 0D 12 84 12 0C 8C E6 8B 60 84 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89 26 8C
-60 84 78 88 03 41 53 4D B2 40 EA 8B DA 21 E6 3F
-00 8A 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 8A
-44 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 8C 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 89 85 48 49 32
-4C 4F 0D 12 84 12 28 80 B4 8B 5A 87 BC 88 F4 89
-60 84 9A 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 8A 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80
-00 00 D8 83 24 88 2C 85 BA 87 A0 83 34 80 1C 8B
-AE 83 14 80 06 5B 54 48 45 4E 5D 00 8E 8A F6 8A
-B2 8A D4 8A 60 84 AE 83 14 80 06 5B 45 4C 53 45
-5D 00 8E 8A 0C 8B B2 8A D2 8A 60 84 14 80 04 5B
-49 46 5D 00 8E 8A D4 8A 3A 80 D2 8A 82 83 14 80
-05 0D 0A 6B 6F 20 5C 83 BC 80 AC 80 3A 80 D4 8A
-C2 8A 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 8B 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 88 2C 85 94 85 40 8B 60 84 46 8B
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 8B F0 83 60 84 78 8B B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 87 06 4D 41 52 4B 45 52 00
-B0 12 12 89 BA 40 85 12 FC FF BA 40 76 8B FE FF
-28 83 8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A 80 CA 21 E8 83 60 84
-85 12 B8 8B 14 8A E6 89 2C 83 90 88 6C 8A F6 82
-88 8B 12 85 B0 8C C4 8C 9C 84 26 85 00 00 60 8B
-CE 88 F4 85 00 00 85 12 B8 8B 82 92 E8 92 2A 92
-38 93 F0 91 00 00 BC 8F 00 00 00 94 E4 93 54 92
-92 92 CC 90 00 00 00 00 54 93 E4 8B 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 8B 3E 8C
-44 8C 54 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 88 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 8C 0C 94 E0 84 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 8C F3 3F 8A 8C
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 8C
-30 4D 9C 8C 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 8D FE FF 29 83 B9 40 02 82 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 82 B2 49 FA 80
-B2 49 02 80 B2 49 20 82 B2 49 E2 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 D2 D3 05 02 B2 D3 26 02 B2 43 22 02 F2 D3
-47 02 F2 40 BF 00 43 02 F2 40 A5 00 A1 01 F2 40
-20 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 BE 00 82 01 B2 40 DC 02 84 01
-82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 80 1E 82 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C 85 94 85 F0 83
-34 80 FC 8D 50 86 34 80 16 8E 10 8E FE 8D 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 18 8E B2 41 C4 21 3E 41 84 12
-0A 80 2B 00 2C 85 94 85 F0 83 34 80 34 8E 50 86
-34 80 22 88 BA 83 2C 85 50 86 34 80 22 88 40 8E
-3E 5F E7 3F 3E 40 28 00 B0 12 E0 8D 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 80 89 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C 85 50 86 34 80 88 8E 7E 8E 21 53
-3E 90 10 00 C6 2B 7F 2D 8A 8E B2 41 C4 21 C1 3F
-0D 12 84 12 24 88 BC 8D 9A 8E 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 E0 8D 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 E0 8D ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-68 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 68 8E
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 68 8E FA 23 3C 50 10 00 B0 12 44 8E
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-24 88 BC 8D 66 8F FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 68 8E E0 23 3C 50
-80 00 B0 12 44 8E DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A 80 00 13 5A 87 60 84 0A 80 2C 00
-90 8E 5C 8F A6 8F 09 4B 2E 4E 0E DC A2 3F 5A 8A
-03 4D 4F 56 85 12 9C 8F 00 40 B0 8F 05 4D 4F 56
-2E 42 85 12 9C 8F 40 40 00 00 03 41 44 44 85 12
-9C 8F 00 50 CA 8F 05 41 44 44 2E 42 85 12 9C 8F
-40 50 D6 8F 04 41 44 44 43 00 85 12 9C 8F 00 60
-E4 8F 06 41 44 44 43 2E 42 00 85 12 9C 8F 40 60
-8A 8F 04 53 55 42 43 00 85 12 9C 8F 00 70 02 90
-06 53 55 42 43 2E 42 00 85 12 9C 8F 40 70 10 90
-03 53 55 42 85 12 9C 8F 00 80 20 90 05 53 55 42
-2E 42 85 12 9C 8F 40 80 36 8A 03 43 4D 50 85 12
-9C 8F 00 90 3A 90 05 43 4D 50 2E 42 85 12 9C 8F
-40 90 22 8A 04 44 41 44 44 00 85 12 9C 8F 00 A0
-54 90 06 44 41 44 44 2E 42 00 85 12 9C 8F 40 A0
-46 90 03 42 49 54 85 12 9C 8F 00 B0 72 90 05 42
-49 54 2E 42 85 12 9C 8F 40 B0 7E 90 03 42 49 43
-85 12 9C 8F 00 C0 8C 90 05 42 49 43 2E 42 85 12
-9C 8F 40 C0 98 90 03 42 49 53 85 12 9C 8F 00 D0
-A6 90 05 42 49 53 2E 42 85 12 9C 8F 40 D0 00 00
-03 58 4F 52 85 12 9C 8F 00 E0 C0 90 05 58 4F 52
-2E 42 85 12 9C 8F 40 E0 F2 8F 03 41 4E 44 85 12
-9C 8F 00 F0 DA 90 05 41 4E 44 2E 42 85 12 9C 8F
-40 F0 24 88 90 8E F8 90 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 2C 90 03 52 52 43 85 12
-F2 90 00 10 0A 91 05 52 52 43 2E 42 85 12 F2 90
-40 10 16 91 04 53 57 50 42 00 85 12 F2 90 80 10
-24 91 03 52 52 41 85 12 F2 90 00 11 32 91 05 52
-52 41 2E 42 85 12 F2 90 40 11 3E 91 03 53 58 54
-85 12 F2 90 80 11 00 00 04 50 55 53 48 00 85 12
-F2 90 00 12 58 91 06 50 55 53 48 2E 42 00 85 12
-F2 90 40 12 B2 90 04 43 41 4C 4C 00 85 12 F2 90
-80 12 1A 53 0E 4A 0D 12 84 12 D6 84 14 80 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81 4C 91
-03 53 3E 3D 86 12 00 38 A0 91 02 53 3C 00 86 12
-00 34 66 91 03 30 3E 3D 86 12 00 30 B4 91 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-C8 91 03 55 3E 3D 86 12 00 28 BE 91 03 30 3C 3E
-86 12 00 24 DC 91 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D D2 91 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 62 90 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 76 91 05 42 45 47 49 4E 30 40
-28 80 06 92 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-E6 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 F4 91 BA 83
-60 84 AA 91 06 52 45 50 45 41 54 00 0D 12 84 12
-88 92 0C 92 60 84 B8 92 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 48 92
-03 42 57 31 85 12 B6 92 00 00 D0 92 03 42 57 32
-85 12 B6 92 00 00 DC 92 03 42 57 33 85 12 B6 92
-00 00 F4 92 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 F2 92 00 00 14 93
-03 46 57 32 85 12 F2 92 00 00 20 93 03 46 57 33
-85 12 F2 92 00 00 2C 93 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 88 FE 87
-60 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-24 88 BC 8D 76 93 92 53 C4 21 3E 40 2C 00 84 12
-2C 85 50 86 34 80 22 88 52 8F 8C 93 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E A4 92 04 52 52 43 4D 00
-85 12 70 93 50 00 BA 93 04 52 52 41 4D 00 85 12
-70 93 50 01 C8 93 04 52 4C 41 4D 00 85 12 70 93
-50 02 D6 93 04 52 52 55 4D 00 85 12 70 93 50 03
-E6 91 05 50 55 53 48 4D 85 12 70 93 00 15 F2 93
-04 50 4F 50 4D 00 85 12 70 93 00 17
+A2 82 C6 21 0D 12 84 12 04 8C DE 8B 60 84 B4 89
+07 48 44 4E 43 4F 44 45 B2 40 E2 8B DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89
+1E 8C 3C 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E 8C 3C 8C 60 84
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 8A 85 48 49 32 4C 4F 0D 12 84 12 28 80
+AC 8B 5A 87 BC 88 F4 89 60 84 9A 89 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 8A 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 80 00 00 D8 83 14 88 2C 85
+AA 87 A0 83 34 80 14 8B AE 83 14 80 06 5B 54 48
+45 4E 5D 00 86 8A EE 8A AA 8A CC 8A 60 84 AE 83
+14 80 06 5B 45 4C 53 45 5D 00 86 8A 04 8B AA 8A
+CA 8A 60 84 14 80 04 5B 49 46 5D 00 86 8A CC 8A
+3A 80 CA 8A 82 83 14 80 05 0D 0A 6B 6F 20 5C 83
+BC 80 AC 80 3A 80 CC 8A BA 8A 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 8B 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 88 2C 85
+94 85 38 8B 60 84 3E 8B 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 8B F0 83 60 84 70 8B
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 87
+06 4D 41 52 4B 45 52 00 B0 12 12 89 BA 40 85 12
+FC FF BA 40 6E 8B FE FF 28 83 8A 48 00 00 BA 40
+AA 80 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A 80 CA 21 E8 83 60 84 85 12 B0 8B 78 88 E6 89
+2C 83 90 88 64 8A F6 82 80 8B 12 85 A8 8C BC 8C
+9C 84 26 85 00 00 58 8B CE 88 F4 85 00 00 85 12
+B0 8B 7A 92 E0 92 22 92 30 93 E8 91 00 00 B4 8F
+00 00 F8 93 DC 93 4C 92 8A 92 C4 90 00 00 00 00
+4C 93 DC 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 8B 36 8C 3C 8C 4C 8C 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 88 09 50 57 52 5F 53 54 41 54 45 85 12 44 8C
+04 94 E0 84 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 8C F3 3F 82 8C 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 8C 30 4D 94 8C 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 8D FE FF 29 83
+B9 40 02 82 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 82 B2 49 FA 80 B2 49 02 80 B2 49 20 82
+B2 49 E2 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 D2 D3 05 02 B2 D3
+26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00 43 02
+F2 40 A5 00 A1 01 F2 40 20 00 A0 01 D2 43 A1 01
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 BE 00
+82 01 B2 40 DC 02 84 01 82 43 88 01 F2 D0 C0 00
+0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 80 1E 82 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 2C 85 94 85 F0 83 34 80 F4 8D 50 86 34 80
+0E 8E 08 8E F6 8D 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 10 8E
+B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2C 85 94 85
+F0 83 34 80 2C 8E 50 86 34 80 12 88 BA 83 2C 85
+50 86 34 80 12 88 38 8E 3E 5F E7 3F 3E 40 28 00
+B0 12 D8 8D 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 80 89
+1C 15 12 12 C4 21 92 53 C4 21 84 12 2C 85 50 86
+34 80 80 8E 76 8E 21 53 3E 90 10 00 C6 2B 7F 2D
+82 8E B2 41 C4 21 C1 3F 0D 12 84 12 14 88 B4 8D
+92 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 D8 8D 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 D8 8D ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 60 8E 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 60 8E 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 60 8E FA 23
+3C 50 10 00 B0 12 3C 8E EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 14 88 B4 8D 5E 8F FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 60 8E E0 23 3C 50 80 00 B0 12 3C 8E DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A 80 00 13
+5A 87 60 84 0A 80 2C 00 88 8E 54 8F 9E 8F 09 4B
+2E 4E 0E DC A2 3F 52 8A 03 4D 4F 56 85 12 94 8F
+00 40 A8 8F 05 4D 4F 56 2E 42 85 12 94 8F 40 40
+00 00 03 41 44 44 85 12 94 8F 00 50 C2 8F 05 41
+44 44 2E 42 85 12 94 8F 40 50 CE 8F 04 41 44 44
+43 00 85 12 94 8F 00 60 DC 8F 06 41 44 44 43 2E
+42 00 85 12 94 8F 40 60 82 8F 04 53 55 42 43 00
+85 12 94 8F 00 70 FA 8F 06 53 55 42 43 2E 42 00
+85 12 94 8F 40 70 08 90 03 53 55 42 85 12 94 8F
+00 80 18 90 05 53 55 42 2E 42 85 12 94 8F 40 80
+28 8A 03 43 4D 50 85 12 94 8F 00 90 32 90 05 43
+4D 50 2E 42 85 12 94 8F 40 90 12 8A 04 44 41 44
+44 00 85 12 94 8F 00 A0 4C 90 06 44 41 44 44 2E
+42 00 85 12 94 8F 40 A0 3E 90 03 42 49 54 85 12
+94 8F 00 B0 6A 90 05 42 49 54 2E 42 85 12 94 8F
+40 B0 76 90 03 42 49 43 85 12 94 8F 00 C0 84 90
+05 42 49 43 2E 42 85 12 94 8F 40 C0 90 90 03 42
+49 53 85 12 94 8F 00 D0 9E 90 05 42 49 53 2E 42
+85 12 94 8F 40 D0 00 00 03 58 4F 52 85 12 94 8F
+00 E0 B8 90 05 58 4F 52 2E 42 85 12 94 8F 40 E0
+EA 8F 03 41 4E 44 85 12 94 8F 00 F0 D2 90 05 41
+4E 44 2E 42 85 12 94 8F 40 F0 14 88 88 8E F0 90
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+24 90 03 52 52 43 85 12 EA 90 00 10 02 91 05 52
+52 43 2E 42 85 12 EA 90 40 10 0E 91 04 53 57 50
+42 00 85 12 EA 90 80 10 1C 91 03 52 52 41 85 12
+EA 90 00 11 2A 91 05 52 52 41 2E 42 85 12 EA 90
+40 11 36 91 03 53 58 54 85 12 EA 90 80 11 00 00
+04 50 55 53 48 00 85 12 EA 90 00 12 50 91 06 50
+55 53 48 2E 42 00 85 12 EA 90 40 12 AA 90 04 43
+41 4C 4C 00 85 12 EA 90 80 12 1A 53 0E 4A 0D 12
+84 12 D6 84 14 80 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 81 44 91 03 53 3E 3D 86 12 00 38
+98 91 02 53 3C 00 86 12 00 34 5E 91 03 30 3E 3D
+86 12 00 30 AC 91 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C C0 91 03 55 3E 3D 86 12
+00 28 B6 91 03 30 3C 3E 86 12 00 24 D4 91 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D CA 91 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+5A 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 6E 91
+05 42 45 47 49 4E 30 40 28 80 FE 91 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D DE 90 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 EC 91 BA 83 60 84 A2 91 06 52 45 50
+45 41 54 00 0D 12 84 12 80 92 04 92 60 84 B0 92
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 40 92 03 42 57 31 85 12 AE 92
+00 00 C8 92 03 42 57 32 85 12 AE 92 00 00 D4 92
+03 42 57 33 85 12 AE 92 00 00 EC 92 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 EA 92 00 00 0C 93 03 46 57 32 85 12 EA 92
+00 00 18 93 03 46 57 33 85 12 EA 92 00 00 24 93
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 92 88 EE 87 60 84 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 14 88 B4 8D 6E 93 92 53
+C4 21 3E 40 2C 00 84 12 2C 85 50 86 34 80 12 88
+4A 8F 84 93 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+9C 92 04 52 52 43 4D 00 85 12 68 93 50 00 B2 93
+04 52 52 41 4D 00 85 12 68 93 50 01 C0 93 04 52
+4C 41 4D 00 85 12 68 93 50 02 CE 93 04 52 52 55
+4D 00 85 12 68 93 50 03 DE 91 05 50 55 53 48 4D
+85 12 68 93 00 15 EA 93 04 50 4F 50 4D 00 85 12
+68 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 94 82 02 82 02 82 02 82 02 82 02 82 02 82
-02 82 02 82 02 82 02 82 02 82 02 82 02 82 22 8D
+02 82 02 82 02 82 02 82 02 82 02 82 02 82 1A 8D
 q
index 9dd9650..09d9f81 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF F6 93 08 8C 33 01
-10 00 81 86 B4 81 AA 80 B6 81 8A 81 80 82 F6 93
-08 8C 6E 82 90 83 FC 82 D8 82 3C 21 5E 84 D4 80
-E2 80 EE 80 20 00 0A 00 26 88 40 88 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF DE 93 F0 8B 34 01
+10 00 41 87 B6 81 AA 80 B8 81 8C 81 82 82 DE 93
+F0 8B 70 82 80 83 FE 82 DA 82 3C 21 4E 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 B6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
-B2 49 6C 82 B2 49 8E 83 B2 49 FA 82 B2 49 D6 82
+B2 49 6E 82 B2 49 7E 83 B2 49 FC 82 B2 49 D8 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 81 B0 12 F8 80
-0A 80 DE 21 DE 83 14 83 48 83 34 80 26 88 14 80
-05 1B 5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84
-A6 83 34 80 7C 81 14 80 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 83 9E 84 5A 83 14 80
-04 1B 5B 30 6D 00 5A 83 26 88 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 81 E2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 81 04 57 41 52 4D 00 B0 12 8A 81
-78 40 03 00 B0 12 B8 81 84 12 14 80 07 0D 0A 1B
-5B 37 6D 40 5A 83 0A 80 02 18 DE 83 D4 84 0A 80
-23 00 F8 82 D4 84 14 80 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 83 0A 80 40 FF 28 80 D2 83 9E 84 14 80
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 80 7C 81
-00 00 06 41 43 43 45 50 54 00 30 40 6E 82 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 81
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 82 2F 83 8F 4E 00 00 58 43
-B0 12 B8 81 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 82 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 82 04 45
-43 48 4F 00 B2 40 C2 48 06 83 82 43 DE 21 38 40
-05 00 B0 12 B8 81 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 83 92 43 DE 21 28 42 F1 3F
-2A 83 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 82 78 83 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 81 02 43 52 00 30 40 90 83
-0D 12 84 12 14 80 02 0D 0A 00 5A 83 5E 84 2F 83
+B2 49 DC 21 3D 41 30 40 BC 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 81 0E 12 B0 12
+F8 80 0A 80 DE 21 CE 83 16 83 EE 80 34 80 8A 81
+14 80 05 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83
+C4 84 96 83 34 80 7E 81 14 80 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 83 8E 84 4A 83
+14 80 04 1B 5B 30 6D 00 4A 83 16 88 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 81 E2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 81 04 57 41 52 4D 00 B0 12
+8C 81 78 40 03 00 B0 12 BA 81 84 12 14 80 07 0D
+0A 1B 5B 37 6D 40 4A 83 0A 80 02 18 CE 83 C4 84
+0A 80 23 00 FA 82 C4 84 14 80 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 83 0A 80 40 FF 28 80 C2 83 8E 84
+14 80 0A 62 79 74 65 73 20 66 72 65 65 00 3A 80
+7E 81 00 00 06 41 43 43 45 50 54 00 30 40 70 82
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 81 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 82 2F 83 8F 4E 00 00
+58 43 B0 12 BA 81 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 82 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 82
+04 45 43 48 4F 00 B2 40 C2 48 08 83 82 43 DE 21
+38 40 05 00 B0 12 BA 81 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 83 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 83 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 82 68 83 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 81 02 43 52 00 30 40 80 83
+0D 12 84 12 14 80 02 0D 0A 00 4A 83 4E 84 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 82 01 40 2E 4E
-30 4D DC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 82 01 40 2E 4E
+30 4D CC 83 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 83 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 83 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E 80 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 84 02 23 53 00 0D 12 84 12
-1A 84 54 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 84 02 23 53 00 0D 12 84 12
+0A 84 44 84 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 84 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 83 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 83 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 84
-4C 84 EE 80 8C 84 68 84 5A 83 22 88 F8 82 5E 84
-42 83 01 2E 0E 93 E3 37 38 43 E2 3F 86 84 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 58 87
-0A 80 22 00 2A 85 F8 84 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 84
-82 2E 22 00 0D 12 84 12 E2 84 0A 80 5A 83 58 87
-5E 84 F6 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 83
+3C 84 EE 80 7C 84 58 84 4A 83 02 88 FA 82 4E 84
+2C 83 01 2E 0E 93 E3 37 38 43 E2 3F 76 84 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80 48 87
+0A 80 22 00 1A 85 E8 84 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 84
+82 2E 22 00 0D 12 84 12 D2 84 0A 80 4A 83 48 87
+4E 84 F8 81 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC 86 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C 86 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 86 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 86 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,215 +121,214 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 83 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 87 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 87 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 84 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 80 22 88 2A 85 DE 87 94 26
-3D 40 E6 87 D6 3E E8 87 0A 4E 3E 4F 3D 40 02 88
-2E 27 3D 40 D8 87 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 88 3E 4F 3D 40 D8 87 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 89 CC 3F
-0C 88 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C 83 BC 80
-D2 87 C2 83 F4 83 14 80 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 FC 83
+54 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 84 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 80 02 88 1A 85 BE 87 9C 26
+3D 40 C6 87 DE 3E C8 87 0A 4E 3E 4F 3D 40 E2 87
+36 27 3D 40 B8 87 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 87 3E 4F 3D 40 B8 87 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 89 CC 3F
+EC 87 86 12 20 00 D4 83 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C 83 BC 80
+B2 87 B2 83 E4 83 14 80 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80 EC 83
 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 81
-3A 80 3C 88 6A 87 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 84 0A 80 2A 81 58 87 5E 84 8C 85 01 27
-0D 12 84 12 22 88 2A 85 92 85 34 80 20 88 5E 84
-00 00 83 5B 27 5D 0D 12 84 12 90 88 0A 80 0A 80
-58 87 58 87 5E 84 A2 88 81 5B 82 43 BE 21 30 4D
-0A 84 01 5D B2 43 BE 21 30 4D C2 88 81 5C 92 42
+3A 80 2C 88 08 88 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 84 0A 80 2A 81 48 87 4E 84 7C 85 01 27
+0D 12 84 12 02 88 1A 85 82 85 34 80 00 88 4E 84
+00 00 83 5B 27 5D 0D 12 84 12 80 88 0A 80 0A 80
+48 87 48 87 4E 84 92 88 81 5B 82 43 BE 21 30 4D
+FA 83 01 5D B2 43 BE 21 30 4D B2 88 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 88 2A 85 92 85 A6 83 34 80
-20 88 F4 83 34 80 04 89 0A 80 0A 80 58 87 58 87
-0A 80 58 87 58 87 5E 84 B8 88 01 3A 30 12 54 89
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 88 2A 85
-22 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 88 1A 85 82 85 96 83 34 80
+00 88 E4 83 34 80 F4 88 0A 80 0A 80 48 87 48 87
+0A 80 48 87 48 87 4E 84 A8 88 01 3A 30 12 44 89
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 88 1A 85
+12 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 81 0A 89 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A 80 5E 84 58 87 66 89 BA 88 5E 84
-C8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 87 06 43 52 45 41 54
-45 00 B0 12 10 89 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 89 04 43 4F 44 45 00 B0 12 10 89 A2 82
-C6 21 0D 12 84 12 0A 8C E4 8B 5E 84 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 89 24 8C 5E 84
-76 88 03 41 53 4D B2 40 E8 8B DA 21 E6 3F FE 89
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 8A 42 8C
-5E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 8C 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 89 85 48 49 32 4C 4F
-0D 12 84 12 28 80 B2 8B 58 87 BA 88 F2 89 5E 84
-98 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 8A
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80 00 00
-D6 83 22 88 2A 85 B8 87 9E 83 34 80 1A 8B AC 83
-14 80 06 5B 54 48 45 4E 5D 00 8C 8A F4 8A B0 8A
-D2 8A 5E 84 AC 83 14 80 06 5B 45 4C 53 45 5D 00
-8C 8A 0A 8B B0 8A D0 8A 5E 84 14 80 04 5B 49 46
-5D 00 8C 8A D2 8A 3A 80 D0 8A 80 83 14 80 05 0D
-0A 6B 6F 20 5A 83 BC 80 AC 80 3A 80 D2 8A C0 8A
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 8B 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 88 2A 85 92 85 3E 8B 5E 84 44 8B 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 8B
-EE 83 5E 84 76 8B B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 87 06 4D 41 52 4B 45 52 00 B0 12
-10 89 BA 40 85 12 FC FF BA 40 74 8B FE FF 28 83
-8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A 80 CA 21 E6 83 5E 84 85 12
-B6 8B 12 8A E4 89 0E 83 8E 88 6A 8A D0 82 86 8B
-10 85 AE 8C C2 8C 9A 84 24 85 00 00 5E 8B CC 88
-F2 85 00 00 85 12 B6 8B 6C 92 D2 92 14 92 22 93
-DA 91 00 00 A6 8F 00 00 EA 93 CE 93 3E 92 7C 92
-B6 90 00 00 00 00 3E 93 E2 8B 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE 8B 3C 8C 42 8C
-52 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 88 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 8C F6 93 DE 84 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 8C F3 3F 88 8C 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 8C 30 4D
-9A 8C 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 8D FE FF 29 83 B9 40 E0 81 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 81 B2 49 FA 80 B2 49
-02 80 B2 49 FE 81 B2 49 E0 FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10 29 83
-89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 D3 26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00
-43 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
-B6 00 82 01 B2 40 F3 00 84 01 82 43 88 01 F2 D0
-C0 00 0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
-B0 12 F8 80 FC 81 38 40 C0 21 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
-C4 21 84 12 2A 85 92 85 EE 83 34 80 E6 8D 4E 86
-34 80 00 8E FA 8D E8 8D 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-02 8E B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2A 85
-92 85 EE 83 34 80 1E 8E 4E 86 34 80 20 88 B8 83
-2A 85 4E 86 34 80 20 88 2A 8E 3E 5F E7 3F 3E 40
-28 00 B0 12 CA 8D 19 42 C6 21 A2 53 C6 21 89 4E
-00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
-7E 89 1C 15 12 12 C4 21 92 53 C4 21 84 12 2A 85
-4E 86 34 80 72 8E 68 8E 21 53 3E 90 10 00 C6 2B
-7F 2D 74 8E B2 41 C4 21 C1 3F 0D 12 84 12 22 88
-A6 8D 84 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 CA 8D
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 21 B0 12 CA 8D ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 21 B0 12 52 8E 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 52 8E 92 92 C0 21 C4 21
-02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 52 8E
-FA 23 3C 50 10 00 B0 12 2E 8E EF 3F 0C 43 1B 42
-C6 21 A2 53 C6 21 0D 12 84 12 22 88 A6 8D 50 8F
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 52 8E E0 23 3C 50 80 00 B0 12 2E 8E
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 80
-00 13 58 87 5E 84 0A 80 2C 00 7A 8E 46 8F 90 8F
-09 4B 2E 4E 0E DC A2 3F 58 8A 03 4D 4F 56 85 12
-86 8F 00 40 9A 8F 05 4D 4F 56 2E 42 85 12 86 8F
-40 40 00 00 03 41 44 44 85 12 86 8F 00 50 B4 8F
-05 41 44 44 2E 42 85 12 86 8F 40 50 C0 8F 04 41
-44 44 43 00 85 12 86 8F 00 60 CE 8F 06 41 44 44
-43 2E 42 00 85 12 86 8F 40 60 74 8F 04 53 55 42
-43 00 85 12 86 8F 00 70 EC 8F 06 53 55 42 43 2E
-42 00 85 12 86 8F 40 70 FA 8F 03 53 55 42 85 12
-86 8F 00 80 0A 90 05 53 55 42 2E 42 85 12 86 8F
-40 80 34 8A 03 43 4D 50 85 12 86 8F 00 90 24 90
-05 43 4D 50 2E 42 85 12 86 8F 40 90 20 8A 04 44
-41 44 44 00 85 12 86 8F 00 A0 3E 90 06 44 41 44
-44 2E 42 00 85 12 86 8F 40 A0 30 90 03 42 49 54
-85 12 86 8F 00 B0 5C 90 05 42 49 54 2E 42 85 12
-86 8F 40 B0 68 90 03 42 49 43 85 12 86 8F 00 C0
-76 90 05 42 49 43 2E 42 85 12 86 8F 40 C0 82 90
-03 42 49 53 85 12 86 8F 00 D0 90 90 05 42 49 53
-2E 42 85 12 86 8F 40 D0 00 00 03 58 4F 52 85 12
-86 8F 00 E0 AA 90 05 58 4F 52 2E 42 85 12 86 8F
-40 E0 DC 8F 03 41 4E 44 85 12 86 8F 00 F0 C4 90
-05 41 4E 44 2E 42 85 12 86 8F 40 F0 22 88 7A 8E
-E2 90 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 16 90 03 52 52 43 85 12 DC 90 00 10 F4 90
-05 52 52 43 2E 42 85 12 DC 90 40 10 00 91 04 53
-57 50 42 00 85 12 DC 90 80 10 0E 91 03 52 52 41
-85 12 DC 90 00 11 1C 91 05 52 52 41 2E 42 85 12
-DC 90 40 11 28 91 03 53 58 54 85 12 DC 90 80 11
-00 00 04 50 55 53 48 00 85 12 DC 90 00 12 42 91
-06 50 55 53 48 2E 42 00 85 12 DC 90 40 12 9C 90
-04 43 41 4C 4C 00 85 12 DC 90 80 12 1A 53 0E 4A
-0D 12 84 12 D4 84 14 80 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 81 36 91 03 53 3E 3D 86 12
-00 38 8A 91 02 53 3C 00 86 12 00 34 50 91 03 30
-3E 3D 86 12 00 30 9E 91 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C B2 91 03 55 3E 3D
-86 12 00 28 A8 91 03 30 3C 3E 86 12 00 24 C6 91
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D BC 91
-04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 4C 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40
-00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
-60 91 05 42 45 47 49 4E 30 40 28 80 F0 91 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 21 30 4D D0 90 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 DE 91 B8 83 5E 84 94 91 06 52
-45 50 45 41 54 00 0D 12 84 12 72 92 F6 91 5E 84
-A2 92 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
-98 42 C6 21 00 00 30 4D 32 92 03 42 57 31 85 12
-A0 92 00 00 BA 92 03 42 57 32 85 12 A0 92 00 00
-C6 92 03 42 57 33 85 12 A0 92 00 00 DE 92 3D 41
-1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
-A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 DC 92 00 00 FE 92 03 46 57 32 85 12
-DC 92 00 00 0A 93 03 46 57 33 85 12 DC 92 00 00
-16 93 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 90 88 FC 87 5E 84 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 22 88 A6 8D 60 93
-92 53 C4 21 3E 40 2C 00 84 12 2A 85 4E 86 34 80
-20 88 3C 8F 76 93 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E 8E 92 04 52 52 43 4D 00 85 12 5A 93 50 00
-A4 93 04 52 52 41 4D 00 85 12 5A 93 50 01 B2 93
-04 52 4C 41 4D 00 85 12 5A 93 50 02 C0 93 04 52
-52 55 4D 00 85 12 5A 93 50 03 D0 91 05 50 55 53
-48 4D 85 12 5A 93 00 15 DC 93 04 50 4F 50 4D 00
-85 12 5A 93 00 17
+74 63 68 21 36 81 FA 88 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A 80 4E 84 48 87 56 89 AA 88 4E 84
+A8 87 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 87 06 43 52 45 41 54
+45 00 B0 12 00 89 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 89 04 43 4F 44 45 00 B0 12 00 89 A2 82
+C6 21 0D 12 84 12 F2 8B CC 8B 4E 84 A2 89 07 48
+44 4E 43 4F 44 45 B2 40 D0 8B DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 89 0C 8C
+2A 8C 4E 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C 8C 2A 8C 4E 84 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE 89 85 48 49 32 4C 4F 0D 12 84 12 28 80 9A 8B
+48 87 AA 88 E2 89 4E 84 88 89 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 8A 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 80 00 00 C6 83 02 88 1A 85 98 87
+8E 83 34 80 02 8B 9C 83 14 80 06 5B 54 48 45 4E
+5D 00 74 8A DC 8A 98 8A BA 8A 4E 84 9C 83 14 80
+06 5B 45 4C 53 45 5D 00 74 8A F2 8A 98 8A B8 8A
+4E 84 14 80 04 5B 49 46 5D 00 74 8A BA 8A 3A 80
+B8 8A 70 83 14 80 05 0D 0A 6B 6F 20 4A 83 BC 80
+AC 80 3A 80 BA 8A A8 8A 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 8B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 88 1A 85 82 85
+26 8B 4E 84 2C 8B 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 8B DE 83 4E 84 5E 8B B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 87 06 4D
+41 52 4B 45 52 00 B0 12 00 89 BA 40 85 12 FC FF
+BA 40 5C 8B FE FF 28 83 8A 48 00 00 BA 40 AA 80
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A 80
+CA 21 D6 83 4E 84 85 12 9E 8B 66 88 D4 89 10 83
+7E 88 52 8A D2 82 6E 8B 00 85 96 8C AA 8C 8A 84
+14 85 00 00 46 8B BC 88 E2 85 00 00 85 12 9E 8B
+54 92 BA 92 FC 91 0A 93 C2 91 00 00 8E 8F 00 00
+D2 93 B6 93 26 92 64 92 9E 90 00 00 00 00 26 93
+CA 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 8B 24 8C 2A 8C 3A 8C 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 88
+09 50 57 52 5F 53 54 41 54 45 85 12 32 8C DE 93
+CE 84 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 8C F3 3F 70 8C 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E 8C 30 4D 82 8C 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 8D FE FF 29 83 B9 40
+E2 81 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 81 B2 49 FA 80 B2 49 02 80 B2 49 00 82 B2 49
+E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 10 29 83 89 43 00 20 FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 D3 26 02 B2 43 22 02
+F2 D3 47 02 F2 40 BF 00 43 02 B2 40 00 A5 60 01
+B2 40 FF 1E 80 01 B2 40 B6 00 82 01 B2 40 F3 00
+84 01 82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18
+82 43 08 18 1E D2 5E 01 B0 12 F8 80 FE 81 38 40
+C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
+30 4D 1C 15 0E 12 12 12 C4 21 84 12 1A 85 82 85
+DE 83 34 80 CE 8D 3E 86 34 80 E8 8D E2 8D D0 8D
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 EA 8D B2 41 C4 21 3E 41
+84 12 0A 80 2B 00 1A 85 82 85 DE 83 34 80 06 8E
+3E 86 34 80 00 88 A8 83 1A 85 3E 86 34 80 00 88
+12 8E 3E 5F E7 3F 3E 40 28 00 B0 12 B2 8D 19 42
+C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
+C0 21 C4 21 02 20 30 40 6E 89 1C 15 12 12 C4 21
+92 53 C4 21 84 12 1A 85 3E 86 34 80 5A 8E 50 8E
+21 53 3E 90 10 00 C6 2B 7F 2D 5C 8E B2 41 C4 21
+C1 3F 0D 12 84 12 02 88 8E 8D 6C 8E 0C 43 1B 42
+C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 21 B0 12 B2 8D 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 B2 8D
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
+B0 12 3A 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+3A 8E 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
+0C 5E DA 3F B0 12 3A 8E FA 23 3C 50 10 00 B0 12
+16 8E EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
+84 12 02 88 8E 8D 38 8F FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 3A 8E E0 23
+3C 50 80 00 B0 12 16 8E DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 80 00 13 48 87 4E 84 0A 80
+2C 00 62 8E 2E 8F 78 8F 09 4B 2E 4E 0E DC A2 3F
+40 8A 03 4D 4F 56 85 12 6E 8F 00 40 82 8F 05 4D
+4F 56 2E 42 85 12 6E 8F 40 40 00 00 03 41 44 44
+85 12 6E 8F 00 50 9C 8F 05 41 44 44 2E 42 85 12
+6E 8F 40 50 A8 8F 04 41 44 44 43 00 85 12 6E 8F
+00 60 B6 8F 06 41 44 44 43 2E 42 00 85 12 6E 8F
+40 60 5C 8F 04 53 55 42 43 00 85 12 6E 8F 00 70
+D4 8F 06 53 55 42 43 2E 42 00 85 12 6E 8F 40 70
+E2 8F 03 53 55 42 85 12 6E 8F 00 80 F2 8F 05 53
+55 42 2E 42 85 12 6E 8F 40 80 16 8A 03 43 4D 50
+85 12 6E 8F 00 90 0C 90 05 43 4D 50 2E 42 85 12
+6E 8F 40 90 00 8A 04 44 41 44 44 00 85 12 6E 8F
+00 A0 26 90 06 44 41 44 44 2E 42 00 85 12 6E 8F
+40 A0 18 90 03 42 49 54 85 12 6E 8F 00 B0 44 90
+05 42 49 54 2E 42 85 12 6E 8F 40 B0 50 90 03 42
+49 43 85 12 6E 8F 00 C0 5E 90 05 42 49 43 2E 42
+85 12 6E 8F 40 C0 6A 90 03 42 49 53 85 12 6E 8F
+00 D0 78 90 05 42 49 53 2E 42 85 12 6E 8F 40 D0
+00 00 03 58 4F 52 85 12 6E 8F 00 E0 92 90 05 58
+4F 52 2E 42 85 12 6E 8F 40 E0 C4 8F 03 41 4E 44
+85 12 6E 8F 00 F0 AC 90 05 41 4E 44 2E 42 85 12
+6E 8F 40 F0 02 88 62 8E CA 90 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F FE 8F 03 52 52 43
+85 12 C4 90 00 10 DC 90 05 52 52 43 2E 42 85 12
+C4 90 40 10 E8 90 04 53 57 50 42 00 85 12 C4 90
+80 10 F6 90 03 52 52 41 85 12 C4 90 00 11 04 91
+05 52 52 41 2E 42 85 12 C4 90 40 11 10 91 03 53
+58 54 85 12 C4 90 80 11 00 00 04 50 55 53 48 00
+85 12 C4 90 00 12 2A 91 06 50 55 53 48 2E 42 00
+85 12 C4 90 40 12 84 90 04 43 41 4C 4C 00 85 12
+C4 90 80 12 1A 53 0E 4A 0D 12 84 12 C4 84 14 80
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81
+1E 91 03 53 3E 3D 86 12 00 38 72 91 02 53 3C 00
+86 12 00 34 38 91 03 30 3E 3D 86 12 00 30 86 91
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C 9A 91 03 55 3E 3D 86 12 00 28 90 91 03 30
+3C 3E 86 12 00 24 AE 91 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
+C6 21 0E 4A 30 4D A4 91 04 54 48 45 4E 00 1A 42
+C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 34 90 04 45 4C 53
+45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
+2F 83 8F 4A 00 00 E3 3F 48 91 05 42 45 47 49 4E
+30 40 28 80 D8 91 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
+30 4D B8 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 C6 91
+A8 83 4E 84 7C 91 06 52 45 50 45 41 54 00 0D 12
+84 12 5A 92 DE 91 4E 84 8A 92 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
+1A 92 03 42 57 31 85 12 88 92 00 00 A2 92 03 42
+57 32 85 12 88 92 00 00 AE 92 03 42 57 33 85 12
+88 92 00 00 C6 92 3D 41 1A 42 C6 21 28 4E B2 92
+C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 C4 92 00 00
+E6 92 03 46 57 32 85 12 C4 92 00 00 F2 92 03 46
+57 33 85 12 C4 92 00 00 FE 92 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 80 88
+DC 87 4E 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 02 88 8E 8D 48 93 92 53 C4 21 3E 40 2C 00
+84 12 1A 85 3E 86 34 80 00 88 24 8F 5E 93 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E 76 92 04 52 52 43
+4D 00 85 12 42 93 50 00 8C 93 04 52 52 41 4D 00
+85 12 42 93 50 01 9A 93 04 52 4C 41 4D 00 85 12
+42 93 50 02 A8 93 04 52 52 55 4D 00 85 12 42 93
+50 03 B8 91 05 50 55 53 48 4D 85 12 42 93 00 15
+C4 93 04 50 4F 50 4D 00 85 12 42 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-80 82 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81
-E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 E0 81 20 8D
+77 00 10 00 12 00 14 00 16 00 00 00 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+82 82 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81
+E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 E2 81 08 8D
 q
index 2eb0bde..933fdc7 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF FC 93 0A 8C 33 01
-10 00 81 B6 94 81 AA 80 C6 81 9C 81 94 82 FC 93
-0A 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
-E2 80 EE 80 20 00 0A 00 28 88 42 88 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF F4 93 02 8C 34 01
+10 00 41 B3 94 81 AA 80 DA 81 9C 81 94 82 F4 93
+02 8C 7A 82 92 83 24 83 FE 82 3C 21 60 84 D4 80
+E2 80 EE 80 20 00 0A 00 00 00 00 00 00 00 00 00
 @8000
-B0 12 C6 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 81 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 80 39 40 22 18
 B2 49 78 82 B2 49 90 83 B2 49 22 83 B2 49 FC 82
 B2 49 CA 80 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 8C 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 81 92 C3 9C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE 8C 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 81 92 C3 9C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 9C 05 F3 23 B0 12 F8 80 0A 80 DE 21 E0 83 32 83
 14 80 04 1B 5B 37 6D 00 5C 83 A8 83 34 80 86 81
@@ -52,7 +52,7 @@ C2 48 8E 05 30 4D C8 82 2D 83 92 B3 9C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 9C 05
 FD 27 58 42 8C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 82 2F 83
-8F 4E 00 00 B0 12 C6 81 92 B3 9C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 81 92 B3 9C 05 FD 27 1E 42
 8C 05 B0 12 C8 81 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 83 08 4E 3E 4F C8 3F 1A 83 04 45 43 48
 4F 00 B2 40 C2 48 C0 82 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A 84 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 83 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 84 4E 84 EE 80 8E 84 6A 84 5C 83 24 88 20 83
+10 84 4E 84 EE 80 8E 84 6A 84 5C 83 14 88 20 83
 60 84 40 83 01 2E 0E 93 E3 37 38 43 E2 3F 88 84
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A 80 14 80
 5A 87 0A 80 22 00 2C 85 FA 84 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 80 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 83 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 87 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 87 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A 80 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 84 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 84 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 80 24 88 2C 85 E0 87
-94 26 3D 40 E8 87 D6 3E EA 87 0A 4E 3E 4F 3D 40
-04 88 2E 27 3D 40 DA 87 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 88 3E 4F 3D 40 DA 87 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 80 14 88 2C 85 D0 87
+9C 26 3D 40 D8 87 DE 3E DA 87 0A 4E 3E 4F 3D 40
+F4 87 36 27 3D 40 CA 87 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 87 3E 4F 3D 40 CA 87 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 89
-CC 3F 0E 88 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE 87 86 12 20 00 E6 83 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E 83
-BC 80 D4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
+BC 80 C4 87 C4 83 F6 83 14 80 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 81 0A 80 40 FF 28 80
 FE 83 14 80 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 81 3A 80 3E 88 6C 87 86 41 42 4F 52 54 22 00
+2A 81 3A 80 3E 88 1A 88 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 84 0A 80 2A 81 5A 87 60 84 8E 85
-01 27 0D 12 84 12 24 88 2C 85 94 85 34 80 22 88
+01 27 0D 12 84 12 14 88 2C 85 94 85 34 80 12 88
 60 84 00 00 83 5B 27 5D 0D 12 84 12 92 88 0A 80
 0A 80 5A 87 5A 87 60 84 A4 88 81 5B 82 43 BE 21
 30 4D 0C 84 01 5D B2 43 BE 21 30 4D C4 88 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 88 2C 85 94 85 A8 83
-34 80 22 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
+4F 4E 45 00 0D 12 84 12 14 88 2C 85 94 85 A8 83
+34 80 12 88 F6 83 34 80 06 89 0A 80 0A 80 5A 87
 5A 87 0A 80 5A 87 5A 87 60 84 BA 88 01 3A 30 12
-56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 88
+56 89 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 88
 2C 85 24 89 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,171 +159,171 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 80 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 81 0C 89 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A 80 60 84 5A 87 68 89 BC 88
-60 84 CA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 87 06 43 52 45
+60 84 BA 87 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 87 06 43 52 45
 41 54 45 00 B0 12 12 89 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 89 04 43 4F 44 45 00 B0 12 12 89
-A2 82 C6 21 0D 12 84 12 0C 8C E6 8B 60 84 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89 26 8C
-60 84 78 88 03 41 53 4D B2 40 EA 8B DA 21 E6 3F
-00 8A 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 8A
-44 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 8C 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 89 85 48 49 32
-4C 4F 0D 12 84 12 28 80 B4 8B 5A 87 BC 88 F4 89
-60 84 9A 89 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 8A 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 80
-00 00 D8 83 24 88 2C 85 BA 87 A0 83 34 80 1C 8B
-AE 83 14 80 06 5B 54 48 45 4E 5D 00 8E 8A F6 8A
-B2 8A D4 8A 60 84 AE 83 14 80 06 5B 45 4C 53 45
-5D 00 8E 8A 0C 8B B2 8A D2 8A 60 84 14 80 04 5B
-49 46 5D 00 8E 8A D4 8A 3A 80 D2 8A 82 83 14 80
-05 0D 0A 6B 6F 20 5C 83 BC 80 AC 80 3A 80 D4 8A
-C2 8A 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 8B 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 88 2C 85 94 85 40 8B 60 84 46 8B
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 8B F0 83 60 84 78 8B B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 87 06 4D 41 52 4B 45 52 00
-B0 12 12 89 BA 40 85 12 FC FF BA 40 76 8B FE FF
-28 83 8A 48 00 00 BA 40 AA 80 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A 80 CA 21 E8 83 60 84
-85 12 B8 8B 14 8A E6 89 2C 83 90 88 6C 8A F6 82
-88 8B 12 85 B0 8C C4 8C 9C 84 26 85 00 00 60 8B
-CE 88 F4 85 00 00 85 12 B8 8B 72 92 D8 92 1A 92
-28 93 E0 91 00 00 AC 8F 00 00 F0 93 D4 93 44 92
-82 92 BC 90 00 00 00 00 44 93 E4 8B 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 8B 3E 8C
-44 8C 54 8C 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 88 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 8C FC 93 E0 84 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 8C F3 3F 8A 8C
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 8C
-30 4D 9C 8C 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 8D FE FF 29 83 B9 40 02 82 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 82 B2 49 FA 80
-B2 49 02 80 B2 49 20 82 B2 49 E2 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 D2 D3 05 02 B2 D3 26 02 B2 43 22 02 F2 D3
-47 02 F2 40 BF 00 43 02 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 B6 00 82 01 B2 40 F3 00 84 01
-82 43 88 01 F2 D0 C0 00 0D 02 39 40 5C 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 80 1E 82 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C 85 94 85 F0 83
-34 80 EC 8D 50 86 34 80 06 8E 00 8E EE 8D 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 08 8E B2 41 C4 21 3E 41 84 12
-0A 80 2B 00 2C 85 94 85 F0 83 34 80 24 8E 50 86
-34 80 22 88 BA 83 2C 85 50 86 34 80 22 88 30 8E
-3E 5F E7 3F 3E 40 28 00 B0 12 D0 8D 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 80 89 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C 85 50 86 34 80 78 8E 6E 8E 21 53
-3E 90 10 00 C6 2B 7F 2D 7A 8E B2 41 C4 21 C1 3F
-0D 12 84 12 24 88 AC 8D 8A 8E 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 D0 8D 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 D0 8D ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-58 8E 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 58 8E
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 58 8E FA 23 3C 50 10 00 B0 12 34 8E
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-24 88 AC 8D 56 8F FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 58 8E E0 23 3C 50
-80 00 B0 12 34 8E DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A 80 00 13 5A 87 60 84 0A 80 2C 00
-80 8E 4C 8F 96 8F 09 4B 2E 4E 0E DC A2 3F 5A 8A
-03 4D 4F 56 85 12 8C 8F 00 40 A0 8F 05 4D 4F 56
-2E 42 85 12 8C 8F 40 40 00 00 03 41 44 44 85 12
-8C 8F 00 50 BA 8F 05 41 44 44 2E 42 85 12 8C 8F
-40 50 C6 8F 04 41 44 44 43 00 85 12 8C 8F 00 60
-D4 8F 06 41 44 44 43 2E 42 00 85 12 8C 8F 40 60
-7A 8F 04 53 55 42 43 00 85 12 8C 8F 00 70 F2 8F
-06 53 55 42 43 2E 42 00 85 12 8C 8F 40 70 00 90
-03 53 55 42 85 12 8C 8F 00 80 10 90 05 53 55 42
-2E 42 85 12 8C 8F 40 80 36 8A 03 43 4D 50 85 12
-8C 8F 00 90 2A 90 05 43 4D 50 2E 42 85 12 8C 8F
-40 90 22 8A 04 44 41 44 44 00 85 12 8C 8F 00 A0
-44 90 06 44 41 44 44 2E 42 00 85 12 8C 8F 40 A0
-36 90 03 42 49 54 85 12 8C 8F 00 B0 62 90 05 42
-49 54 2E 42 85 12 8C 8F 40 B0 6E 90 03 42 49 43
-85 12 8C 8F 00 C0 7C 90 05 42 49 43 2E 42 85 12
-8C 8F 40 C0 88 90 03 42 49 53 85 12 8C 8F 00 D0
-96 90 05 42 49 53 2E 42 85 12 8C 8F 40 D0 00 00
-03 58 4F 52 85 12 8C 8F 00 E0 B0 90 05 58 4F 52
-2E 42 85 12 8C 8F 40 E0 E2 8F 03 41 4E 44 85 12
-8C 8F 00 F0 CA 90 05 41 4E 44 2E 42 85 12 8C 8F
-40 F0 24 88 80 8E E8 90 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 1C 90 03 52 52 43 85 12
-E2 90 00 10 FA 90 05 52 52 43 2E 42 85 12 E2 90
-40 10 06 91 04 53 57 50 42 00 85 12 E2 90 80 10
-14 91 03 52 52 41 85 12 E2 90 00 11 22 91 05 52
-52 41 2E 42 85 12 E2 90 40 11 2E 91 03 53 58 54
-85 12 E2 90 80 11 00 00 04 50 55 53 48 00 85 12
-E2 90 00 12 48 91 06 50 55 53 48 2E 42 00 85 12
-E2 90 40 12 A2 90 04 43 41 4C 4C 00 85 12 E2 90
-80 12 1A 53 0E 4A 0D 12 84 12 D6 84 14 80 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 81 3C 91
-03 53 3E 3D 86 12 00 38 90 91 02 53 3C 00 86 12
-00 34 56 91 03 30 3E 3D 86 12 00 30 A4 91 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-B8 91 03 55 3E 3D 86 12 00 28 AE 91 03 30 3C 3E
-86 12 00 24 CC 91 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D C2 91 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 52 90 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 66 91 05 42 45 47 49 4E 30 40
-28 80 F6 91 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-D6 90 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 E4 91 BA 83
-60 84 9A 91 06 52 45 50 45 41 54 00 0D 12 84 12
-78 92 FC 91 60 84 A8 92 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 38 92
-03 42 57 31 85 12 A6 92 00 00 C0 92 03 42 57 32
-85 12 A6 92 00 00 CC 92 03 42 57 33 85 12 A6 92
-00 00 E4 92 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 E2 92 00 00 04 93
-03 46 57 32 85 12 E2 92 00 00 10 93 03 46 57 33
-85 12 E2 92 00 00 1C 93 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 88 FE 87
-60 84 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-24 88 AC 8D 66 93 92 53 C4 21 3E 40 2C 00 84 12
-2C 85 50 86 34 80 22 88 42 8F 7C 93 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E 94 92 04 52 52 43 4D 00
-85 12 60 93 50 00 AA 93 04 52 52 41 4D 00 85 12
-60 93 50 01 B8 93 04 52 4C 41 4D 00 85 12 60 93
-50 02 C6 93 04 52 52 55 4D 00 85 12 60 93 50 03
-D6 91 05 50 55 53 48 4D 85 12 60 93 00 15 E2 93
-04 50 4F 50 4D 00 85 12 60 93 00 17
+A2 82 C6 21 0D 12 84 12 04 8C DE 8B 60 84 B4 89
+07 48 44 4E 43 4F 44 45 B2 40 E2 8B DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 89
+1E 8C 3C 8C 60 84 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E 8C 3C 8C 60 84
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 8A 85 48 49 32 4C 4F 0D 12 84 12 28 80
+AC 8B 5A 87 BC 88 F4 89 60 84 9A 89 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 8A 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 80 00 00 D8 83 14 88 2C 85
+AA 87 A0 83 34 80 14 8B AE 83 14 80 06 5B 54 48
+45 4E 5D 00 86 8A EE 8A AA 8A CC 8A 60 84 AE 83
+14 80 06 5B 45 4C 53 45 5D 00 86 8A 04 8B AA 8A
+CA 8A 60 84 14 80 04 5B 49 46 5D 00 86 8A CC 8A
+3A 80 CA 8A 82 83 14 80 05 0D 0A 6B 6F 20 5C 83
+BC 80 AC 80 3A 80 CC 8A BA 8A 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 8B 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 88 2C 85
+94 85 38 8B 60 84 3E 8B 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 8B F0 83 60 84 70 8B
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 87
+06 4D 41 52 4B 45 52 00 B0 12 12 89 BA 40 85 12
+FC FF BA 40 6E 8B FE FF 28 83 8A 48 00 00 BA 40
+AA 80 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A 80 CA 21 E8 83 60 84 85 12 B0 8B 78 88 E6 89
+2C 83 90 88 64 8A F6 82 80 8B 12 85 A8 8C BC 8C
+9C 84 26 85 00 00 58 8B CE 88 F4 85 00 00 85 12
+B0 8B 6A 92 D0 92 12 92 20 93 D8 91 00 00 A4 8F
+00 00 E8 93 CC 93 3C 92 7A 92 B4 90 00 00 00 00
+3C 93 DC 8B 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 8B 36 8C 3C 8C 4C 8C 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 88 09 50 57 52 5F 53 54 41 54 45 85 12 44 8C
+F4 93 E0 84 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 8C F3 3F 82 8C 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 8C 30 4D 94 8C 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 8D FE FF 29 83
+B9 40 02 82 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 82 B2 49 FA 80 B2 49 02 80 B2 49 20 82
+B2 49 E2 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 D2 D3 05 02 B2 D3
+26 02 B2 43 22 02 F2 D3 47 02 F2 40 BF 00 43 02
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B6 00
+82 01 B2 40 F3 00 84 01 82 43 88 01 F2 D0 C0 00
+0D 02 39 40 5C 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 80 1E 82 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 2C 85 94 85 F0 83 34 80 E4 8D 50 86 34 80
+FE 8D F8 8D E6 8D 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 00 8E
+B2 41 C4 21 3E 41 84 12 0A 80 2B 00 2C 85 94 85
+F0 83 34 80 1C 8E 50 86 34 80 12 88 BA 83 2C 85
+50 86 34 80 12 88 28 8E 3E 5F E7 3F 3E 40 28 00
+B0 12 C8 8D 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 80 89
+1C 15 12 12 C4 21 92 53 C4 21 84 12 2C 85 50 86
+34 80 70 8E 66 8E 21 53 3E 90 10 00 C6 2B 7F 2D
+72 8E B2 41 C4 21 C1 3F 0D 12 84 12 14 88 A4 8D
+82 8E 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 C8 8D 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 C8 8D ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 50 8E 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 50 8E 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 50 8E FA 23
+3C 50 10 00 B0 12 2C 8E EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 14 88 A4 8D 4E 8F FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 50 8E E0 23 3C 50 80 00 B0 12 2C 8E DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A 80 00 13
+5A 87 60 84 0A 80 2C 00 78 8E 44 8F 8E 8F 09 4B
+2E 4E 0E DC A2 3F 52 8A 03 4D 4F 56 85 12 84 8F
+00 40 98 8F 05 4D 4F 56 2E 42 85 12 84 8F 40 40
+00 00 03 41 44 44 85 12 84 8F 00 50 B2 8F 05 41
+44 44 2E 42 85 12 84 8F 40 50 BE 8F 04 41 44 44
+43 00 85 12 84 8F 00 60 CC 8F 06 41 44 44 43 2E
+42 00 85 12 84 8F 40 60 72 8F 04 53 55 42 43 00
+85 12 84 8F 00 70 EA 8F 06 53 55 42 43 2E 42 00
+85 12 84 8F 40 70 F8 8F 03 53 55 42 85 12 84 8F
+00 80 08 90 05 53 55 42 2E 42 85 12 84 8F 40 80
+28 8A 03 43 4D 50 85 12 84 8F 00 90 22 90 05 43
+4D 50 2E 42 85 12 84 8F 40 90 12 8A 04 44 41 44
+44 00 85 12 84 8F 00 A0 3C 90 06 44 41 44 44 2E
+42 00 85 12 84 8F 40 A0 2E 90 03 42 49 54 85 12
+84 8F 00 B0 5A 90 05 42 49 54 2E 42 85 12 84 8F
+40 B0 66 90 03 42 49 43 85 12 84 8F 00 C0 74 90
+05 42 49 43 2E 42 85 12 84 8F 40 C0 80 90 03 42
+49 53 85 12 84 8F 00 D0 8E 90 05 42 49 53 2E 42
+85 12 84 8F 40 D0 00 00 03 58 4F 52 85 12 84 8F
+00 E0 A8 90 05 58 4F 52 2E 42 85 12 84 8F 40 E0
+DA 8F 03 41 4E 44 85 12 84 8F 00 F0 C2 90 05 41
+4E 44 2E 42 85 12 84 8F 40 F0 14 88 78 8E E0 90
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+14 90 03 52 52 43 85 12 DA 90 00 10 F2 90 05 52
+52 43 2E 42 85 12 DA 90 40 10 FE 90 04 53 57 50
+42 00 85 12 DA 90 80 10 0C 91 03 52 52 41 85 12
+DA 90 00 11 1A 91 05 52 52 41 2E 42 85 12 DA 90
+40 11 26 91 03 53 58 54 85 12 DA 90 80 11 00 00
+04 50 55 53 48 00 85 12 DA 90 00 12 40 91 06 50
+55 53 48 2E 42 00 85 12 DA 90 40 12 9A 90 04 43
+41 4C 4C 00 85 12 DA 90 80 12 1A 53 0E 4A 0D 12
+84 12 D6 84 14 80 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 81 34 91 03 53 3E 3D 86 12 00 38
+88 91 02 53 3C 00 86 12 00 34 4E 91 03 30 3E 3D
+86 12 00 30 9C 91 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C B0 91 03 55 3E 3D 86 12
+00 28 A6 91 03 30 3C 3E 86 12 00 24 C4 91 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D BA 91 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+4A 90 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 5E 91
+05 42 45 47 49 4E 30 40 28 80 EE 91 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D CE 90 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 DC 91 BA 83 60 84 92 91 06 52 45 50
+45 41 54 00 0D 12 84 12 70 92 F4 91 60 84 A0 92
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 30 92 03 42 57 31 85 12 9E 92
+00 00 B8 92 03 42 57 32 85 12 9E 92 00 00 C4 92
+03 42 57 33 85 12 9E 92 00 00 DC 92 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 DA 92 00 00 FC 92 03 46 57 32 85 12 DA 92
+00 00 08 93 03 46 57 33 85 12 DA 92 00 00 14 93
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 92 88 EE 87 60 84 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 14 88 A4 8D 5E 93 92 53
+C4 21 3E 40 2C 00 84 12 2C 85 50 86 34 80 12 88
+3A 8F 74 93 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+8C 92 04 52 52 43 4D 00 85 12 58 93 50 00 A2 93
+04 52 52 41 4D 00 85 12 58 93 50 01 B0 93 04 52
+4C 41 4D 00 85 12 58 93 50 02 BE 93 04 52 52 55
+4D 00 85 12 58 93 50 03 CE 91 05 50 55 53 48 4D
+85 12 58 93 00 15 DA 93 04 50 4F 50 4D 00 85 12
+58 93 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 02 82 02 82 02 82 02 82 02 82 02 82 02 82
 02 82 94 82 02 82 02 82 02 82 02 82 02 82 02 82
-02 82 02 82 02 82 02 82 02 82 02 82 02 82 22 8D
+02 82 02 82 02 82 02 82 02 82 02 82 02 82 1A 8D
 q
index 5adb420..91f43b5 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF FC D7 08 D0 33 01
-10 00 81 86 B4 C5 AA C4 B6 C5 8A C5 80 C6 FC D7
-08 D0 6E C6 90 C7 FC C6 D8 C6 3C 21 5E C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 26 CC 40 CC 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF E4 D7 F0 CF 34 01
+10 00 41 87 B6 C5 AA C4 B8 C5 8C C5 82 C6 E4 D7
+F0 CF 70 C6 80 C7 FE C6 DA C6 3C 21 4E C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6C C6 B2 49 8E C7 B2 49 FA C6 B2 49 D6 C6
+B2 49 6E C6 B2 49 7E C7 B2 49 FC C6 B2 49 D8 C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 DE C7 14 C7 48 C7 34 C4 26 CC 14 C4
-05 1B 5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8
-A6 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C7 9E C8 5A C7 14 C4
-04 1B 5B 30 6D 00 5A C7 26 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 F2 B2 01 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12 8A C5
-78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D 0A 1B
-5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8 0A C4
-23 00 F8 C6 D4 C8 14 C4 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C7 0A C4 40 FF 28 C4 D2 C7 9E C8 14 C4
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C4 7C C5
-00 00 06 41 43 43 45 50 54 00 30 40 6E C6 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C5
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C6 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C6 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 C6 04 45
-43 48 4F 00 B2 40 C2 48 06 C7 82 43 DE 21 38 40
-05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C7 92 43 DE 21 28 42 F1 3F
-2A C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C6 78 C7 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40 90 C7
-0D 12 84 12 14 C4 02 0D 0A 00 5A C7 5E C8 2F 83
+B2 49 DC 21 3D 41 30 40 BC D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 CE C7 16 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7
+C4 C8 96 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C7 8E C8 4A C7
+14 C4 04 1B 5B 30 6D 00 4A C7 16 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 F2 B2 01 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00 B0 12
+8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4 07 0D
+0A 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7 C4 C8
+0A C4 23 00 FA C6 C4 C8 14 C4 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C7 0A C4 40 FF 28 C4 C2 C7 8E C8
+14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
+7E C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
+58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
+04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
+38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C6 68 C7 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40 80 C7
+0D 12 84 12 14 C4 02 0D 0A 00 4A C7 4E C8 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C6 01 40 2E 4E
-30 4D DC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40 2E 4E
+30 4D CC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 C7 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 C7 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 C8 02 23 53 00 0D 12 84 12
-1A C8 54 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 C8 02 23 53 00 0D 12 84 12
+0A C8 44 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C7 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C7 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C8
-4C C8 EE C4 8C C8 68 C8 5A C7 22 CC F8 C6 5E C8
-42 C7 01 2E 0E 93 E3 37 38 43 E2 3F 86 C8 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 58 CB
-0A C4 22 00 2A C9 F8 C8 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 C8
-82 2E 22 00 0D 12 84 12 E2 C8 0A C4 5A C7 58 CB
-5E C8 F6 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C7
+3C C8 EE C4 7C C8 58 C8 4A C7 02 CC FA C6 4E C8
+2C C7 01 2E 0E 93 E3 37 38 43 E2 3F 76 C8 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 48 CB
+0A C4 22 00 1A C9 E8 C8 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 C8
+82 2E 22 00 0D 12 84 12 D2 C8 0A C4 4A C7 48 CB
+4E C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC CA 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C CA 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE CA 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E CA 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,215 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 C7 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 CB 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 CB 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 C8 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC C4 22 CC 2A C9 DE CB 94 26
-3D 40 E6 CB D6 3E E8 CB 0A 4E 3E 4F 3D 40 02 CC
-2E 27 3D 40 D8 CB 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 CC 3E 4F 3D 40 D8 CB BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 CD CC 3F
-0C CC 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C C7 BC C4
-D2 CB C2 C7 F4 C7 14 C4 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4 FC C7
+54 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 C8 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC C4 02 CC 1A C9 BE CB 9C 26
+3D 40 C6 CB DE 3E C8 CB 0A 4E 3E 4F 3D 40 E2 CB
+36 27 3D 40 B8 CB 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 CB 3E 4F 3D 40 B8 CB BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 CD CC 3F
+EC CB 86 12 20 00 D4 C7 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C C7 BC C4
+B2 CB B2 C7 E4 C7 14 C4 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4 EC C7
 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A C5
-3A C4 3C CC 6A CB 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 C8 0A C4 2A C5 58 CB 5E C8 8C C9 01 27
-0D 12 84 12 22 CC 2A C9 92 C9 34 C4 20 CC 5E C8
-00 00 83 5B 27 5D 0D 12 84 12 90 CC 0A C4 0A C4
-58 CB 58 CB 5E C8 A2 CC 81 5B 82 43 BE 21 30 4D
-0A C8 01 5D B2 43 BE 21 30 4D C2 CC 81 5C 92 42
+3A C4 2C CC 08 CC 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 C8 0A C4 2A C5 48 CB 4E C8 7C C9 01 27
+0D 12 84 12 02 CC 1A C9 82 C9 34 C4 00 CC 4E C8
+00 00 83 5B 27 5D 0D 12 84 12 80 CC 0A C4 0A C4
+48 CB 48 CB 4E C8 92 CC 81 5B 82 43 BE 21 30 4D
+FA C7 01 5D B2 43 BE 21 30 4D B2 CC 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 CC 2A C9 92 C9 A6 C7 34 C4
-20 CC F4 C7 34 C4 04 CD 0A C4 0A C4 58 CB 58 CB
-0A C4 58 CB 58 CB 5E C8 B8 CC 01 3A 30 12 54 CD
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 CC 2A C9
-22 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 CC 1A C9 82 C9 96 C7 34 C4
+00 CC E4 C7 34 C4 F4 CC 0A C4 0A C4 48 CB 48 CB
+0A C4 48 CB 48 CB 4E C8 A8 CC 01 3A 30 12 44 CD
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 CC 1A C9
+12 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 C5 0A CD 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A C4 5E C8 58 CB 66 CD BA CC 5E C8
-C8 CB 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 CB 06 43 52 45 41 54
-45 00 B0 12 10 CD BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA CD 04 43 4F 44 45 00 B0 12 10 CD A2 82
-C6 21 0D 12 84 12 0A D0 E4 CF 5E C8 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 CD 24 D0 5E C8
-76 CC 03 41 53 4D B2 40 E8 CF DA 21 E6 3F FE CD
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 CE 42 D0
-5E C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 D0 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 CD 85 48 49 32 4C 4F
-0D 12 84 12 28 C4 B2 CF 58 CB BA CC F2 CD 5E C8
-98 CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 CE
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4 00 00
-D6 C7 22 CC 2A C9 B8 CB 9E C7 34 C4 1A CF AC C7
-14 C4 06 5B 54 48 45 4E 5D 00 8C CE F4 CE B0 CE
-D2 CE 5E C8 AC C7 14 C4 06 5B 45 4C 53 45 5D 00
-8C CE 0A CF B0 CE D0 CE 5E C8 14 C4 04 5B 49 46
-5D 00 8C CE D2 CE 3A C4 D0 CE 80 C7 14 C4 05 0D
-0A 6B 6F 20 5A C7 BC C4 AC C4 3A C4 D2 CE C0 CE
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 CF 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 CC 2A C9 92 C9 3E CF 5E C8 44 CF 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E CF
-EE C7 5E C8 76 CF B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A CB 06 4D 41 52 4B 45 52 00 B0 12
-10 CD BA 40 85 12 FC FF BA 40 74 CF FE FF 28 83
-8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A C4 CA 21 E6 C7 5E C8 85 12
-B6 CF 12 CE E4 CD 0E C7 8E CC 6A CE D0 C6 86 CF
-10 C9 AE D0 C2 D0 9A C8 24 C9 00 00 5E CF CC CC
-F2 C9 00 00 85 12 B6 CF 72 D6 D8 D6 1A D6 28 D7
-E0 D5 00 00 AC D3 00 00 F0 D7 D4 D7 44 D6 82 D6
-BC D4 00 00 00 00 44 D7 E2 CF 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE CF 3C D0 42 D0
-52 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 CC 09 50 57 52 5F 53 54 41
-54 45 85 12 4A D0 FC D7 DE C8 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 D0 F3 3F 88 D0 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 D0 30 4D
-9A D0 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 D1 FE FF 29 83 B9 40 E0 C5 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 C5 B2 49 FA C4 B2 49
-02 C4 B2 49 FE C5 B2 49 E0 FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10 29 83
-89 43 00 20 FC 23 B2 43 06 02 B2 40 FC FF 02 02
-F2 D3 26 02 F2 43 22 02 F2 40 A5 00 A1 01 F2 40
-10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01 84 01
-82 43 88 01 F2 D0 03 00 0B 02 39 40 80 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 C4 FC C5 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2A C9 92 C9 EE C7
-34 C4 EC D1 4E CA 34 C4 06 D2 00 D2 EE D1 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 08 D2 B2 41 C4 21 3E 41 84 12
-0A C4 2B 00 2A C9 92 C9 EE C7 34 C4 24 D2 4E CA
-34 C4 20 CC B8 C7 2A C9 4E CA 34 C4 20 CC 30 D2
-3E 5F E7 3F 3E 40 28 00 B0 12 D0 D1 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 7E CD 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2A C9 4E CA 34 C4 78 D2 6E D2 21 53
-3E 90 10 00 C6 2B 7F 2D 7A D2 B2 41 C4 21 C1 3F
-0D 12 84 12 22 CC AC D1 8A D2 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 D0 D1 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 D0 D1 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-58 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 58 D2
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 58 D2 FA 23 3C 50 10 00 B0 12 34 D2
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-22 CC AC D1 56 D3 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 58 D2 E0 23 3C 50
-80 00 B0 12 34 D2 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A C4 00 13 58 CB 5E C8 0A C4 2C 00
-80 D2 4C D3 96 D3 09 4B 2E 4E 0E DC A2 3F 58 CE
-03 4D 4F 56 85 12 8C D3 00 40 A0 D3 05 4D 4F 56
-2E 42 85 12 8C D3 40 40 00 00 03 41 44 44 85 12
-8C D3 00 50 BA D3 05 41 44 44 2E 42 85 12 8C D3
-40 50 C6 D3 04 41 44 44 43 00 85 12 8C D3 00 60
-D4 D3 06 41 44 44 43 2E 42 00 85 12 8C D3 40 60
-7A D3 04 53 55 42 43 00 85 12 8C D3 00 70 F2 D3
-06 53 55 42 43 2E 42 00 85 12 8C D3 40 70 00 D4
-03 53 55 42 85 12 8C D3 00 80 10 D4 05 53 55 42
-2E 42 85 12 8C D3 40 80 34 CE 03 43 4D 50 85 12
-8C D3 00 90 2A D4 05 43 4D 50 2E 42 85 12 8C D3
-40 90 20 CE 04 44 41 44 44 00 85 12 8C D3 00 A0
-44 D4 06 44 41 44 44 2E 42 00 85 12 8C D3 40 A0
-36 D4 03 42 49 54 85 12 8C D3 00 B0 62 D4 05 42
-49 54 2E 42 85 12 8C D3 40 B0 6E D4 03 42 49 43
-85 12 8C D3 00 C0 7C D4 05 42 49 43 2E 42 85 12
-8C D3 40 C0 88 D4 03 42 49 53 85 12 8C D3 00 D0
-96 D4 05 42 49 53 2E 42 85 12 8C D3 40 D0 00 00
-03 58 4F 52 85 12 8C D3 00 E0 B0 D4 05 58 4F 52
-2E 42 85 12 8C D3 40 E0 E2 D3 03 41 4E 44 85 12
-8C D3 00 F0 CA D4 05 41 4E 44 2E 42 85 12 8C D3
-40 F0 22 CC 80 D2 E8 D4 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 1C D4 03 52 52 43 85 12
-E2 D4 00 10 FA D4 05 52 52 43 2E 42 85 12 E2 D4
-40 10 06 D5 04 53 57 50 42 00 85 12 E2 D4 80 10
-14 D5 03 52 52 41 85 12 E2 D4 00 11 22 D5 05 52
-52 41 2E 42 85 12 E2 D4 40 11 2E D5 03 53 58 54
-85 12 E2 D4 80 11 00 00 04 50 55 53 48 00 85 12
-E2 D4 00 12 48 D5 06 50 55 53 48 2E 42 00 85 12
-E2 D4 40 12 A2 D4 04 43 41 4C 4C 00 85 12 E2 D4
-80 12 1A 53 0E 4A 0D 12 84 12 D4 C8 14 C4 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 3C D5
-03 53 3E 3D 86 12 00 38 90 D5 02 53 3C 00 86 12
-00 34 56 D5 03 30 3E 3D 86 12 00 30 A4 D5 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-B8 D5 03 55 3E 3D 86 12 00 28 AE D5 03 30 3C 3E
-86 12 00 24 CC D5 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D C2 D5 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 52 D4 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 66 D5 05 42 45 47 49 4E 30 40
-28 C4 F6 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-D6 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 E4 D5 B8 C7
-5E C8 9A D5 06 52 45 50 45 41 54 00 0D 12 84 12
-78 D6 FC D5 5E C8 A8 D6 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 38 D6
-03 42 57 31 85 12 A6 D6 00 00 C0 D6 03 42 57 32
-85 12 A6 D6 00 00 CC D6 03 42 57 33 85 12 A6 D6
-00 00 E4 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 E2 D6 00 00 04 D7
-03 46 57 32 85 12 E2 D6 00 00 10 D7 03 46 57 33
-85 12 E2 D6 00 00 1C D7 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 90 CC FC CB
-5E C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-22 CC AC D1 66 D7 92 53 C4 21 3E 40 2C 00 84 12
-2A C9 4E CA 34 C4 20 CC 42 D3 7C D7 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E 94 D6 04 52 52 43 4D 00
-85 12 60 D7 50 00 AA D7 04 52 52 41 4D 00 85 12
-60 D7 50 01 B8 D7 04 52 4C 41 4D 00 85 12 60 D7
-50 02 C6 D7 04 52 52 55 4D 00 85 12 60 D7 50 03
-D6 D5 05 50 55 53 48 4D 85 12 60 D7 00 15 E2 D7
-04 50 4F 50 4D 00 85 12 60 D7 00 17
+74 63 68 21 36 C5 FA CC 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A C4 4E C8 48 CB 56 CD AA CC 4E C8
+A8 CB 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 CB 06 43 52 45 41 54
+45 00 B0 12 00 CD BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA CD 04 43 4F 44 45 00 B0 12 00 CD A2 82
+C6 21 0D 12 84 12 F2 CF CC CF 4E C8 A2 CD 07 48
+44 4E 43 4F 44 45 B2 40 D0 CF DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 CD 0C D0
+2A D0 4E C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C D0 2A D0 4E C8 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4 9A CF
+48 CB AA CC E2 CD 4E C8 88 CD 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A CE 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A C4 00 00 C6 C7 02 CC 1A C9 98 CB
+8E C7 34 C4 02 CF 9C C7 14 C4 06 5B 54 48 45 4E
+5D 00 74 CE DC CE 98 CE BA CE 4E C8 9C C7 14 C4
+06 5B 45 4C 53 45 5D 00 74 CE F2 CE 98 CE B8 CE
+4E C8 14 C4 04 5B 49 46 5D 00 74 CE BA CE 3A C4
+B8 CE 70 C7 14 C4 05 0D 0A 6B 6F 20 4A C7 BC C4
+AC C4 3A C4 BA CE A8 CE 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 CF 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 CC 1A C9 82 C9
+26 CF 4E C8 2C CF 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 CF DE C7 4E C8 5E CF B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A CB 06 4D
+41 52 4B 45 52 00 B0 12 00 CD BA 40 85 12 FC FF
+BA 40 5C CF FE FF 28 83 8A 48 00 00 BA 40 AA C4
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A C4
+CA 21 D6 C7 4E C8 85 12 9E CF 66 CC D4 CD 10 C7
+7E CC 52 CE D2 C6 6E CF 00 C9 96 D0 AA D0 8A C8
+14 C9 00 00 46 CF BC CC E2 C9 00 00 85 12 9E CF
+5A D6 C0 D6 02 D6 10 D7 C8 D5 00 00 94 D3 00 00
+D8 D7 BC D7 2C D6 6A D6 A4 D4 00 00 00 00 2C D7
+CA CF 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 CF 24 D0 2A D0 3A D0 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 CC
+09 50 57 52 5F 53 54 41 54 45 85 12 32 D0 E4 D7
+CE C8 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E D0 F3 3F 70 D0 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E D0 30 4D 82 D0 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 D1 FE FF 29 83 B9 40
+E2 C5 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 C5 B2 49 FA C4 B2 49 02 C4 B2 49 00 C6 B2 49
+E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 10 29 83 89 43 00 20 FC 23 B2 43
+06 02 B2 40 FC FF 02 02 F2 D3 26 02 F2 43 22 02
+F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 BA 00
+82 01 B2 40 E8 01 84 01 82 43 88 01 F2 D0 03 00
+0B 02 39 40 80 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 C4 FE C5 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 1A C9 82 C9 DE C7 34 C4 D4 D1 3E CA 34 C4
+EE D1 E8 D1 D6 D1 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 F0 D1
+B2 41 C4 21 3E 41 84 12 0A C4 2B 00 1A C9 82 C9
+DE C7 34 C4 0C D2 3E CA 34 C4 00 CC A8 C7 1A C9
+3E CA 34 C4 00 CC 18 D2 3E 5F E7 3F 3E 40 28 00
+B0 12 B8 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 6E CD
+1C 15 12 12 C4 21 92 53 C4 21 84 12 1A C9 3E CA
+34 C4 60 D2 56 D2 21 53 3E 90 10 00 C6 2B 7F 2D
+62 D2 B2 41 C4 21 C1 3F 0D 12 84 12 02 CC 94 D1
+72 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 B8 D1 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 B8 D1 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 40 D2 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 40 D2 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 40 D2 FA 23
+3C 50 10 00 B0 12 1C D2 EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 02 CC 94 D1 3E D3 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 40 D2 E0 23 3C 50 80 00 B0 12 1C D2 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
+48 CB 4E C8 0A C4 2C 00 68 D2 34 D3 7E D3 09 4B
+2E 4E 0E DC A2 3F 40 CE 03 4D 4F 56 85 12 74 D3
+00 40 88 D3 05 4D 4F 56 2E 42 85 12 74 D3 40 40
+00 00 03 41 44 44 85 12 74 D3 00 50 A2 D3 05 41
+44 44 2E 42 85 12 74 D3 40 50 AE D3 04 41 44 44
+43 00 85 12 74 D3 00 60 BC D3 06 41 44 44 43 2E
+42 00 85 12 74 D3 40 60 62 D3 04 53 55 42 43 00
+85 12 74 D3 00 70 DA D3 06 53 55 42 43 2E 42 00
+85 12 74 D3 40 70 E8 D3 03 53 55 42 85 12 74 D3
+00 80 F8 D3 05 53 55 42 2E 42 85 12 74 D3 40 80
+16 CE 03 43 4D 50 85 12 74 D3 00 90 12 D4 05 43
+4D 50 2E 42 85 12 74 D3 40 90 00 CE 04 44 41 44
+44 00 85 12 74 D3 00 A0 2C D4 06 44 41 44 44 2E
+42 00 85 12 74 D3 40 A0 1E D4 03 42 49 54 85 12
+74 D3 00 B0 4A D4 05 42 49 54 2E 42 85 12 74 D3
+40 B0 56 D4 03 42 49 43 85 12 74 D3 00 C0 64 D4
+05 42 49 43 2E 42 85 12 74 D3 40 C0 70 D4 03 42
+49 53 85 12 74 D3 00 D0 7E D4 05 42 49 53 2E 42
+85 12 74 D3 40 D0 00 00 03 58 4F 52 85 12 74 D3
+00 E0 98 D4 05 58 4F 52 2E 42 85 12 74 D3 40 E0
+CA D3 03 41 4E 44 85 12 74 D3 00 F0 B2 D4 05 41
+4E 44 2E 42 85 12 74 D3 40 F0 02 CC 68 D2 D0 D4
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+04 D4 03 52 52 43 85 12 CA D4 00 10 E2 D4 05 52
+52 43 2E 42 85 12 CA D4 40 10 EE D4 04 53 57 50
+42 00 85 12 CA D4 80 10 FC D4 03 52 52 41 85 12
+CA D4 00 11 0A D5 05 52 52 41 2E 42 85 12 CA D4
+40 11 16 D5 03 53 58 54 85 12 CA D4 80 11 00 00
+04 50 55 53 48 00 85 12 CA D4 00 12 30 D5 06 50
+55 53 48 2E 42 00 85 12 CA D4 40 12 8A D4 04 43
+41 4C 4C 00 85 12 CA D4 80 12 1A 53 0E 4A 0D 12
+84 12 C4 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 C5 24 D5 03 53 3E 3D 86 12 00 38
+78 D5 02 53 3C 00 86 12 00 34 3E D5 03 30 3E 3D
+86 12 00 30 8C D5 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C A0 D5 03 55 3E 3D 86 12
+00 28 96 D5 03 30 3C 3E 86 12 00 24 B4 D5 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D AA D5 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+3A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 4E D5
+05 42 45 47 49 4E 30 40 28 C4 DE D5 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D BE D4 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 CC D5 A8 C7 4E C8 82 D5 06 52 45 50
+45 41 54 00 0D 12 84 12 60 D6 E4 D5 4E C8 90 D6
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 20 D6 03 42 57 31 85 12 8E D6
+00 00 A8 D6 03 42 57 32 85 12 8E D6 00 00 B4 D6
+03 42 57 33 85 12 8E D6 00 00 CC D6 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 CA D6 00 00 EC D6 03 46 57 32 85 12 CA D6
+00 00 F8 D6 03 46 57 33 85 12 CA D6 00 00 04 D7
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 80 CC DC CB 4E C8 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 02 CC 94 D1 4E D7 92 53
+C4 21 3E 40 2C 00 84 12 1A C9 3E CA 34 C4 00 CC
+2A D3 64 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+7C D6 04 52 52 43 4D 00 85 12 48 D7 50 00 92 D7
+04 52 52 41 4D 00 85 12 48 D7 50 01 A0 D7 04 52
+4C 41 4D 00 85 12 48 D7 50 02 AE D7 04 52 52 55
+4D 00 85 12 48 D7 50 03 BE D5 05 50 55 53 48 4D
+85 12 48 D7 00 15 CA D7 04 50 4F 50 4D 00 85 12
+48 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-80 C6 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 20 D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+82 C6 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 08 D1
 q
index 00921f7..b5bfa6c 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 02 D8 0A D0 33 01
-10 00 81 B6 94 C5 AA C4 C6 C5 9C C5 94 C6 02 D8
-0A D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 28 CC 42 CC 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF FA D7 02 D0 34 01
+10 00 41 B3 94 C5 AA C4 DA C5 9C C5 94 C6 FA D7
+02 D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 78 C6 B2 49 90 C7 B2 49 22 C7 B2 49 FC C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E0 C7 32 C7
 14 C4 04 1B 5B 37 6D 00 5C C7 A8 C7 34 C4 86 C5
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 C6 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C6 2F 83
-8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C7 08 4E 3E 4F C8 3F 1A C7 04 45 43 48
 4F 00 B2 40 C2 48 C0 C6 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 24 CC 20 C7
+10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 14 CC 20 C7
 60 C8 40 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
 5A CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 C7 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A C8 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C4 24 CC 2C C9 E0 CB
-94 26 3D 40 E8 CB D6 3E EA CB 0A 4E 3E 4F 3D 40
-04 CC 2E 27 3D 40 DA CB 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 CC 3E 4F 3D 40 DA CB BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC C4 14 CC 2C C9 D0 CB
+9C 26 3D 40 D8 CB DE 3E DA CB 0A 4E 3E 4F 3D 40
+F4 CB 36 27 3D 40 CA CB 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 CB 3E 4F 3D 40 CA CB BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CD
-CC 3F 0E CC 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE CB 86 12 20 00 E6 C7 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E C7
-BC C4 D4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
+BC C4 C4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4
 FE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C5 3A C4 3E CC 6C CB 86 41 42 4F 52 54 22 00
+2A C5 3A C4 3E CC 1A CC 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 C8 0A C4 2A C5 5A CB 60 C8 8E C9
-01 27 0D 12 84 12 24 CC 2C C9 94 C9 34 C4 22 CC
+01 27 0D 12 84 12 14 CC 2C C9 94 C9 34 C4 12 CC
 60 C8 00 00 83 5B 27 5D 0D 12 84 12 92 CC 0A C4
 0A C4 5A CB 5A CB 60 C8 A4 CC 81 5B 82 43 BE 21
 30 4D 0C C8 01 5D B2 43 BE 21 30 4D C4 CC 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CC 2C C9 94 C9 A8 C7
-34 C4 22 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
+4F 4E 45 00 0D 12 84 12 14 CC 2C C9 94 C9 A8 C7
+34 C4 12 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
 5A CB 0A C4 5A CB 5A CB 60 C8 BA CC 01 3A 30 12
-56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 CC
+56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 CC
 2C C9 24 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,172 +159,171 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 C5 0C CD 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A C4 60 C8 5A CB 68 CD BC CC
-60 C8 CA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 CB 06 43 52 45
+60 C8 BA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 CB 06 43 52 45
 41 54 45 00 B0 12 12 CD BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC CD 04 43 4F 44 45 00 B0 12 12 CD
-A2 82 C6 21 0D 12 84 12 0C D0 E6 CF 60 C8 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD 26 D0
-60 C8 78 CC 03 41 53 4D B2 40 EA CF DA 21 E6 3F
-00 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CE
-44 D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 D0 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 CD 85 48 49 32
-4C 4F 0D 12 84 12 28 C4 B4 CF 5A CB BC CC F4 CD
-60 C8 9A CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
-00 00 D8 C7 24 CC 2C C9 BA CB A0 C7 34 C4 1C CF
-AE C7 14 C4 06 5B 54 48 45 4E 5D 00 8E CE F6 CE
-B2 CE D4 CE 60 C8 AE C7 14 C4 06 5B 45 4C 53 45
-5D 00 8E CE 0C CF B2 CE D2 CE 60 C8 14 C4 04 5B
-49 46 5D 00 8E CE D4 CE 3A C4 D2 CE 82 C7 14 C4
-05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4 D4 CE
-C2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CF 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CC 2C C9 94 C9 40 CF 60 C8 46 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CF F0 C7 60 C8 78 CF B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C CB 06 4D 41 52 4B 45 52 00
-B0 12 12 CD BA 40 85 12 FC FF BA 40 76 CF FE FF
-28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 E8 C7 60 C8
-85 12 B8 CF 14 CE E6 CD 2C C7 90 CC 6C CE F6 C6
-88 CF 12 C9 B0 D0 C4 D0 9C C8 26 C9 00 00 60 CF
-CE CC F4 C9 00 00 85 12 B8 CF 78 D6 DE D6 20 D6
-2E D7 E6 D5 00 00 B2 D3 00 00 F6 D7 DA D7 4A D6
-88 D6 C2 D4 00 00 00 00 4A D7 E4 CF 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 CF 3E D0
-44 D0 54 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CC 09 50 57 52 5F 53
-54 41 54 45 85 12 4C D0 02 D8 E0 C8 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 D0 F3 3F 8A D0
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 D0
-30 4D 9C D0 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 D1 FE FF 29 83 B9 40 02 C6 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49 FA C4
-B2 49 02 C4 B2 49 20 C6 B2 49 E4 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 43 06 02 B2 40 FC FF
-02 02 D2 D3 04 02 F2 D3 26 02 F2 43 22 02 F2 40
-A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40
-00 A5 60 01 B2 40 FF 1E 80 01 B2 40 BA 00 82 01
-B2 40 E8 01 84 01 82 43 88 01 F2 D0 03 00 0B 02
-39 40 80 00 18 42 00 18 18 83 FE 23 19 83 FA 23
-1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 C4
-1E C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52
-C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
-82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12
-2C C9 94 C9 F0 C7 34 C4 F2 D1 50 CA 34 C4 0C D2
-06 D2 F4 D1 3C 4E 3C 80 87 12 05 24 1C 53 02 20
-2E 4E 01 3C 2E 83 21 52 1B 17 30 41 0E D2 B2 41
-C4 21 3E 41 84 12 0A C4 2B 00 2C C9 94 C9 F0 C7
-34 C4 2A D2 50 CA 34 C4 22 CC BA C7 2C C9 50 CA
-34 C4 22 CC 36 D2 3E 5F E7 3F 3E 40 28 00 B0 12
-D6 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40
-29 00 92 92 C0 21 C4 21 02 20 30 40 80 CD 1C 15
-12 12 C4 21 92 53 C4 21 84 12 2C C9 50 CA 34 C4
-7E D2 74 D2 21 53 3E 90 10 00 C6 2B 7F 2D 80 D2
-B2 41 C4 21 C1 3F 0D 12 84 12 24 CC B2 D1 90 D2
-0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90
-23 00 27 20 92 53 C4 21 B0 12 D6 D1 3C 40 00 03
-0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
-2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
-3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
-19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41
-30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21
-B0 12 D6 D1 ED 3F 7A 90 40 00 16 20 3C 40 20 00
-92 53 C4 21 B0 12 5E D2 0C 20 3C 50 10 00 3E 40
-2B 00 B0 12 5E D2 92 92 C0 21 C4 21 02 24 92 53
-C4 21 8E 10 0C 5E DA 3F B0 12 5E D2 FA 23 3C 50
-10 00 B0 12 3A D2 EF 3F 0C 43 1B 42 C6 21 A2 53
-C6 21 0D 12 84 12 24 CC B2 D1 5C D3 FE 90 26 00
-00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
-5E D2 E0 23 3C 50 80 00 B0 12 3A D2 DB 3F 00 00
-04 52 45 54 49 00 0D 12 84 12 0A C4 00 13 5A CB
-60 C8 0A C4 2C 00 86 D2 52 D3 9C D3 09 4B 2E 4E
-0E DC A2 3F 5A CE 03 4D 4F 56 85 12 92 D3 00 40
-A6 D3 05 4D 4F 56 2E 42 85 12 92 D3 40 40 00 00
-03 41 44 44 85 12 92 D3 00 50 C0 D3 05 41 44 44
-2E 42 85 12 92 D3 40 50 CC D3 04 41 44 44 43 00
-85 12 92 D3 00 60 DA D3 06 41 44 44 43 2E 42 00
-85 12 92 D3 40 60 80 D3 04 53 55 42 43 00 85 12
-92 D3 00 70 F8 D3 06 53 55 42 43 2E 42 00 85 12
-92 D3 40 70 06 D4 03 53 55 42 85 12 92 D3 00 80
-16 D4 05 53 55 42 2E 42 85 12 92 D3 40 80 36 CE
-03 43 4D 50 85 12 92 D3 00 90 30 D4 05 43 4D 50
-2E 42 85 12 92 D3 40 90 22 CE 04 44 41 44 44 00
-85 12 92 D3 00 A0 4A D4 06 44 41 44 44 2E 42 00
-85 12 92 D3 40 A0 3C D4 03 42 49 54 85 12 92 D3
-00 B0 68 D4 05 42 49 54 2E 42 85 12 92 D3 40 B0
-74 D4 03 42 49 43 85 12 92 D3 00 C0 82 D4 05 42
-49 43 2E 42 85 12 92 D3 40 C0 8E D4 03 42 49 53
-85 12 92 D3 00 D0 9C D4 05 42 49 53 2E 42 85 12
-92 D3 40 D0 00 00 03 58 4F 52 85 12 92 D3 00 E0
-B6 D4 05 58 4F 52 2E 42 85 12 92 D3 40 E0 E8 D3
-03 41 4E 44 85 12 92 D3 00 F0 D0 D4 05 41 4E 44
-2E 42 85 12 92 D3 40 F0 24 CC 86 D2 EE D4 0A 4C
-3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 22 D4
-03 52 52 43 85 12 E8 D4 00 10 00 D5 05 52 52 43
-2E 42 85 12 E8 D4 40 10 0C D5 04 53 57 50 42 00
-85 12 E8 D4 80 10 1A D5 03 52 52 41 85 12 E8 D4
-00 11 28 D5 05 52 52 41 2E 42 85 12 E8 D4 40 11
-34 D5 03 53 58 54 85 12 E8 D4 80 11 00 00 04 50
-55 53 48 00 85 12 E8 D4 00 12 4E D5 06 50 55 53
-48 2E 42 00 85 12 E8 D4 40 12 A8 D4 04 43 41 4C
-4C 00 85 12 E8 D4 80 12 1A 53 0E 4A 0D 12 84 12
-D6 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 36 C5 42 D5 03 53 3E 3D 86 12 00 38 96 D5
-02 53 3C 00 86 12 00 34 5C D5 03 30 3E 3D 86 12
-00 30 AA D5 02 30 3C 00 86 12 00 30 00 00 02 55
-3C 00 86 12 00 2C BE D5 03 55 3E 3D 86 12 00 28
-B4 D5 03 30 3C 3E 86 12 00 24 D2 D5 02 30 3D 00
-86 12 00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 0E 4A 30 4D C8 D5 04 54 48 45
-4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89
-0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 58 D4
-04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00
-A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 6C D5 05 42
-45 47 49 4E 30 40 28 C4 FC D5 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11
-3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 C6 21 30 4D DC D4 05 41 47 41 49 4E 0A 4E
-38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
-84 12 EA D5 BA C7 60 C8 A0 D5 06 52 45 50 45 41
-54 00 0D 12 84 12 7E D6 02 D6 60 C8 AE D6 3D 41
-08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21
-00 00 30 4D 3E D6 03 42 57 31 85 12 AC D6 00 00
-C6 D6 03 42 57 32 85 12 AC D6 00 00 D2 D6 03 42
-57 33 85 12 AC D6 00 00 EA D6 3D 41 1A 42 C6 21
-28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21
-8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
-E8 D6 00 00 0A D7 03 46 57 32 85 12 E8 D6 00 00
-16 D7 03 46 57 33 85 12 E8 D6 00 00 22 D7 04 47
-4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
-84 12 92 CC FE CB 60 C8 00 00 05 3F 47 4F 54 4F
-3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
-3E E0 00 08 EC 3F 24 CC B2 D1 6C D7 92 53 C4 21
-3E 40 2C 00 84 12 2C C9 50 CA 34 C4 22 CC 48 D3
-82 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
-08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
-5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 9A D6
-04 52 52 43 4D 00 85 12 66 D7 50 00 B0 D7 04 52
-52 41 4D 00 85 12 66 D7 50 01 BE D7 04 52 4C 41
-4D 00 85 12 66 D7 50 02 CC D7 04 52 52 55 4D 00
-85 12 66 D7 50 03 DC D5 05 50 55 53 48 4D 85 12
-66 D7 00 15 E8 D7 04 50 4F 50 4D 00 85 12 66 D7
-00 17
+A2 82 C6 21 0D 12 84 12 04 D0 DE CF 60 C8 B4 CD
+07 48 44 4E 43 4F 44 45 B2 40 E2 CF DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD
+1E D0 3C D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E D0 3C D0 60 C8
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 CE 85 48 49 32 4C 4F 0D 12 84 12 28 C4
+AC CF 5A CB BC CC F4 CD 60 C8 9A CD 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C CE 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C4 00 00 D8 C7 14 CC 2C C9
+AA CB A0 C7 34 C4 14 CF AE C7 14 C4 06 5B 54 48
+45 4E 5D 00 86 CE EE CE AA CE CC CE 60 C8 AE C7
+14 C4 06 5B 45 4C 53 45 5D 00 86 CE 04 CF AA CE
+CA CE 60 C8 14 C4 04 5B 49 46 5D 00 86 CE CC CE
+3A C4 CA CE 82 C7 14 C4 05 0D 0A 6B 6F 20 5C C7
+BC C4 AC C4 3A C4 CC CE BA CE 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A CF 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 CC 2C C9
+94 C9 38 CF 60 C8 3E CF 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 CF F0 C7 60 C8 70 CF
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C CB
+06 4D 41 52 4B 45 52 00 B0 12 12 CD BA 40 85 12
+FC FF BA 40 6E CF FE FF 28 83 8A 48 00 00 BA 40
+AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A C4 CA 21 E8 C7 60 C8 85 12 B0 CF 78 CC E6 CD
+2C C7 90 CC 64 CE F6 C6 80 CF 12 C9 A8 D0 BC D0
+9C C8 26 C9 00 00 58 CF CE CC F4 C9 00 00 85 12
+B0 CF 70 D6 D6 D6 18 D6 26 D7 DE D5 00 00 AA D3
+00 00 EE D7 D2 D7 42 D6 80 D6 BA D4 00 00 00 00
+42 D7 DC CF 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 CF 36 D0 3C D0 4C D0 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA CC 09 50 57 52 5F 53 54 41 54 45 85 12 44 D0
+FA D7 E0 C8 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 D0 F3 3F 82 D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 D0 30 4D 94 D0 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A D1 FE FF 29 83
+B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49 20 C6
+B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 43 06 02 B2 40 FC FF 02 02 D2 D3 04 02 F2 D3
+26 02 F2 43 22 02 F2 40 A5 00 A1 01 F2 40 10 00
+A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40 FF 1E
+80 01 B2 40 BA 00 82 01 B2 40 E8 01 84 01 82 43
+88 01 F2 D0 03 00 0B 02 39 40 80 00 18 42 00 18
+18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18
+1E D2 5E 01 B0 12 F8 C4 1E C6 38 40 C0 21 0A 4E
+39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E
+FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15
+0E 12 12 12 C4 21 84 12 2C C9 94 C9 F0 C7 34 C4
+EA D1 50 CA 34 C4 04 D2 FE D1 EC D1 3C 4E 3C 80
+87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
+1B 17 30 41 06 D2 B2 41 C4 21 3E 41 84 12 0A C4
+2B 00 2C C9 94 C9 F0 C7 34 C4 22 D2 50 CA 34 C4
+12 CC BA C7 2C C9 50 CA 34 C4 12 CC 2E D2 3E 5F
+E7 3F 3E 40 28 00 B0 12 CE D1 19 42 C6 21 A2 53
+C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21
+02 20 30 40 80 CD 1C 15 12 12 C4 21 92 53 C4 21
+84 12 2C C9 50 CA 34 C4 76 D2 6C D2 21 53 3E 90
+10 00 C6 2B 7F 2D 78 D2 B2 41 C4 21 C1 3F 0D 12
+84 12 14 CC AA D1 88 D2 0C 43 1B 42 C6 21 A2 53
+C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21
+B0 12 CE D1 3C 40 00 03 0E 93 1C 24 3C 40 10 03
+1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
+2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
+3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21
+89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
+3C 40 10 02 92 53 C4 21 B0 12 CE D1 ED 3F 7A 90
+40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12 56 D2
+0C 20 3C 50 10 00 3E 40 2B 00 B0 12 56 D2 92 92
+C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F
+B0 12 56 D2 FA 23 3C 50 10 00 B0 12 32 D2 EF 3F
+0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12 14 CC
+AA D1 54 D3 FE 90 26 00 00 00 3E 40 20 00 03 20
+3C 50 82 00 C7 3F B0 12 56 D2 E0 23 3C 50 80 00
+B0 12 32 D2 DB 3F 00 00 04 52 45 54 49 00 0D 12
+84 12 0A C4 00 13 5A CB 60 C8 0A C4 2C 00 7E D2
+4A D3 94 D3 09 4B 2E 4E 0E DC A2 3F 52 CE 03 4D
+4F 56 85 12 8A D3 00 40 9E D3 05 4D 4F 56 2E 42
+85 12 8A D3 40 40 00 00 03 41 44 44 85 12 8A D3
+00 50 B8 D3 05 41 44 44 2E 42 85 12 8A D3 40 50
+C4 D3 04 41 44 44 43 00 85 12 8A D3 00 60 D2 D3
+06 41 44 44 43 2E 42 00 85 12 8A D3 40 60 78 D3
+04 53 55 42 43 00 85 12 8A D3 00 70 F0 D3 06 53
+55 42 43 2E 42 00 85 12 8A D3 40 70 FE D3 03 53
+55 42 85 12 8A D3 00 80 0E D4 05 53 55 42 2E 42
+85 12 8A D3 40 80 28 CE 03 43 4D 50 85 12 8A D3
+00 90 28 D4 05 43 4D 50 2E 42 85 12 8A D3 40 90
+12 CE 04 44 41 44 44 00 85 12 8A D3 00 A0 42 D4
+06 44 41 44 44 2E 42 00 85 12 8A D3 40 A0 34 D4
+03 42 49 54 85 12 8A D3 00 B0 60 D4 05 42 49 54
+2E 42 85 12 8A D3 40 B0 6C D4 03 42 49 43 85 12
+8A D3 00 C0 7A D4 05 42 49 43 2E 42 85 12 8A D3
+40 C0 86 D4 03 42 49 53 85 12 8A D3 00 D0 94 D4
+05 42 49 53 2E 42 85 12 8A D3 40 D0 00 00 03 58
+4F 52 85 12 8A D3 00 E0 AE D4 05 58 4F 52 2E 42
+85 12 8A D3 40 E0 E0 D3 03 41 4E 44 85 12 8A D3
+00 F0 C8 D4 05 41 4E 44 2E 42 85 12 8A D3 40 F0
+14 CC 7E D2 E6 D4 0A 4C 3C F0 70 00 8A 10 3A F0
+0F 00 0C DA 4F 3F 1A D4 03 52 52 43 85 12 E0 D4
+00 10 F8 D4 05 52 52 43 2E 42 85 12 E0 D4 40 10
+04 D5 04 53 57 50 42 00 85 12 E0 D4 80 10 12 D5
+03 52 52 41 85 12 E0 D4 00 11 20 D5 05 52 52 41
+2E 42 85 12 E0 D4 40 11 2C D5 03 53 58 54 85 12
+E0 D4 80 11 00 00 04 50 55 53 48 00 85 12 E0 D4
+00 12 46 D5 06 50 55 53 48 2E 42 00 85 12 E0 D4
+40 12 A0 D4 04 43 41 4C 4C 00 85 12 E0 D4 80 12
+1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 36 C5 3A D5 03 53
+3E 3D 86 12 00 38 8E D5 02 53 3C 00 86 12 00 34
+54 D5 03 30 3E 3D 86 12 00 30 A2 D5 02 30 3C 00
+86 12 00 30 00 00 02 55 3C 00 86 12 00 2C B6 D5
+03 55 3E 3D 86 12 00 28 AC D5 03 30 3C 3E 86 12
+00 24 CA D5 02 30 3D 00 86 12 00 20 00 00 02 49
+46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A
+30 4D C0 D5 04 54 48 45 4E 00 1A 42 C6 21 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
+88 DA 00 00 30 4D 50 D4 04 45 4C 53 45 00 1A 42
+C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A
+00 00 E3 3F 64 D5 05 42 45 47 49 4E 30 40 28 C4
+F4 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D D4 D4
+05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
+05 57 48 49 4C 45 0D 12 84 12 E2 D5 BA C7 60 C8
+98 D5 06 52 45 50 45 41 54 00 0D 12 84 12 76 D6
+FA D5 60 C8 A6 D6 3D 41 08 4E 3E 4F 2A 48 B2 92
+C4 21 CB 2F 98 42 C6 21 00 00 30 4D 36 D6 03 42
+57 31 85 12 A4 D6 00 00 BE D6 03 42 57 32 85 12
+A4 D6 00 00 CA D6 03 42 57 33 85 12 A4 D6 00 00
+E2 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B
+BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D
+00 00 03 46 57 31 85 12 E0 D6 00 00 02 D7 03 46
+57 32 85 12 E0 D6 00 00 0E D7 03 46 57 33 85 12
+E0 D6 00 00 1A D7 04 47 4F 54 4F 00 2F 83 8F 4E
+00 00 3E 40 00 3C 0D 12 84 12 92 CC EE CB 60 C8
+00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
+00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 14 CC
+AA D1 64 D7 92 53 C4 21 3E 40 2C 00 84 12 2C C9
+50 CA 34 C4 12 CC 40 D3 7A D7 0A 4E 3E 4F 1A 83
+F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
+08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
+8A 10 5A 06 A6 3E 92 D6 04 52 52 43 4D 00 85 12
+5E D7 50 00 A8 D7 04 52 52 41 4D 00 85 12 5E D7
+50 01 B6 D7 04 52 4C 41 4D 00 85 12 5E D7 50 02
+C4 D7 04 52 52 55 4D 00 85 12 5E D7 50 03 D4 D5
+05 50 55 53 48 4D 85 12 5E D7 00 15 E0 D7 04 50
+4F 50 4D 00 85 12 5E D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 94 C6 02 C6 02 C6 02 C6 02 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 22 D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 1A D1
 q
index b8f7428..8e2adf1 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF EC D7 08 D0 33 01
-10 00 81 86 B4 C5 AA C4 B6 C5 8A C5 80 C6 EC D7
-08 D0 6E C6 90 C7 FC C6 D8 C6 3C 21 5E C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 26 CC 40 CC 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF D4 D7 F0 CF 34 01
+10 00 41 87 B6 C5 AA C4 B8 C5 8C C5 82 C6 D4 D7
+F0 CF 70 C6 80 C7 FE C6 DA C6 3C 21 4E C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6C C6 B2 49 8E C7 B2 49 FA C6 B2 49 D6 C6
+B2 49 6E C6 B2 49 7E C7 B2 49 FC C6 B2 49 D8 C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 DE C7 14 C7 48 C7 34 C4 26 CC 14 C4
-05 1B 5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8
-A6 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C7 9E C8 5A C7 14 C4
-04 1B 5B 30 6D 00 5A C7 26 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 F2 B2 01 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12 8A C5
-78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D 0A 1B
-5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8 0A C4
-23 00 F8 C6 D4 C8 14 C4 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C7 0A C4 40 FF 28 C4 D2 C7 9E C8 14 C4
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C4 7C C5
-00 00 06 41 43 43 45 50 54 00 30 40 6E C6 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C5
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C6 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C6 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 C6 04 45
-43 48 4F 00 B2 40 C2 48 06 C7 82 43 DE 21 38 40
-05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C7 92 43 DE 21 28 42 F1 3F
-2A C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C6 78 C7 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40 90 C7
-0D 12 84 12 14 C4 02 0D 0A 00 5A C7 5E C8 2F 83
+B2 49 DC 21 3D 41 30 40 BC D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 CE C7 16 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7
+C4 C8 96 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C7 8E C8 4A C7
+14 C4 04 1B 5B 30 6D 00 4A C7 16 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 F2 B2 01 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00 B0 12
+8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4 07 0D
+0A 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7 C4 C8
+0A C4 23 00 FA C6 C4 C8 14 C4 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C7 0A C4 40 FF 28 C4 C2 C7 8E C8
+14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
+7E C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
+58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
+04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
+38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C6 68 C7 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40 80 C7
+0D 12 84 12 14 C4 02 0D 0A 00 4A C7 4E C8 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C6 01 40 2E 4E
-30 4D DC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40 2E 4E
+30 4D CC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 C7 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 C7 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 C8 02 23 53 00 0D 12 84 12
-1A C8 54 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 C8 02 23 53 00 0D 12 84 12
+0A C8 44 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C7 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C7 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C8
-4C C8 EE C4 8C C8 68 C8 5A C7 22 CC F8 C6 5E C8
-42 C7 01 2E 0E 93 E3 37 38 43 E2 3F 86 C8 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 58 CB
-0A C4 22 00 2A C9 F8 C8 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 C8
-82 2E 22 00 0D 12 84 12 E2 C8 0A C4 5A C7 58 CB
-5E C8 F6 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C7
+3C C8 EE C4 7C C8 58 C8 4A C7 02 CC FA C6 4E C8
+2C C7 01 2E 0E 93 E3 37 38 43 E2 3F 76 C8 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 48 CB
+0A C4 22 00 1A C9 E8 C8 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 C8
+82 2E 22 00 0D 12 84 12 D2 C8 0A C4 4A C7 48 CB
+4E C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC CA 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C CA 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE CA 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E CA 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,214 +121,214 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 C7 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 CB 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 CB 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 C8 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC C4 22 CC 2A C9 DE CB 94 26
-3D 40 E6 CB D6 3E E8 CB 0A 4E 3E 4F 3D 40 02 CC
-2E 27 3D 40 D8 CB 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 CC 3E 4F 3D 40 D8 CB BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 CD CC 3F
-0C CC 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C C7 BC C4
-D2 CB C2 C7 F4 C7 14 C4 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4 FC C7
+54 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 C8 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC C4 02 CC 1A C9 BE CB 9C 26
+3D 40 C6 CB DE 3E C8 CB 0A 4E 3E 4F 3D 40 E2 CB
+36 27 3D 40 B8 CB 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 CB 3E 4F 3D 40 B8 CB BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 CD CC 3F
+EC CB 86 12 20 00 D4 C7 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C C7 BC C4
+B2 CB B2 C7 E4 C7 14 C4 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4 EC C7
 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A C5
-3A C4 3C CC 6A CB 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 C8 0A C4 2A C5 58 CB 5E C8 8C C9 01 27
-0D 12 84 12 22 CC 2A C9 92 C9 34 C4 20 CC 5E C8
-00 00 83 5B 27 5D 0D 12 84 12 90 CC 0A C4 0A C4
-58 CB 58 CB 5E C8 A2 CC 81 5B 82 43 BE 21 30 4D
-0A C8 01 5D B2 43 BE 21 30 4D C2 CC 81 5C 92 42
+3A C4 2C CC 08 CC 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 C8 0A C4 2A C5 48 CB 4E C8 7C C9 01 27
+0D 12 84 12 02 CC 1A C9 82 C9 34 C4 00 CC 4E C8
+00 00 83 5B 27 5D 0D 12 84 12 80 CC 0A C4 0A C4
+48 CB 48 CB 4E C8 92 CC 81 5B 82 43 BE 21 30 4D
+FA C7 01 5D B2 43 BE 21 30 4D B2 CC 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 CC 2A C9 92 C9 A6 C7 34 C4
-20 CC F4 C7 34 C4 04 CD 0A C4 0A C4 58 CB 58 CB
-0A C4 58 CB 58 CB 5E C8 B8 CC 01 3A 30 12 54 CD
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 CC 2A C9
-22 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 CC 1A C9 82 C9 96 C7 34 C4
+00 CC E4 C7 34 C4 F4 CC 0A C4 0A C4 48 CB 48 CB
+0A C4 48 CB 48 CB 4E C8 A8 CC 01 3A 30 12 44 CD
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 CC 1A C9
+12 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 C5 0A CD 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A C4 5E C8 58 CB 66 CD BA CC 5E C8
-C8 CB 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 CB 06 43 52 45 41 54
-45 00 B0 12 10 CD BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA CD 04 43 4F 44 45 00 B0 12 10 CD A2 82
-C6 21 0D 12 84 12 0A D0 E4 CF 5E C8 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 CD 24 D0 5E C8
-76 CC 03 41 53 4D B2 40 E8 CF DA 21 E6 3F FE CD
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 CE 42 D0
-5E C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 D0 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 CD 85 48 49 32 4C 4F
-0D 12 84 12 28 C4 B2 CF 58 CB BA CC F2 CD 5E C8
-98 CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 CE
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4 00 00
-D6 C7 22 CC 2A C9 B8 CB 9E C7 34 C4 1A CF AC C7
-14 C4 06 5B 54 48 45 4E 5D 00 8C CE F4 CE B0 CE
-D2 CE 5E C8 AC C7 14 C4 06 5B 45 4C 53 45 5D 00
-8C CE 0A CF B0 CE D0 CE 5E C8 14 C4 04 5B 49 46
-5D 00 8C CE D2 CE 3A C4 D0 CE 80 C7 14 C4 05 0D
-0A 6B 6F 20 5A C7 BC C4 AC C4 3A C4 D2 CE C0 CE
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 CF 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 CC 2A C9 92 C9 3E CF 5E C8 44 CF 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E CF
-EE C7 5E C8 76 CF B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A CB 06 4D 41 52 4B 45 52 00 B0 12
-10 CD BA 40 85 12 FC FF BA 40 74 CF FE FF 28 83
-8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A C4 CA 21 E6 C7 5E C8 85 12
-B6 CF 12 CE E4 CD 0E C7 8E CC 6A CE D0 C6 86 CF
-10 C9 AE D0 C2 D0 9A C8 24 C9 00 00 5E CF CC CC
-F2 C9 00 00 85 12 B6 CF 62 D6 C8 D6 0A D6 18 D7
-D0 D5 00 00 9C D3 00 00 E0 D7 C4 D7 34 D6 72 D6
-AC D4 00 00 00 00 34 D7 E2 CF 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE CF 3C D0 42 D0
-52 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 CC 09 50 57 52 5F 53 54 41
-54 45 85 12 4A D0 EC D7 DE C8 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 D0 F3 3F 88 D0 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 D0 30 4D
-9A D0 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 D1 FE FF 29 83 B9 40 E0 C5 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 C5 B2 49 FA C4 B2 49
-02 C4 B2 49 FE C5 B2 49 E0 FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10 29 83
-89 43 00 20 FC 23 B2 43 06 02 B2 40 FC FF 02 02
-F2 D3 26 02 F2 43 22 02 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 B0 00 82 01 B2 40 1E 00 84 01
-82 43 88 01 F2 D0 03 00 0B 02 39 40 80 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 C4 FC C5 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2A C9 92 C9 EE C7
-34 C4 DC D1 4E CA 34 C4 F6 D1 F0 D1 DE D1 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 F8 D1 B2 41 C4 21 3E 41 84 12
-0A C4 2B 00 2A C9 92 C9 EE C7 34 C4 14 D2 4E CA
-34 C4 20 CC B8 C7 2A C9 4E CA 34 C4 20 CC 20 D2
-3E 5F E7 3F 3E 40 28 00 B0 12 C0 D1 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 7E CD 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2A C9 4E CA 34 C4 68 D2 5E D2 21 53
-3E 90 10 00 C6 2B 7F 2D 6A D2 B2 41 C4 21 C1 3F
-0D 12 84 12 22 CC 9C D1 7A D2 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 C0 D1 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 C0 D1 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-48 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 48 D2
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 48 D2 FA 23 3C 50 10 00 B0 12 24 D2
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-22 CC 9C D1 46 D3 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 48 D2 E0 23 3C 50
-80 00 B0 12 24 D2 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A C4 00 13 58 CB 5E C8 0A C4 2C 00
-70 D2 3C D3 86 D3 09 4B 2E 4E 0E DC A2 3F 58 CE
-03 4D 4F 56 85 12 7C D3 00 40 90 D3 05 4D 4F 56
-2E 42 85 12 7C D3 40 40 00 00 03 41 44 44 85 12
-7C D3 00 50 AA D3 05 41 44 44 2E 42 85 12 7C D3
-40 50 B6 D3 04 41 44 44 43 00 85 12 7C D3 00 60
-C4 D3 06 41 44 44 43 2E 42 00 85 12 7C D3 40 60
-6A D3 04 53 55 42 43 00 85 12 7C D3 00 70 E2 D3
-06 53 55 42 43 2E 42 00 85 12 7C D3 40 70 F0 D3
-03 53 55 42 85 12 7C D3 00 80 00 D4 05 53 55 42
-2E 42 85 12 7C D3 40 80 34 CE 03 43 4D 50 85 12
-7C D3 00 90 1A D4 05 43 4D 50 2E 42 85 12 7C D3
-40 90 20 CE 04 44 41 44 44 00 85 12 7C D3 00 A0
-34 D4 06 44 41 44 44 2E 42 00 85 12 7C D3 40 A0
-26 D4 03 42 49 54 85 12 7C D3 00 B0 52 D4 05 42
-49 54 2E 42 85 12 7C D3 40 B0 5E D4 03 42 49 43
-85 12 7C D3 00 C0 6C D4 05 42 49 43 2E 42 85 12
-7C D3 40 C0 78 D4 03 42 49 53 85 12 7C D3 00 D0
-86 D4 05 42 49 53 2E 42 85 12 7C D3 40 D0 00 00
-03 58 4F 52 85 12 7C D3 00 E0 A0 D4 05 58 4F 52
-2E 42 85 12 7C D3 40 E0 D2 D3 03 41 4E 44 85 12
-7C D3 00 F0 BA D4 05 41 4E 44 2E 42 85 12 7C D3
-40 F0 22 CC 70 D2 D8 D4 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 0C D4 03 52 52 43 85 12
-D2 D4 00 10 EA D4 05 52 52 43 2E 42 85 12 D2 D4
-40 10 F6 D4 04 53 57 50 42 00 85 12 D2 D4 80 10
-04 D5 03 52 52 41 85 12 D2 D4 00 11 12 D5 05 52
-52 41 2E 42 85 12 D2 D4 40 11 1E D5 03 53 58 54
-85 12 D2 D4 80 11 00 00 04 50 55 53 48 00 85 12
-D2 D4 00 12 38 D5 06 50 55 53 48 2E 42 00 85 12
-D2 D4 40 12 92 D4 04 43 41 4C 4C 00 85 12 D2 D4
-80 12 1A 53 0E 4A 0D 12 84 12 D4 C8 14 C4 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 2C D5
-03 53 3E 3D 86 12 00 38 80 D5 02 53 3C 00 86 12
-00 34 46 D5 03 30 3E 3D 86 12 00 30 94 D5 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-A8 D5 03 55 3E 3D 86 12 00 28 9E D5 03 30 3C 3E
-86 12 00 24 BC D5 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D B2 D5 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 42 D4 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 56 D5 05 42 45 47 49 4E 30 40
-28 C4 E6 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-C6 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 D4 D5 B8 C7
-5E C8 8A D5 06 52 45 50 45 41 54 00 0D 12 84 12
-68 D6 EC D5 5E C8 98 D6 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 28 D6
-03 42 57 31 85 12 96 D6 00 00 B0 D6 03 42 57 32
-85 12 96 D6 00 00 BC D6 03 42 57 33 85 12 96 D6
-00 00 D4 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 D2 D6 00 00 F4 D6
-03 46 57 32 85 12 D2 D6 00 00 00 D7 03 46 57 33
-85 12 D2 D6 00 00 0C D7 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 90 CC FC CB
-5E C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-22 CC 9C D1 56 D7 92 53 C4 21 3E 40 2C 00 84 12
-2A C9 4E CA 34 C4 20 CC 32 D3 6C D7 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E 84 D6 04 52 52 43 4D 00
-85 12 50 D7 50 00 9A D7 04 52 52 41 4D 00 85 12
-50 D7 50 01 A8 D7 04 52 4C 41 4D 00 85 12 50 D7
-50 02 B6 D7 04 52 52 55 4D 00 85 12 50 D7 50 03
-C6 D5 05 50 55 53 48 4D 85 12 50 D7 00 15 D2 D7
-04 50 4F 50 4D 00 85 12 50 D7 00 17
+74 63 68 21 36 C5 FA CC 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A C4 4E C8 48 CB 56 CD AA CC 4E C8
+A8 CB 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 CB 06 43 52 45 41 54
+45 00 B0 12 00 CD BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA CD 04 43 4F 44 45 00 B0 12 00 CD A2 82
+C6 21 0D 12 84 12 F2 CF CC CF 4E C8 A2 CD 07 48
+44 4E 43 4F 44 45 B2 40 D0 CF DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 CD 0C D0
+2A D0 4E C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C D0 2A D0 4E C8 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4 9A CF
+48 CB AA CC E2 CD 4E C8 88 CD 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A CE 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A C4 00 00 C6 C7 02 CC 1A C9 98 CB
+8E C7 34 C4 02 CF 9C C7 14 C4 06 5B 54 48 45 4E
+5D 00 74 CE DC CE 98 CE BA CE 4E C8 9C C7 14 C4
+06 5B 45 4C 53 45 5D 00 74 CE F2 CE 98 CE B8 CE
+4E C8 14 C4 04 5B 49 46 5D 00 74 CE BA CE 3A C4
+B8 CE 70 C7 14 C4 05 0D 0A 6B 6F 20 4A C7 BC C4
+AC C4 3A C4 BA CE A8 CE 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 CF 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 CC 1A C9 82 C9
+26 CF 4E C8 2C CF 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 CF DE C7 4E C8 5E CF B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A CB 06 4D
+41 52 4B 45 52 00 B0 12 00 CD BA 40 85 12 FC FF
+BA 40 5C CF FE FF 28 83 8A 48 00 00 BA 40 AA C4
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A C4
+CA 21 D6 C7 4E C8 85 12 9E CF 66 CC D4 CD 10 C7
+7E CC 52 CE D2 C6 6E CF 00 C9 96 D0 AA D0 8A C8
+14 C9 00 00 46 CF BC CC E2 C9 00 00 85 12 9E CF
+4A D6 B0 D6 F2 D5 00 D7 B8 D5 00 00 84 D3 00 00
+C8 D7 AC D7 1C D6 5A D6 94 D4 00 00 00 00 1C D7
+CA CF 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 CF 24 D0 2A D0 3A D0 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 CC
+09 50 57 52 5F 53 54 41 54 45 85 12 32 D0 D4 D7
+CE C8 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E D0 F3 3F 70 D0 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E D0 30 4D 82 D0 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 D1 FE FF 29 83 B9 40
+E2 C5 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 C5 B2 49 FA C4 B2 49 02 C4 B2 49 00 C6 B2 49
+E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 10 29 83 89 43 00 20 FC 23 B2 43
+06 02 B2 40 FC FF 02 02 F2 D3 26 02 F2 43 22 02
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B0 00
+82 01 B2 40 1E 00 84 01 82 43 88 01 F2 D0 03 00
+0B 02 39 40 80 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 C4 FE C5 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 1A C9 82 C9 DE C7 34 C4 C4 D1 3E CA 34 C4
+DE D1 D8 D1 C6 D1 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 E0 D1
+B2 41 C4 21 3E 41 84 12 0A C4 2B 00 1A C9 82 C9
+DE C7 34 C4 FC D1 3E CA 34 C4 00 CC A8 C7 1A C9
+3E CA 34 C4 00 CC 08 D2 3E 5F E7 3F 3E 40 28 00
+B0 12 A8 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 6E CD
+1C 15 12 12 C4 21 92 53 C4 21 84 12 1A C9 3E CA
+34 C4 50 D2 46 D2 21 53 3E 90 10 00 C6 2B 7F 2D
+52 D2 B2 41 C4 21 C1 3F 0D 12 84 12 02 CC 84 D1
+62 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 A8 D1 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 A8 D1 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 30 D2 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 30 D2 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 30 D2 FA 23
+3C 50 10 00 B0 12 0C D2 EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 02 CC 84 D1 2E D3 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 30 D2 E0 23 3C 50 80 00 B0 12 0C D2 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
+48 CB 4E C8 0A C4 2C 00 58 D2 24 D3 6E D3 09 4B
+2E 4E 0E DC A2 3F 40 CE 03 4D 4F 56 85 12 64 D3
+00 40 78 D3 05 4D 4F 56 2E 42 85 12 64 D3 40 40
+00 00 03 41 44 44 85 12 64 D3 00 50 92 D3 05 41
+44 44 2E 42 85 12 64 D3 40 50 9E D3 04 41 44 44
+43 00 85 12 64 D3 00 60 AC D3 06 41 44 44 43 2E
+42 00 85 12 64 D3 40 60 52 D3 04 53 55 42 43 00
+85 12 64 D3 00 70 CA D3 06 53 55 42 43 2E 42 00
+85 12 64 D3 40 70 D8 D3 03 53 55 42 85 12 64 D3
+00 80 E8 D3 05 53 55 42 2E 42 85 12 64 D3 40 80
+16 CE 03 43 4D 50 85 12 64 D3 00 90 02 D4 05 43
+4D 50 2E 42 85 12 64 D3 40 90 00 CE 04 44 41 44
+44 00 85 12 64 D3 00 A0 1C D4 06 44 41 44 44 2E
+42 00 85 12 64 D3 40 A0 0E D4 03 42 49 54 85 12
+64 D3 00 B0 3A D4 05 42 49 54 2E 42 85 12 64 D3
+40 B0 46 D4 03 42 49 43 85 12 64 D3 00 C0 54 D4
+05 42 49 43 2E 42 85 12 64 D3 40 C0 60 D4 03 42
+49 53 85 12 64 D3 00 D0 6E D4 05 42 49 53 2E 42
+85 12 64 D3 40 D0 00 00 03 58 4F 52 85 12 64 D3
+00 E0 88 D4 05 58 4F 52 2E 42 85 12 64 D3 40 E0
+BA D3 03 41 4E 44 85 12 64 D3 00 F0 A2 D4 05 41
+4E 44 2E 42 85 12 64 D3 40 F0 02 CC 58 D2 C0 D4
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+F4 D3 03 52 52 43 85 12 BA D4 00 10 D2 D4 05 52
+52 43 2E 42 85 12 BA D4 40 10 DE D4 04 53 57 50
+42 00 85 12 BA D4 80 10 EC D4 03 52 52 41 85 12
+BA D4 00 11 FA D4 05 52 52 41 2E 42 85 12 BA D4
+40 11 06 D5 03 53 58 54 85 12 BA D4 80 11 00 00
+04 50 55 53 48 00 85 12 BA D4 00 12 20 D5 06 50
+55 53 48 2E 42 00 85 12 BA D4 40 12 7A D4 04 43
+41 4C 4C 00 85 12 BA D4 80 12 1A 53 0E 4A 0D 12
+84 12 C4 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 C5 14 D5 03 53 3E 3D 86 12 00 38
+68 D5 02 53 3C 00 86 12 00 34 2E D5 03 30 3E 3D
+86 12 00 30 7C D5 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C 90 D5 03 55 3E 3D 86 12
+00 28 86 D5 03 30 3C 3E 86 12 00 24 A4 D5 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D 9A D5 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+2A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 3E D5
+05 42 45 47 49 4E 30 40 28 C4 CE D5 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D AE D4 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 BC D5 A8 C7 4E C8 72 D5 06 52 45 50
+45 41 54 00 0D 12 84 12 50 D6 D4 D5 4E C8 80 D6
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 10 D6 03 42 57 31 85 12 7E D6
+00 00 98 D6 03 42 57 32 85 12 7E D6 00 00 A4 D6
+03 42 57 33 85 12 7E D6 00 00 BC D6 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 BA D6 00 00 DC D6 03 46 57 32 85 12 BA D6
+00 00 E8 D6 03 46 57 33 85 12 BA D6 00 00 F4 D6
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 80 CC DC CB 4E C8 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 02 CC 84 D1 3E D7 92 53
+C4 21 3E 40 2C 00 84 12 1A C9 3E CA 34 C4 00 CC
+1A D3 54 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+6C D6 04 52 52 43 4D 00 85 12 38 D7 50 00 82 D7
+04 52 52 41 4D 00 85 12 38 D7 50 01 90 D7 04 52
+4C 41 4D 00 85 12 38 D7 50 02 9E D7 04 52 52 55
+4D 00 85 12 38 D7 50 03 AE D5 05 50 55 53 48 4D
+85 12 38 D7 00 15 BA D7 04 50 4F 50 4D 00 85 12
+38 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-80 C6 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 20 D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+82 C6 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 08 D1
 q
index 2354635..6b62c01 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF F2 D7 0A D0 33 01
-10 00 81 B6 94 C5 AA C4 C6 C5 9C C5 94 C6 F2 D7
-0A D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 28 CC 42 CC 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF EA D7 02 D0 34 01
+10 00 41 B3 94 C5 AA C4 DA C5 9C C5 94 C6 EA D7
+02 D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 78 C6 B2 49 90 C7 B2 49 22 C7 B2 49 FC C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E0 C7 32 C7
 14 C4 04 1B 5B 37 6D 00 5C C7 A8 C7 34 C4 86 C5
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 C6 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C6 2F 83
-8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C7 08 4E 3E 4F C8 3F 1A C7 04 45 43 48
 4F 00 B2 40 C2 48 C0 C6 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 24 CC 20 C7
+10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 14 CC 20 C7
 60 C8 40 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
 5A CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 C7 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A C8 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C4 24 CC 2C C9 E0 CB
-94 26 3D 40 E8 CB D6 3E EA CB 0A 4E 3E 4F 3D 40
-04 CC 2E 27 3D 40 DA CB 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 CC 3E 4F 3D 40 DA CB BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC C4 14 CC 2C C9 D0 CB
+9C 26 3D 40 D8 CB DE 3E DA CB 0A 4E 3E 4F 3D 40
+F4 CB 36 27 3D 40 CA CB 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 CB 3E 4F 3D 40 CA CB BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CD
-CC 3F 0E CC 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE CB 86 12 20 00 E6 C7 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E C7
-BC C4 D4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
+BC C4 C4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4
 FE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C5 3A C4 3E CC 6C CB 86 41 42 4F 52 54 22 00
+2A C5 3A C4 3E CC 1A CC 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 C8 0A C4 2A C5 5A CB 60 C8 8E C9
-01 27 0D 12 84 12 24 CC 2C C9 94 C9 34 C4 22 CC
+01 27 0D 12 84 12 14 CC 2C C9 94 C9 34 C4 12 CC
 60 C8 00 00 83 5B 27 5D 0D 12 84 12 92 CC 0A C4
 0A C4 5A CB 5A CB 60 C8 A4 CC 81 5B 82 43 BE 21
 30 4D 0C C8 01 5D B2 43 BE 21 30 4D C4 CC 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CC 2C C9 94 C9 A8 C7
-34 C4 22 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
+4F 4E 45 00 0D 12 84 12 14 CC 2C C9 94 C9 A8 C7
+34 C4 12 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
 5A CB 0A C4 5A CB 5A CB 60 C8 BA CC 01 3A 30 12
-56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 CC
+56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 CC
 2C C9 24 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,171 +159,170 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 C5 0C CD 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A C4 60 C8 5A CB 68 CD BC CC
-60 C8 CA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 CB 06 43 52 45
+60 C8 BA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 CB 06 43 52 45
 41 54 45 00 B0 12 12 CD BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC CD 04 43 4F 44 45 00 B0 12 12 CD
-A2 82 C6 21 0D 12 84 12 0C D0 E6 CF 60 C8 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD 26 D0
-60 C8 78 CC 03 41 53 4D B2 40 EA CF DA 21 E6 3F
-00 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CE
-44 D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 D0 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 CD 85 48 49 32
-4C 4F 0D 12 84 12 28 C4 B4 CF 5A CB BC CC F4 CD
-60 C8 9A CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
-00 00 D8 C7 24 CC 2C C9 BA CB A0 C7 34 C4 1C CF
-AE C7 14 C4 06 5B 54 48 45 4E 5D 00 8E CE F6 CE
-B2 CE D4 CE 60 C8 AE C7 14 C4 06 5B 45 4C 53 45
-5D 00 8E CE 0C CF B2 CE D2 CE 60 C8 14 C4 04 5B
-49 46 5D 00 8E CE D4 CE 3A C4 D2 CE 82 C7 14 C4
-05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4 D4 CE
-C2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CF 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CC 2C C9 94 C9 40 CF 60 C8 46 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CF F0 C7 60 C8 78 CF B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C CB 06 4D 41 52 4B 45 52 00
-B0 12 12 CD BA 40 85 12 FC FF BA 40 76 CF FE FF
-28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 E8 C7 60 C8
-85 12 B8 CF 14 CE E6 CD 2C C7 90 CC 6C CE F6 C6
-88 CF 12 C9 B0 D0 C4 D0 9C C8 26 C9 00 00 60 CF
-CE CC F4 C9 00 00 85 12 B8 CF 68 D6 CE D6 10 D6
-1E D7 D6 D5 00 00 A2 D3 00 00 E6 D7 CA D7 3A D6
-78 D6 B2 D4 00 00 00 00 3A D7 E4 CF 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 CF 3E D0
-44 D0 54 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CC 09 50 57 52 5F 53
-54 41 54 45 85 12 4C D0 F2 D7 E0 C8 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 D0 F3 3F 8A D0
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 D0
-30 4D 9C D0 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 D1 FE FF 29 83 B9 40 02 C6 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49 FA C4
-B2 49 02 C4 B2 49 20 C6 B2 49 E4 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 43 06 02 B2 40 FC FF
-02 02 D2 D3 04 02 F2 D3 26 02 F2 43 22 02 B2 40
-00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B0 00 82 01
-B2 40 1E 00 84 01 82 43 88 01 F2 D0 03 00 0B 02
-39 40 80 00 18 42 00 18 18 83 FE 23 19 83 FA 23
-1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 C4
-1E C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52
-C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
-82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12
-2C C9 94 C9 F0 C7 34 C4 E2 D1 50 CA 34 C4 FC D1
-F6 D1 E4 D1 3C 4E 3C 80 87 12 05 24 1C 53 02 20
-2E 4E 01 3C 2E 83 21 52 1B 17 30 41 FE D1 B2 41
-C4 21 3E 41 84 12 0A C4 2B 00 2C C9 94 C9 F0 C7
-34 C4 1A D2 50 CA 34 C4 22 CC BA C7 2C C9 50 CA
-34 C4 22 CC 26 D2 3E 5F E7 3F 3E 40 28 00 B0 12
-C6 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40
-29 00 92 92 C0 21 C4 21 02 20 30 40 80 CD 1C 15
-12 12 C4 21 92 53 C4 21 84 12 2C C9 50 CA 34 C4
-6E D2 64 D2 21 53 3E 90 10 00 C6 2B 7F 2D 70 D2
-B2 41 C4 21 C1 3F 0D 12 84 12 24 CC A2 D1 80 D2
-0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90
-23 00 27 20 92 53 C4 21 B0 12 C6 D1 3C 40 00 03
-0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
-2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
-3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
-19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41
-30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21
-B0 12 C6 D1 ED 3F 7A 90 40 00 16 20 3C 40 20 00
-92 53 C4 21 B0 12 4E D2 0C 20 3C 50 10 00 3E 40
-2B 00 B0 12 4E D2 92 92 C0 21 C4 21 02 24 92 53
-C4 21 8E 10 0C 5E DA 3F B0 12 4E D2 FA 23 3C 50
-10 00 B0 12 2A D2 EF 3F 0C 43 1B 42 C6 21 A2 53
-C6 21 0D 12 84 12 24 CC A2 D1 4C D3 FE 90 26 00
-00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
-4E D2 E0 23 3C 50 80 00 B0 12 2A D2 DB 3F 00 00
-04 52 45 54 49 00 0D 12 84 12 0A C4 00 13 5A CB
-60 C8 0A C4 2C 00 76 D2 42 D3 8C D3 09 4B 2E 4E
-0E DC A2 3F 5A CE 03 4D 4F 56 85 12 82 D3 00 40
-96 D3 05 4D 4F 56 2E 42 85 12 82 D3 40 40 00 00
-03 41 44 44 85 12 82 D3 00 50 B0 D3 05 41 44 44
-2E 42 85 12 82 D3 40 50 BC D3 04 41 44 44 43 00
-85 12 82 D3 00 60 CA D3 06 41 44 44 43 2E 42 00
-85 12 82 D3 40 60 70 D3 04 53 55 42 43 00 85 12
-82 D3 00 70 E8 D3 06 53 55 42 43 2E 42 00 85 12
-82 D3 40 70 F6 D3 03 53 55 42 85 12 82 D3 00 80
-06 D4 05 53 55 42 2E 42 85 12 82 D3 40 80 36 CE
-03 43 4D 50 85 12 82 D3 00 90 20 D4 05 43 4D 50
-2E 42 85 12 82 D3 40 90 22 CE 04 44 41 44 44 00
-85 12 82 D3 00 A0 3A D4 06 44 41 44 44 2E 42 00
-85 12 82 D3 40 A0 2C D4 03 42 49 54 85 12 82 D3
-00 B0 58 D4 05 42 49 54 2E 42 85 12 82 D3 40 B0
-64 D4 03 42 49 43 85 12 82 D3 00 C0 72 D4 05 42
-49 43 2E 42 85 12 82 D3 40 C0 7E D4 03 42 49 53
-85 12 82 D3 00 D0 8C D4 05 42 49 53 2E 42 85 12
-82 D3 40 D0 00 00 03 58 4F 52 85 12 82 D3 00 E0
-A6 D4 05 58 4F 52 2E 42 85 12 82 D3 40 E0 D8 D3
-03 41 4E 44 85 12 82 D3 00 F0 C0 D4 05 41 4E 44
-2E 42 85 12 82 D3 40 F0 24 CC 76 D2 DE D4 0A 4C
-3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 12 D4
-03 52 52 43 85 12 D8 D4 00 10 F0 D4 05 52 52 43
-2E 42 85 12 D8 D4 40 10 FC D4 04 53 57 50 42 00
-85 12 D8 D4 80 10 0A D5 03 52 52 41 85 12 D8 D4
-00 11 18 D5 05 52 52 41 2E 42 85 12 D8 D4 40 11
-24 D5 03 53 58 54 85 12 D8 D4 80 11 00 00 04 50
-55 53 48 00 85 12 D8 D4 00 12 3E D5 06 50 55 53
-48 2E 42 00 85 12 D8 D4 40 12 98 D4 04 43 41 4C
-4C 00 85 12 D8 D4 80 12 1A 53 0E 4A 0D 12 84 12
-D6 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 36 C5 32 D5 03 53 3E 3D 86 12 00 38 86 D5
-02 53 3C 00 86 12 00 34 4C D5 03 30 3E 3D 86 12
-00 30 9A D5 02 30 3C 00 86 12 00 30 00 00 02 55
-3C 00 86 12 00 2C AE D5 03 55 3E 3D 86 12 00 28
-A4 D5 03 30 3C 3E 86 12 00 24 C2 D5 02 30 3D 00
-86 12 00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 0E 4A 30 4D B8 D5 04 54 48 45
-4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89
-0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 48 D4
-04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00
-A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 5C D5 05 42
-45 47 49 4E 30 40 28 C4 EC D5 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11
-3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 C6 21 30 4D CC D4 05 41 47 41 49 4E 0A 4E
-38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
-84 12 DA D5 BA C7 60 C8 90 D5 06 52 45 50 45 41
-54 00 0D 12 84 12 6E D6 F2 D5 60 C8 9E D6 3D 41
-08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21
-00 00 30 4D 2E D6 03 42 57 31 85 12 9C D6 00 00
-B6 D6 03 42 57 32 85 12 9C D6 00 00 C2 D6 03 42
-57 33 85 12 9C D6 00 00 DA D6 3D 41 1A 42 C6 21
-28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21
-8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
-D8 D6 00 00 FA D6 03 46 57 32 85 12 D8 D6 00 00
-06 D7 03 46 57 33 85 12 D8 D6 00 00 12 D7 04 47
-4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
-84 12 92 CC FE CB 60 C8 00 00 05 3F 47 4F 54 4F
-3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
-3E E0 00 08 EC 3F 24 CC A2 D1 5C D7 92 53 C4 21
-3E 40 2C 00 84 12 2C C9 50 CA 34 C4 22 CC 38 D3
-72 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
-08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
-5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 8A D6
-04 52 52 43 4D 00 85 12 56 D7 50 00 A0 D7 04 52
-52 41 4D 00 85 12 56 D7 50 01 AE D7 04 52 4C 41
-4D 00 85 12 56 D7 50 02 BC D7 04 52 52 55 4D 00
-85 12 56 D7 50 03 CC D5 05 50 55 53 48 4D 85 12
-56 D7 00 15 D8 D7 04 50 4F 50 4D 00 85 12 56 D7
-00 17
+A2 82 C6 21 0D 12 84 12 04 D0 DE CF 60 C8 B4 CD
+07 48 44 4E 43 4F 44 45 B2 40 E2 CF DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD
+1E D0 3C D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E D0 3C D0 60 C8
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 CE 85 48 49 32 4C 4F 0D 12 84 12 28 C4
+AC CF 5A CB BC CC F4 CD 60 C8 9A CD 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C CE 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C4 00 00 D8 C7 14 CC 2C C9
+AA CB A0 C7 34 C4 14 CF AE C7 14 C4 06 5B 54 48
+45 4E 5D 00 86 CE EE CE AA CE CC CE 60 C8 AE C7
+14 C4 06 5B 45 4C 53 45 5D 00 86 CE 04 CF AA CE
+CA CE 60 C8 14 C4 04 5B 49 46 5D 00 86 CE CC CE
+3A C4 CA CE 82 C7 14 C4 05 0D 0A 6B 6F 20 5C C7
+BC C4 AC C4 3A C4 CC CE BA CE 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A CF 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 CC 2C C9
+94 C9 38 CF 60 C8 3E CF 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 CF F0 C7 60 C8 70 CF
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C CB
+06 4D 41 52 4B 45 52 00 B0 12 12 CD BA 40 85 12
+FC FF BA 40 6E CF FE FF 28 83 8A 48 00 00 BA 40
+AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A C4 CA 21 E8 C7 60 C8 85 12 B0 CF 78 CC E6 CD
+2C C7 90 CC 64 CE F6 C6 80 CF 12 C9 A8 D0 BC D0
+9C C8 26 C9 00 00 58 CF CE CC F4 C9 00 00 85 12
+B0 CF 60 D6 C6 D6 08 D6 16 D7 CE D5 00 00 9A D3
+00 00 DE D7 C2 D7 32 D6 70 D6 AA D4 00 00 00 00
+32 D7 DC CF 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 CF 36 D0 3C D0 4C D0 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA CC 09 50 57 52 5F 53 54 41 54 45 85 12 44 D0
+EA D7 E0 C8 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 D0 F3 3F 82 D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 D0 30 4D 94 D0 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A D1 FE FF 29 83
+B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49 20 C6
+B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 43 06 02 B2 40 FC FF 02 02 D2 D3 04 02 F2 D3
+26 02 F2 43 22 02 B2 40 00 A5 60 01 B2 40 FF 1E
+80 01 B2 40 B0 00 82 01 B2 40 1E 00 84 01 82 43
+88 01 F2 D0 03 00 0B 02 39 40 80 00 18 42 00 18
+18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18
+1E D2 5E 01 B0 12 F8 C4 1E C6 38 40 C0 21 0A 4E
+39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E
+FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15
+0E 12 12 12 C4 21 84 12 2C C9 94 C9 F0 C7 34 C4
+DA D1 50 CA 34 C4 F4 D1 EE D1 DC D1 3C 4E 3C 80
+87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
+1B 17 30 41 F6 D1 B2 41 C4 21 3E 41 84 12 0A C4
+2B 00 2C C9 94 C9 F0 C7 34 C4 12 D2 50 CA 34 C4
+12 CC BA C7 2C C9 50 CA 34 C4 12 CC 1E D2 3E 5F
+E7 3F 3E 40 28 00 B0 12 BE D1 19 42 C6 21 A2 53
+C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21
+02 20 30 40 80 CD 1C 15 12 12 C4 21 92 53 C4 21
+84 12 2C C9 50 CA 34 C4 66 D2 5C D2 21 53 3E 90
+10 00 C6 2B 7F 2D 68 D2 B2 41 C4 21 C1 3F 0D 12
+84 12 14 CC 9A D1 78 D2 0C 43 1B 42 C6 21 A2 53
+C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21
+B0 12 BE D1 3C 40 00 03 0E 93 1C 24 3C 40 10 03
+1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
+2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
+3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21
+89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
+3C 40 10 02 92 53 C4 21 B0 12 BE D1 ED 3F 7A 90
+40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12 46 D2
+0C 20 3C 50 10 00 3E 40 2B 00 B0 12 46 D2 92 92
+C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F
+B0 12 46 D2 FA 23 3C 50 10 00 B0 12 22 D2 EF 3F
+0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12 14 CC
+9A D1 44 D3 FE 90 26 00 00 00 3E 40 20 00 03 20
+3C 50 82 00 C7 3F B0 12 46 D2 E0 23 3C 50 80 00
+B0 12 22 D2 DB 3F 00 00 04 52 45 54 49 00 0D 12
+84 12 0A C4 00 13 5A CB 60 C8 0A C4 2C 00 6E D2
+3A D3 84 D3 09 4B 2E 4E 0E DC A2 3F 52 CE 03 4D
+4F 56 85 12 7A D3 00 40 8E D3 05 4D 4F 56 2E 42
+85 12 7A D3 40 40 00 00 03 41 44 44 85 12 7A D3
+00 50 A8 D3 05 41 44 44 2E 42 85 12 7A D3 40 50
+B4 D3 04 41 44 44 43 00 85 12 7A D3 00 60 C2 D3
+06 41 44 44 43 2E 42 00 85 12 7A D3 40 60 68 D3
+04 53 55 42 43 00 85 12 7A D3 00 70 E0 D3 06 53
+55 42 43 2E 42 00 85 12 7A D3 40 70 EE D3 03 53
+55 42 85 12 7A D3 00 80 FE D3 05 53 55 42 2E 42
+85 12 7A D3 40 80 28 CE 03 43 4D 50 85 12 7A D3
+00 90 18 D4 05 43 4D 50 2E 42 85 12 7A D3 40 90
+12 CE 04 44 41 44 44 00 85 12 7A D3 00 A0 32 D4
+06 44 41 44 44 2E 42 00 85 12 7A D3 40 A0 24 D4
+03 42 49 54 85 12 7A D3 00 B0 50 D4 05 42 49 54
+2E 42 85 12 7A D3 40 B0 5C D4 03 42 49 43 85 12
+7A D3 00 C0 6A D4 05 42 49 43 2E 42 85 12 7A D3
+40 C0 76 D4 03 42 49 53 85 12 7A D3 00 D0 84 D4
+05 42 49 53 2E 42 85 12 7A D3 40 D0 00 00 03 58
+4F 52 85 12 7A D3 00 E0 9E D4 05 58 4F 52 2E 42
+85 12 7A D3 40 E0 D0 D3 03 41 4E 44 85 12 7A D3
+00 F0 B8 D4 05 41 4E 44 2E 42 85 12 7A D3 40 F0
+14 CC 6E D2 D6 D4 0A 4C 3C F0 70 00 8A 10 3A F0
+0F 00 0C DA 4F 3F 0A D4 03 52 52 43 85 12 D0 D4
+00 10 E8 D4 05 52 52 43 2E 42 85 12 D0 D4 40 10
+F4 D4 04 53 57 50 42 00 85 12 D0 D4 80 10 02 D5
+03 52 52 41 85 12 D0 D4 00 11 10 D5 05 52 52 41
+2E 42 85 12 D0 D4 40 11 1C D5 03 53 58 54 85 12
+D0 D4 80 11 00 00 04 50 55 53 48 00 85 12 D0 D4
+00 12 36 D5 06 50 55 53 48 2E 42 00 85 12 D0 D4
+40 12 90 D4 04 43 41 4C 4C 00 85 12 D0 D4 80 12
+1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 36 C5 2A D5 03 53
+3E 3D 86 12 00 38 7E D5 02 53 3C 00 86 12 00 34
+44 D5 03 30 3E 3D 86 12 00 30 92 D5 02 30 3C 00
+86 12 00 30 00 00 02 55 3C 00 86 12 00 2C A6 D5
+03 55 3E 3D 86 12 00 28 9C D5 03 30 3C 3E 86 12
+00 24 BA D5 02 30 3D 00 86 12 00 20 00 00 02 49
+46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A
+30 4D B0 D5 04 54 48 45 4E 00 1A 42 C6 21 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
+88 DA 00 00 30 4D 40 D4 04 45 4C 53 45 00 1A 42
+C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A
+00 00 E3 3F 54 D5 05 42 45 47 49 4E 30 40 28 C4
+E4 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D C4 D4
+05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
+05 57 48 49 4C 45 0D 12 84 12 D2 D5 BA C7 60 C8
+88 D5 06 52 45 50 45 41 54 00 0D 12 84 12 66 D6
+EA D5 60 C8 96 D6 3D 41 08 4E 3E 4F 2A 48 B2 92
+C4 21 CB 2F 98 42 C6 21 00 00 30 4D 26 D6 03 42
+57 31 85 12 94 D6 00 00 AE D6 03 42 57 32 85 12
+94 D6 00 00 BA D6 03 42 57 33 85 12 94 D6 00 00
+D2 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B
+BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D
+00 00 03 46 57 31 85 12 D0 D6 00 00 F2 D6 03 46
+57 32 85 12 D0 D6 00 00 FE D6 03 46 57 33 85 12
+D0 D6 00 00 0A D7 04 47 4F 54 4F 00 2F 83 8F 4E
+00 00 3E 40 00 3C 0D 12 84 12 92 CC EE CB 60 C8
+00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
+00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 14 CC
+9A D1 54 D7 92 53 C4 21 3E 40 2C 00 84 12 2C C9
+50 CA 34 C4 12 CC 30 D3 6A D7 0A 4E 3E 4F 1A 83
+F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
+08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
+8A 10 5A 06 A6 3E 82 D6 04 52 52 43 4D 00 85 12
+4E D7 50 00 98 D7 04 52 52 41 4D 00 85 12 4E D7
+50 01 A6 D7 04 52 4C 41 4D 00 85 12 4E D7 50 02
+B4 D7 04 52 52 55 4D 00 85 12 4E D7 50 03 C4 D5
+05 50 55 53 48 4D 85 12 4E D7 00 15 D0 D7 04 50
+4F 50 4D 00 85 12 4E D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +331,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 94 C6 02 C6 02 C6 02 C6 02 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 22 D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 1A D1
 q
index 0913f1f..452bea6 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF EC D7 08 D0 33 01
-10 00 81 86 B4 C5 AA C4 B6 C5 8A C5 80 C6 EC D7
-08 D0 6E C6 90 C7 FC C6 D8 C6 3C 21 5E C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 26 CC 40 CC 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF D4 D7 F0 CF 34 01
+10 00 41 87 B6 C5 AA C4 B8 C5 8C C5 82 C6 D4 D7
+F0 CF 70 C6 80 C7 FE C6 DA C6 3C 21 4E C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6C C6 B2 49 8E C7 B2 49 FA C6 B2 49 D6 C6
+B2 49 6E C6 B2 49 7E C7 B2 49 FC C6 B2 49 D8 C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D4 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 DE C7 14 C7 48 C7 34 C4 26 CC 14 C4
-05 1B 5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8
-A6 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C7 9E C8 5A C7 14 C4
-04 1B 5B 30 6D 00 5A C7 26 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 F2 B2 01 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12 8A C5
-78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D 0A 1B
-5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8 0A C4
-23 00 F8 C6 D4 C8 14 C4 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C7 0A C4 40 FF 28 C4 D2 C7 9E C8 14 C4
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C4 7C C5
-00 00 06 41 43 43 45 50 54 00 30 40 6E C6 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C5
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C6 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C6 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 C6 04 45
-43 48 4F 00 B2 40 C2 48 06 C7 82 43 DE 21 38 40
-05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C7 92 43 DE 21 28 42 F1 3F
-2A C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C6 78 C7 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40 90 C7
-0D 12 84 12 14 C4 02 0D 0A 00 5A C7 5E C8 2F 83
+B2 49 DC 21 3D 41 30 40 BC D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 CE C7 16 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7
+C4 C8 96 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C7 8E C8 4A C7
+14 C4 04 1B 5B 30 6D 00 4A C7 16 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 0A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 F2 B2 01 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00 B0 12
+8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4 07 0D
+0A 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7 C4 C8
+0A C4 23 00 FA C6 C4 C8 14 C4 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C7 0A C4 40 FF 28 C4 C2 C7 8E C8
+14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
+7E C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
+58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
+04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
+38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C6 68 C7 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40 80 C7
+0D 12 84 12 14 C4 02 0D 0A 00 4A C7 4E C8 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C6 01 40 2E 4E
-30 4D DC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40 2E 4E
+30 4D CC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 C7 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 C7 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 C8 02 23 53 00 0D 12 84 12
-1A C8 54 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 C8 02 23 53 00 0D 12 84 12
+0A C8 44 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C7 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C7 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C8
-4C C8 EE C4 8C C8 68 C8 5A C7 22 CC F8 C6 5E C8
-42 C7 01 2E 0E 93 E3 37 38 43 E2 3F 86 C8 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 58 CB
-0A C4 22 00 2A C9 F8 C8 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 C8
-82 2E 22 00 0D 12 84 12 E2 C8 0A C4 5A C7 58 CB
-5E C8 F6 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C7
+3C C8 EE C4 7C C8 58 C8 4A C7 02 CC FA C6 4E C8
+2C C7 01 2E 0E 93 E3 37 38 43 E2 3F 76 C8 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 48 CB
+0A C4 22 00 1A C9 E8 C8 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 C8
+82 2E 22 00 0D 12 84 12 D2 C8 0A C4 4A C7 48 CB
+4E C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 21 0C 43 2D 15 3D 40 AC CA 09 43
+00 02 1B 42 DC 21 0C 43 2D 15 3D 40 9C CA 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE CA 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E CA 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,214 +121,214 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E 00 00
-A2 53 C6 21 3E 4F 30 4D E4 C7 05 41 4C 4C 4F 54
-82 5E C6 21 3E 4F 30 4D 56 CB 87 4C 49 54 45 52
+A2 53 C6 21 3E 4F 30 4D 46 CB 87 4C 49 54 45 52
 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52
 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 C8 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC C4 22 CC 2A C9 DE CB 94 26
-3D 40 E6 CB D6 3E E8 CB 0A 4E 3E 4F 3D 40 02 CC
-2E 27 3D 40 D8 CB 1A E2 BE 21 AE 27 0E 12 3E 4F
-30 41 04 CC 3E 4F 3D 40 D8 CB BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 CD CC 3F
-0C CC 86 12 20 00 3F 40 80 20 0E 43 31 40 E0 20
-B2 40 00 20 00 20 82 43 BE 21 84 12 8C C7 BC C4
-D2 CB C2 C7 F4 C7 14 C4 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4 FC C7
+54 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 C8 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC C4 02 CC 1A C9 BE CB 9C 26
+3D 40 C6 CB DE 3E C8 CB 0A 4E 3E 4F 3D 40 E2 CB
+36 27 3D 40 B8 CB 1A E2 BE 21 B6 27 0E 12 3E 4F
+30 41 E4 CB 3E 4F 3D 40 B8 CB BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 CD CC 3F
+EC CB 86 12 20 00 D4 C7 05 41 4C 4C 4F 54 82 5E
+C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 84 12 7C C7 BC C4
+B2 CB B2 C7 E4 C7 14 C4 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4 EC C7
 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A C5
-3A C4 3C CC 6A CB 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 C8 0A C4 2A C5 58 CB 5E C8 8C C9 01 27
-0D 12 84 12 22 CC 2A C9 92 C9 34 C4 20 CC 5E C8
-00 00 83 5B 27 5D 0D 12 84 12 90 CC 0A C4 0A C4
-58 CB 58 CB 5E C8 A2 CC 81 5B 82 43 BE 21 30 4D
-0A C8 01 5D B2 43 BE 21 30 4D C2 CC 81 5C 92 42
+3A C4 2C CC 08 CC 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 C8 0A C4 2A C5 48 CB 4E C8 7C C9 01 27
+0D 12 84 12 02 CC 1A C9 82 C9 34 C4 00 CC 4E C8
+00 00 83 5B 27 5D 0D 12 84 12 80 CC 0A C4 0A C4
+48 CB 48 CB 4E C8 92 CC 81 5B 82 43 BE 21 30 4D
+FA C7 01 5D B2 43 BE 21 30 4D B2 CC 81 5C 92 42
 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 CC 2A C9 92 C9 A6 C7 34 C4
-20 CC F4 C7 34 C4 04 CD 0A C4 0A C4 58 CB 58 CB
-0A C4 58 CB 58 CB 5E C8 B8 CC 01 3A 30 12 54 CD
-92 B3 C6 21 A2 63 C6 21 0D 12 84 12 22 CC 2A C9
-22 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 CC 1A C9 82 C9 96 C7 34 C4
+00 CC E4 C7 34 C4 F4 CC 0A C4 0A C4 48 CB 48 CB
+0A C4 48 CB 48 CB 4E C8 A8 CC 01 3A 30 12 44 CD
+92 B3 C6 21 A2 63 C6 21 0D 12 84 12 02 CC 1A C9
+12 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21
 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52 82 4A
 C6 21 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 21 30 4D 82 9F BC 21 09 20 18 42 B6 21
 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 C5 0A CD 81 3B 82 93 BE 21 97 27
-0D 12 84 12 0A C4 5E C8 58 CB 66 CD BA CC 5E C8
-C8 CB 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
-F8 D0 80 00 00 00 30 4D B2 CB 06 43 52 45 41 54
-45 00 B0 12 10 CD BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA CD 04 43 4F 44 45 00 B0 12 10 CD A2 82
-C6 21 0D 12 84 12 0A D0 E4 CF 5E C8 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 CD 24 D0 5E C8
-76 CC 03 41 53 4D B2 40 E8 CF DA 21 E6 3F FE CD
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 CE 42 D0
-5E C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43
-BE 21 30 40 24 D0 00 00 05 4C 4F 32 48 49 A2 83
-C6 21 1A 42 C6 21 EE 3F B2 CD 85 48 49 32 4C 4F
-0D 12 84 12 28 C4 B2 CF 58 CB BA CC F2 CD 5E C8
-98 CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 CE
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4 00 00
-D6 C7 22 CC 2A C9 B8 CB 9E C7 34 C4 1A CF AC C7
-14 C4 06 5B 54 48 45 4E 5D 00 8C CE F4 CE B0 CE
-D2 CE 5E C8 AC C7 14 C4 06 5B 45 4C 53 45 5D 00
-8C CE 0A CF B0 CE D0 CE 5E C8 14 C4 04 5B 49 46
-5D 00 8C CE D2 CE 3A C4 D0 CE 80 C7 14 C4 05 0D
-0A 6B 6F 20 5A C7 BC C4 AC C4 3A C4 D2 CE C0 CE
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 CF 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 CC 2A C9 92 C9 3E CF 5E C8 44 CF 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E CF
-EE C7 5E C8 76 CF B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A CB 06 4D 41 52 4B 45 52 00 B0 12
-10 CD BA 40 85 12 FC FF BA 40 74 CF FE FF 28 83
-8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00 C6 21
-DD 3E 2E 53 30 4D 0A C4 CA 21 E6 C7 5E C8 85 12
-B6 CF 12 CE E4 CD 0E C7 8E CC 6A CE D0 C6 86 CF
-10 C9 AE D0 C2 D0 9A C8 24 C9 00 00 5E CF CC CC
-F2 C9 00 00 85 12 B6 CF 62 D6 C8 D6 0A D6 18 D7
-D0 D5 00 00 9C D3 00 00 E0 D7 C4 D7 34 D6 72 D6
-AC D4 00 00 00 00 34 D7 E2 CF 3A 40 0C 00 39 40
-D6 21 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 21
-30 4D 92 42 CA 21 DA 21 30 4D BE CF 3C D0 42 D0
-52 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E C6 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 CC 09 50 57 52 5F 53 54 41
-54 45 85 12 4A D0 EC D7 DE C8 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 D0 F3 3F 88 D0 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 21 96 D0 30 4D
-9A D0 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 D1 FE FF 29 83 B9 40 E0 C5 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 C5 B2 49 FA C4 B2 49
-02 C4 B2 49 FE C5 B2 49 E0 FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10 29 83
-89 43 00 20 FC 23 B2 43 06 02 B2 40 FC FF 02 02
-F2 D3 26 02 F2 43 22 02 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 B6 00 82 01 B2 40 F4 00 84 01
-82 43 88 01 F2 D0 03 00 0B 02 39 40 80 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 C4 FC C5 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2A C9 92 C9 EE C7
-34 C4 DC D1 4E CA 34 C4 F6 D1 F0 D1 DE D1 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 F8 D1 B2 41 C4 21 3E 41 84 12
-0A C4 2B 00 2A C9 92 C9 EE C7 34 C4 14 D2 4E CA
-34 C4 20 CC B8 C7 2A C9 4E CA 34 C4 20 CC 20 D2
-3E 5F E7 3F 3E 40 28 00 B0 12 C0 D1 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 7E CD 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2A C9 4E CA 34 C4 68 D2 5E D2 21 53
-3E 90 10 00 C6 2B 7F 2D 6A D2 B2 41 C4 21 C1 3F
-0D 12 84 12 22 CC 9C D1 7A D2 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 C0 D1 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 C0 D1 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-48 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 48 D2
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 48 D2 FA 23 3C 50 10 00 B0 12 24 D2
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-22 CC 9C D1 46 D3 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 48 D2 E0 23 3C 50
-80 00 B0 12 24 D2 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A C4 00 13 58 CB 5E C8 0A C4 2C 00
-70 D2 3C D3 86 D3 09 4B 2E 4E 0E DC A2 3F 58 CE
-03 4D 4F 56 85 12 7C D3 00 40 90 D3 05 4D 4F 56
-2E 42 85 12 7C D3 40 40 00 00 03 41 44 44 85 12
-7C D3 00 50 AA D3 05 41 44 44 2E 42 85 12 7C D3
-40 50 B6 D3 04 41 44 44 43 00 85 12 7C D3 00 60
-C4 D3 06 41 44 44 43 2E 42 00 85 12 7C D3 40 60
-6A D3 04 53 55 42 43 00 85 12 7C D3 00 70 E2 D3
-06 53 55 42 43 2E 42 00 85 12 7C D3 40 70 F0 D3
-03 53 55 42 85 12 7C D3 00 80 00 D4 05 53 55 42
-2E 42 85 12 7C D3 40 80 34 CE 03 43 4D 50 85 12
-7C D3 00 90 1A D4 05 43 4D 50 2E 42 85 12 7C D3
-40 90 20 CE 04 44 41 44 44 00 85 12 7C D3 00 A0
-34 D4 06 44 41 44 44 2E 42 00 85 12 7C D3 40 A0
-26 D4 03 42 49 54 85 12 7C D3 00 B0 52 D4 05 42
-49 54 2E 42 85 12 7C D3 40 B0 5E D4 03 42 49 43
-85 12 7C D3 00 C0 6C D4 05 42 49 43 2E 42 85 12
-7C D3 40 C0 78 D4 03 42 49 53 85 12 7C D3 00 D0
-86 D4 05 42 49 53 2E 42 85 12 7C D3 40 D0 00 00
-03 58 4F 52 85 12 7C D3 00 E0 A0 D4 05 58 4F 52
-2E 42 85 12 7C D3 40 E0 D2 D3 03 41 4E 44 85 12
-7C D3 00 F0 BA D4 05 41 4E 44 2E 42 85 12 7C D3
-40 F0 22 CC 70 D2 D8 D4 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 0C D4 03 52 52 43 85 12
-D2 D4 00 10 EA D4 05 52 52 43 2E 42 85 12 D2 D4
-40 10 F6 D4 04 53 57 50 42 00 85 12 D2 D4 80 10
-04 D5 03 52 52 41 85 12 D2 D4 00 11 12 D5 05 52
-52 41 2E 42 85 12 D2 D4 40 11 1E D5 03 53 58 54
-85 12 D2 D4 80 11 00 00 04 50 55 53 48 00 85 12
-D2 D4 00 12 38 D5 06 50 55 53 48 2E 42 00 85 12
-D2 D4 40 12 92 D4 04 43 41 4C 4C 00 85 12 D2 D4
-80 12 1A 53 0E 4A 0D 12 84 12 D4 C8 14 C4 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 2C D5
-03 53 3E 3D 86 12 00 38 80 D5 02 53 3C 00 86 12
-00 34 46 D5 03 30 3E 3D 86 12 00 30 94 D5 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-A8 D5 03 55 3E 3D 86 12 00 28 9E D5 03 30 3C 3E
-86 12 00 24 BC D5 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D B2 D5 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 42 D4 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F 56 D5 05 42 45 47 49 4E 30 40
-28 C4 E6 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-C6 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 D4 D5 B8 C7
-5E C8 8A D5 06 52 45 50 45 41 54 00 0D 12 84 12
-68 D6 EC D5 5E C8 98 D6 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 28 D6
-03 42 57 31 85 12 96 D6 00 00 B0 D6 03 42 57 32
-85 12 96 D6 00 00 BC D6 03 42 57 33 85 12 96 D6
-00 00 D4 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 D2 D6 00 00 F4 D6
-03 46 57 32 85 12 D2 D6 00 00 00 D7 03 46 57 33
-85 12 D2 D6 00 00 0C D7 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 90 CC FC CB
-5E C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-22 CC 9C D1 56 D7 92 53 C4 21 3E 40 2C 00 84 12
-2A C9 4E CA 34 C4 20 CC 32 D3 6C D7 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E 84 D6 04 52 52 43 4D 00
-85 12 50 D7 50 00 9A D7 04 52 52 41 4D 00 85 12
-50 D7 50 01 A8 D7 04 52 4C 41 4D 00 85 12 50 D7
-50 02 B6 D7 04 52 52 55 4D 00 85 12 50 D7 50 03
-C6 D5 05 50 55 53 48 4D 85 12 50 D7 00 15 D2 D7
-04 50 4F 50 4D 00 85 12 50 D7 00 17
+74 63 68 21 36 C5 FA CC 81 3B 82 93 BE 21 97 27
+0D 12 84 12 0A C4 4E C8 48 CB 56 CD AA CC 4E C8
+A8 CB 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 21
+F8 D0 80 00 00 00 30 4D 92 CB 06 43 52 45 41 54
+45 00 B0 12 00 CD BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA CD 04 43 4F 44 45 00 B0 12 00 CD A2 82
+C6 21 0D 12 84 12 F2 CF CC CF 4E C8 A2 CD 07 48
+44 4E 43 4F 44 45 B2 40 D0 CF DA 21 EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 CD 0C D0
+2A D0 4E C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
+B2 43 BE 21 0D 12 84 12 0C D0 2A D0 4E C8 00 00
+05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21 EB 3F
+EE CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4 9A CF
+48 CB AA CC E2 CD 4E C8 88 CD 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A CE 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A C4 00 00 C6 C7 02 CC 1A C9 98 CB
+8E C7 34 C4 02 CF 9C C7 14 C4 06 5B 54 48 45 4E
+5D 00 74 CE DC CE 98 CE BA CE 4E C8 9C C7 14 C4
+06 5B 45 4C 53 45 5D 00 74 CE F2 CE 98 CE B8 CE
+4E C8 14 C4 04 5B 49 46 5D 00 74 CE BA CE 3A C4
+B8 CE 70 C7 14 C4 05 0D 0A 6B 6F 20 4A C7 BC C4
+AC C4 3A C4 BA CE A8 CE 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 CF 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 CC 1A C9 82 C9
+26 CF 4E C8 2C CF 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 CF DE C7 4E C8 5E CF B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A CB 06 4D
+41 52 4B 45 52 00 B0 12 00 CD BA 40 85 12 FC FF
+BA 40 5C CF FE FF 28 83 8A 48 00 00 BA 40 AA C4
+04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D 0A C4
+CA 21 D6 C7 4E C8 85 12 9E CF 66 CC D4 CD 10 C7
+7E CC 52 CE D2 C6 6E CF 00 C9 96 D0 AA D0 8A C8
+14 C9 00 00 46 CF BC CC E2 C9 00 00 85 12 9E CF
+4A D6 B0 D6 F2 D5 00 D7 B8 D5 00 00 84 D3 00 00
+C8 D7 AC D7 1C D6 5A D6 94 D4 00 00 00 00 1C D7
+CA CF 3A 40 0C 00 39 40 D6 21 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 21 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21 DA 21
+30 4D A6 CF 24 D0 2A D0 3A D0 1A 42 20 18 82 4A
+C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 CC
+09 50 57 52 5F 53 54 41 54 45 85 12 32 D0 D4 D7
+CE C8 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E D0 F3 3F 70 D0 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 21 7E D0 30 4D 82 D0 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 D1 FE FF 29 83 B9 40
+E2 C5 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 C5 B2 49 FA C4 B2 49 02 C4 B2 49 00 C6 B2 49
+E0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20 3F 40
+80 20 39 40 00 10 29 83 89 43 00 20 FC 23 B2 43
+06 02 B2 40 FC FF 02 02 F2 D3 26 02 F2 43 22 02
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B6 00
+82 01 B2 40 F4 00 84 01 82 43 88 01 F2 D0 03 00
+0B 02 39 40 80 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 C4 FE C5 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 1A C9 82 C9 DE C7 34 C4 C4 D1 3E CA 34 C4
+DE D1 D8 D1 C6 D1 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 E0 D1
+B2 41 C4 21 3E 41 84 12 0A C4 2B 00 1A C9 82 C9
+DE C7 34 C4 FC D1 3E CA 34 C4 00 CC A8 C7 1A C9
+3E CA 34 C4 00 CC 08 D2 3E 5F E7 3F 3E 40 28 00
+B0 12 A8 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 6E CD
+1C 15 12 12 C4 21 92 53 C4 21 84 12 1A C9 3E CA
+34 C4 50 D2 46 D2 21 53 3E 90 10 00 C6 2B 7F 2D
+52 D2 B2 41 C4 21 C1 3F 0D 12 84 12 02 CC 84 D1
+62 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 A8 D1 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 A8 D1 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 30 D2 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 30 D2 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 30 D2 FA 23
+3C 50 10 00 B0 12 0C D2 EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 02 CC 84 D1 2E D3 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 30 D2 E0 23 3C 50 80 00 B0 12 0C D2 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
+48 CB 4E C8 0A C4 2C 00 58 D2 24 D3 6E D3 09 4B
+2E 4E 0E DC A2 3F 40 CE 03 4D 4F 56 85 12 64 D3
+00 40 78 D3 05 4D 4F 56 2E 42 85 12 64 D3 40 40
+00 00 03 41 44 44 85 12 64 D3 00 50 92 D3 05 41
+44 44 2E 42 85 12 64 D3 40 50 9E D3 04 41 44 44
+43 00 85 12 64 D3 00 60 AC D3 06 41 44 44 43 2E
+42 00 85 12 64 D3 40 60 52 D3 04 53 55 42 43 00
+85 12 64 D3 00 70 CA D3 06 53 55 42 43 2E 42 00
+85 12 64 D3 40 70 D8 D3 03 53 55 42 85 12 64 D3
+00 80 E8 D3 05 53 55 42 2E 42 85 12 64 D3 40 80
+16 CE 03 43 4D 50 85 12 64 D3 00 90 02 D4 05 43
+4D 50 2E 42 85 12 64 D3 40 90 00 CE 04 44 41 44
+44 00 85 12 64 D3 00 A0 1C D4 06 44 41 44 44 2E
+42 00 85 12 64 D3 40 A0 0E D4 03 42 49 54 85 12
+64 D3 00 B0 3A D4 05 42 49 54 2E 42 85 12 64 D3
+40 B0 46 D4 03 42 49 43 85 12 64 D3 00 C0 54 D4
+05 42 49 43 2E 42 85 12 64 D3 40 C0 60 D4 03 42
+49 53 85 12 64 D3 00 D0 6E D4 05 42 49 53 2E 42
+85 12 64 D3 40 D0 00 00 03 58 4F 52 85 12 64 D3
+00 E0 88 D4 05 58 4F 52 2E 42 85 12 64 D3 40 E0
+BA D3 03 41 4E 44 85 12 64 D3 00 F0 A2 D4 05 41
+4E 44 2E 42 85 12 64 D3 40 F0 02 CC 58 D2 C0 D4
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+F4 D3 03 52 52 43 85 12 BA D4 00 10 D2 D4 05 52
+52 43 2E 42 85 12 BA D4 40 10 DE D4 04 53 57 50
+42 00 85 12 BA D4 80 10 EC D4 03 52 52 41 85 12
+BA D4 00 11 FA D4 05 52 52 41 2E 42 85 12 BA D4
+40 11 06 D5 03 53 58 54 85 12 BA D4 80 11 00 00
+04 50 55 53 48 00 85 12 BA D4 00 12 20 D5 06 50
+55 53 48 2E 42 00 85 12 BA D4 40 12 7A D4 04 43
+41 4C 4C 00 85 12 BA D4 80 12 1A 53 0E 4A 0D 12
+84 12 C4 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 C5 14 D5 03 53 3E 3D 86 12 00 38
+68 D5 02 53 3C 00 86 12 00 34 2E D5 03 30 3E 3D
+86 12 00 30 7C D5 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C 90 D5 03 55 3E 3D 86 12
+00 28 86 D5 03 30 3C 3E 86 12 00 24 A4 D5 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D 9A D5 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+2A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 3E D5
+05 42 45 47 49 4E 30 40 28 C4 CE D5 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D AE D4 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 BC D5 A8 C7 4E C8 72 D5 06 52 45 50
+45 41 54 00 0D 12 84 12 50 D6 D4 D5 4E C8 80 D6
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 10 D6 03 42 57 31 85 12 7E D6
+00 00 98 D6 03 42 57 32 85 12 7E D6 00 00 A4 D6
+03 42 57 33 85 12 7E D6 00 00 BC D6 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 BA D6 00 00 DC D6 03 46 57 32 85 12 BA D6
+00 00 E8 D6 03 46 57 33 85 12 BA D6 00 00 F4 D6
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 80 CC DC CB 4E C8 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 02 CC 84 D1 3E D7 92 53
+C4 21 3E 40 2C 00 84 12 1A C9 3E CA 34 C4 00 CC
+1A D3 54 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+6C D6 04 52 52 43 4D 00 85 12 38 D7 50 00 82 D7
+04 52 52 41 4D 00 85 12 38 D7 50 01 90 D7 04 52
+4C 41 4D 00 85 12 38 D7 50 02 9E D7 04 52 52 55
+4D 00 85 12 38 D7 50 03 AE D5 05 50 55 53 48 4D
+85 12 38 D7 00 15 BA D7 04 50 4F 50 4D 00 85 12
+38 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-80 C6 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 20 D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+82 C6 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 08 D1
 q
index 605f98a..56b4116 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF F2 D7 0A D0 33 01
-10 00 81 B6 94 C5 AA C4 C6 C5 9C C5 94 C6 F2 D7
-0A D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 28 CC 42 CC 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF EA D7 02 D0 34 01
+10 00 41 B3 94 C5 AA C4 DA C5 9C C5 94 C6 EA D7
+02 D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 78 C6 B2 49 90 C7 B2 49 22 C7 B2 49 FC C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 D6 D0 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 CE D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E0 C7 32 C7
 14 C4 04 1B 5B 37 6D 00 5C C7 A8 C7 34 C4 86 C5
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 C6 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C6 2F 83
-8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C7 08 4E 3E 4F C8 3F 1A C7 04 45 43 48
 4F 00 B2 40 C2 48 C0 C6 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 24 CC 20 C7
+10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 14 CC 20 C7
 60 C8 40 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
 5A CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
@@ -122,34 +122,34 @@ B0 12 66 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 3E 4F 30 4D E6 C7 05 41 4C 4C
-4F 54 82 5E C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
+00 00 A2 53 C6 21 3E 4F 30 4D 58 CB 87 4C 49 54
 45 52 41 4C 82 93 BE 21 0D 24 09 4E 1A 42 C6 21
 A2 52 C6 21 BA 40 0A C4 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 C8 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A C8 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C4 24 CC 2C C9 E0 CB
-94 26 3D 40 E8 CB D6 3E EA CB 0A 4E 3E 4F 3D 40
-04 CC 2E 27 3D 40 DA CB 1A E2 BE 21 AE 27 0E 12
-3E 4F 30 41 06 CC 3E 4F 3D 40 DA CB BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC C4 14 CC 2C C9 D0 CB
+9C 26 3D 40 D8 CB DE 3E DA CB 0A 4E 3E 4F 3D 40
+F4 CB 36 27 3D 40 CA CB 1A E2 BE 21 B6 27 0E 12
+3E 4F 30 41 F6 CB 3E 4F 3D 40 CA CB BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CD
-CC 3F 0E CC 86 12 20 00 3F 40 80 20 0E 43 31 40
+CC 3F FE CB 86 12 20 00 E6 C7 05 41 4C 4C 4F 54
+82 5E C6 21 3E 4F 30 4D 3F 40 80 20 0E 43 31 40
 E0 20 B2 40 00 20 00 20 82 43 BE 21 84 12 8E C7
-BC C4 D4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
+BC C4 C4 CB C4 C7 F6 C7 14 C4 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C5 0A C4 40 FF 28 C4
 FE C7 14 C4 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C5 3A C4 3E CC 6C CB 86 41 42 4F 52 54 22 00
+2A C5 3A C4 3E CC 1A CC 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 C8 0A C4 2A C5 5A CB 60 C8 8E C9
-01 27 0D 12 84 12 24 CC 2C C9 94 C9 34 C4 22 CC
+01 27 0D 12 84 12 14 CC 2C C9 94 C9 34 C4 12 CC
 60 C8 00 00 83 5B 27 5D 0D 12 84 12 92 CC 0A C4
 0A C4 5A CB 5A CB 60 C8 A4 CC 81 5B 82 43 BE 21
 30 4D 0C C8 01 5D B2 43 BE 21 30 4D C4 CC 81 5C
 92 42 C0 21 C4 21 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CC 2C C9 94 C9 A8 C7
-34 C4 22 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
+4F 4E 45 00 0D 12 84 12 14 CC 2C C9 94 C9 A8 C7
+34 C4 12 CC F6 C7 34 C4 06 CD 0A C4 0A C4 5A CB
 5A CB 0A C4 5A CB 5A CB 60 C8 BA CC 01 3A 30 12
-56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 24 CC
+56 CD 92 B3 C6 21 A2 63 C6 21 0D 12 84 12 14 CC
 2C C9 24 CD 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 21 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 21 82 49 B8 21 82 4A BA 21 82 4F BC 21 2A 52
@@ -159,171 +159,170 @@ B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C4 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 C5 0C CD 81 3B 82 93 BE 21
 97 27 0D 12 84 12 0A C4 60 C8 5A CB 68 CD BC CC
-60 C8 CA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 21 F8 D0 80 00 00 00 30 4D B4 CB 06 43 52 45
+60 C8 BA CB 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 21 F8 D0 80 00 00 00 30 4D A4 CB 06 43 52 45
 41 54 45 00 B0 12 12 CD BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC CD 04 43 4F 44 45 00 B0 12 12 CD
-A2 82 C6 21 0D 12 84 12 0C D0 E6 CF 60 C8 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD 26 D0
-60 C8 78 CC 03 41 53 4D B2 40 EA CF DA 21 E6 3F
-00 CE 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CE
-44 D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42 C6 21
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 21
-B2 43 BE 21 30 40 26 D0 00 00 05 4C 4F 32 48 49
-A2 83 C6 21 1A 42 C6 21 EE 3F B4 CD 85 48 49 32
-4C 4F 0D 12 84 12 28 C4 B4 CF 5A CB BC CC F4 CD
-60 C8 9A CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
-00 00 D8 C7 24 CC 2C C9 BA CB A0 C7 34 C4 1C CF
-AE C7 14 C4 06 5B 54 48 45 4E 5D 00 8E CE F6 CE
-B2 CE D4 CE 60 C8 AE C7 14 C4 06 5B 45 4C 53 45
-5D 00 8E CE 0C CF B2 CE D2 CE 60 C8 14 C4 04 5B
-49 46 5D 00 8E CE D4 CE 3A C4 D2 CE 82 C7 14 C4
-05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4 D4 CE
-C2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CF 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CC 2C C9 94 C9 40 CF 60 C8 46 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CF F0 C7 60 C8 78 CF B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C CB 06 4D 41 52 4B 45 52 00
-B0 12 12 CD BA 40 85 12 FC FF BA 40 76 CF FE FF
-28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
-C6 21 DD 3E 2E 53 30 4D 0A C4 CA 21 E8 C7 60 C8
-85 12 B8 CF 14 CE E6 CD 2C C7 90 CC 6C CE F6 C6
-88 CF 12 C9 B0 D0 C4 D0 9C C8 26 C9 00 00 60 CF
-CE CC F4 C9 00 00 85 12 B8 CF 68 D6 CE D6 10 D6
-1E D7 D6 D5 00 00 A2 D3 00 00 E6 D7 CA D7 3A D6
-78 D6 B2 D4 00 00 00 00 3A D7 E4 CF 3A 40 0C 00
-39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 21 30 4D 92 42 CA 21 DA 21 30 4D C0 CF 3E D0
-44 D0 54 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
-C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CC 09 50 57 52 5F 53
-54 41 54 45 85 12 4C D0 F2 D7 E0 C8 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 D0 F3 3F 8A D0
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 98 D0
-30 4D 9C D0 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 D1 FE FF 29 83 B9 40 02 C6 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49 FA C4
-B2 49 02 C4 B2 49 20 C6 B2 49 E4 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 10
-29 83 89 43 00 20 FC 23 B2 43 06 02 B2 40 FC FF
-02 02 D2 D3 04 02 F2 D3 26 02 F2 43 22 02 B2 40
-00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B6 00 82 01
-B2 40 F4 00 84 01 82 43 88 01 F2 D0 03 00 0B 02
-39 40 80 00 18 42 00 18 18 83 FE 23 19 83 FA 23
-1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12 F8 C4
-1E C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E 1E 52
-C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
-82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21 84 12
-2C C9 94 C9 F0 C7 34 C4 E2 D1 50 CA 34 C4 FC D1
-F6 D1 E4 D1 3C 4E 3C 80 87 12 05 24 1C 53 02 20
-2E 4E 01 3C 2E 83 21 52 1B 17 30 41 FE D1 B2 41
-C4 21 3E 41 84 12 0A C4 2B 00 2C C9 94 C9 F0 C7
-34 C4 1A D2 50 CA 34 C4 22 CC BA C7 2C C9 50 CA
-34 C4 22 CC 26 D2 3E 5F E7 3F 3E 40 28 00 B0 12
-C6 D1 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 40
-29 00 92 92 C0 21 C4 21 02 20 30 40 80 CD 1C 15
-12 12 C4 21 92 53 C4 21 84 12 2C C9 50 CA 34 C4
-6E D2 64 D2 21 53 3E 90 10 00 C6 2B 7F 2D 70 D2
-B2 41 C4 21 C1 3F 0D 12 84 12 24 CC A2 D1 80 D2
-0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90
-23 00 27 20 92 53 C4 21 B0 12 C6 D1 3C 40 00 03
-0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
-2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
-3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
-19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F 3D 41
-30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 21
-B0 12 C6 D1 ED 3F 7A 90 40 00 16 20 3C 40 20 00
-92 53 C4 21 B0 12 4E D2 0C 20 3C 50 10 00 3E 40
-2B 00 B0 12 4E D2 92 92 C0 21 C4 21 02 24 92 53
-C4 21 8E 10 0C 5E DA 3F B0 12 4E D2 FA 23 3C 50
-10 00 B0 12 2A D2 EF 3F 0C 43 1B 42 C6 21 A2 53
-C6 21 0D 12 84 12 24 CC A2 D1 4C D3 FE 90 26 00
-00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
-4E D2 E0 23 3C 50 80 00 B0 12 2A D2 DB 3F 00 00
-04 52 45 54 49 00 0D 12 84 12 0A C4 00 13 5A CB
-60 C8 0A C4 2C 00 76 D2 42 D3 8C D3 09 4B 2E 4E
-0E DC A2 3F 5A CE 03 4D 4F 56 85 12 82 D3 00 40
-96 D3 05 4D 4F 56 2E 42 85 12 82 D3 40 40 00 00
-03 41 44 44 85 12 82 D3 00 50 B0 D3 05 41 44 44
-2E 42 85 12 82 D3 40 50 BC D3 04 41 44 44 43 00
-85 12 82 D3 00 60 CA D3 06 41 44 44 43 2E 42 00
-85 12 82 D3 40 60 70 D3 04 53 55 42 43 00 85 12
-82 D3 00 70 E8 D3 06 53 55 42 43 2E 42 00 85 12
-82 D3 40 70 F6 D3 03 53 55 42 85 12 82 D3 00 80
-06 D4 05 53 55 42 2E 42 85 12 82 D3 40 80 36 CE
-03 43 4D 50 85 12 82 D3 00 90 20 D4 05 43 4D 50
-2E 42 85 12 82 D3 40 90 22 CE 04 44 41 44 44 00
-85 12 82 D3 00 A0 3A D4 06 44 41 44 44 2E 42 00
-85 12 82 D3 40 A0 2C D4 03 42 49 54 85 12 82 D3
-00 B0 58 D4 05 42 49 54 2E 42 85 12 82 D3 40 B0
-64 D4 03 42 49 43 85 12 82 D3 00 C0 72 D4 05 42
-49 43 2E 42 85 12 82 D3 40 C0 7E D4 03 42 49 53
-85 12 82 D3 00 D0 8C D4 05 42 49 53 2E 42 85 12
-82 D3 40 D0 00 00 03 58 4F 52 85 12 82 D3 00 E0
-A6 D4 05 58 4F 52 2E 42 85 12 82 D3 40 E0 D8 D3
-03 41 4E 44 85 12 82 D3 00 F0 C0 D4 05 41 4E 44
-2E 42 85 12 82 D3 40 F0 24 CC 76 D2 DE D4 0A 4C
-3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 12 D4
-03 52 52 43 85 12 D8 D4 00 10 F0 D4 05 52 52 43
-2E 42 85 12 D8 D4 40 10 FC D4 04 53 57 50 42 00
-85 12 D8 D4 80 10 0A D5 03 52 52 41 85 12 D8 D4
-00 11 18 D5 05 52 52 41 2E 42 85 12 D8 D4 40 11
-24 D5 03 53 58 54 85 12 D8 D4 80 11 00 00 04 50
-55 53 48 00 85 12 D8 D4 00 12 3E D5 06 50 55 53
-48 2E 42 00 85 12 D8 D4 40 12 98 D4 04 43 41 4C
-4C 00 85 12 D8 D4 80 12 1A 53 0E 4A 0D 12 84 12
-D6 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 36 C5 32 D5 03 53 3E 3D 86 12 00 38 86 D5
-02 53 3C 00 86 12 00 34 4C D5 03 30 3E 3D 86 12
-00 30 9A D5 02 30 3C 00 86 12 00 30 00 00 02 55
-3C 00 86 12 00 2C AE D5 03 55 3E 3D 86 12 00 28
-A4 D5 03 30 3C 3E 86 12 00 24 C2 D5 02 30 3D 00
-86 12 00 20 00 00 02 49 46 00 1A 42 C6 21 8A 4E
-00 00 A2 53 C6 21 0E 4A 30 4D B8 D5 04 54 48 45
-4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53 0A 89
-0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 48 D4
-04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C 00 00
-A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 5C D5 05 42
-45 47 49 4E 30 40 28 C4 EC D5 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89 0A 11
-3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 C6 21 30 4D CC D4 05 41 47 41 49 4E 0A 4E
-38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
-84 12 DA D5 BA C7 60 C8 90 D5 06 52 45 50 45 41
-54 00 0D 12 84 12 6E D6 F2 D5 60 C8 9E D6 3D 41
-08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42 C6 21
-00 00 30 4D 2E D6 03 42 57 31 85 12 9C D6 00 00
-B6 D6 03 42 57 32 85 12 9C D6 00 00 C2 D6 03 42
-57 33 85 12 9C D6 00 00 DA D6 3D 41 1A 42 C6 21
-28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53 C6 21
-8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
-D8 D6 00 00 FA D6 03 46 57 32 85 12 D8 D6 00 00
-06 D7 03 46 57 33 85 12 D8 D6 00 00 12 D7 04 47
-4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
-84 12 92 CC FE CB 60 C8 00 00 05 3F 47 4F 54 4F
-3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
-3E E0 00 08 EC 3F 24 CC A2 D1 5C D7 92 53 C4 21
-3E 40 2C 00 84 12 2C C9 50 CA 34 C4 22 CC 38 D3
-72 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
-08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
-5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 8A D6
-04 52 52 43 4D 00 85 12 56 D7 50 00 A0 D7 04 52
-52 41 4D 00 85 12 56 D7 50 01 AE D7 04 52 4C 41
-4D 00 85 12 56 D7 50 02 BC D7 04 52 52 55 4D 00
-85 12 56 D7 50 03 CC D5 05 50 55 53 48 4D 85 12
-56 D7 00 15 D8 D7 04 50 4F 50 4D 00 85 12 56 D7
-00 17
+A2 82 C6 21 0D 12 84 12 04 D0 DE CF 60 C8 B4 CD
+07 48 44 4E 43 4F 44 45 B2 40 E2 CF DA 21 EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CD
+1E D0 3C D0 60 C8 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 21 BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 21 B2 43 BE 21 0D 12 84 12 1E D0 3C D0 60 C8
+00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
+EB 3F 00 CE 85 48 49 32 4C 4F 0D 12 84 12 28 C4
+AC CF 5A CB BC CC F4 CD 60 C8 9A CD 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C CE 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C4 00 00 D8 C7 14 CC 2C C9
+AA CB A0 C7 34 C4 14 CF AE C7 14 C4 06 5B 54 48
+45 4E 5D 00 86 CE EE CE AA CE CC CE 60 C8 AE C7
+14 C4 06 5B 45 4C 53 45 5D 00 86 CE 04 CF AA CE
+CA CE 60 C8 14 C4 04 5B 49 46 5D 00 86 CE CC CE
+3A C4 CA CE 82 C7 14 C4 05 0D 0A 6B 6F 20 5C C7
+BC C4 AC C4 3A C4 CC CE BA CE 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A CF 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 CC 2C C9
+94 C9 38 CF 60 C8 3E CF 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 CF F0 C7 60 C8 70 CF
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C CB
+06 4D 41 52 4B 45 52 00 B0 12 12 CD BA 40 85 12
+FC FF BA 40 6E CF FE FF 28 83 8A 48 00 00 BA 40
+AA C4 04 00 B2 50 06 00 C6 21 E1 3E 2E 53 30 4D
+0A C4 CA 21 E8 C7 60 C8 85 12 B0 CF 78 CC E6 CD
+2C C7 90 CC 64 CE F6 C6 80 CF 12 C9 A8 D0 BC D0
+9C C8 26 C9 00 00 58 CF CE CC F4 C9 00 00 85 12
+B0 CF 60 D6 C6 D6 08 D6 16 D7 CE D5 00 00 9A D3
+00 00 DE D7 C2 D7 32 D6 70 D6 AA D4 00 00 00 00
+32 D7 DC CF 3A 40 0C 00 39 40 D6 21 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
+DA 21 30 4D B8 CF 36 D0 3C D0 4C D0 1A 42 20 18
+82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA CC 09 50 57 52 5F 53 54 41 54 45 85 12 44 D0
+EA D7 E0 C8 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 D0 F3 3F 82 D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 90 D0 30 4D 94 D0 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A D1 FE FF 29 83
+B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49 20 C6
+B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
+3F 40 80 20 39 40 00 10 29 83 89 43 00 20 FC 23
+B2 43 06 02 B2 40 FC FF 02 02 D2 D3 04 02 F2 D3
+26 02 F2 43 22 02 B2 40 00 A5 60 01 B2 40 FF 1E
+80 01 B2 40 B6 00 82 01 B2 40 F4 00 84 01 82 43
+88 01 F2 D0 03 00 0B 02 39 40 80 00 18 42 00 18
+18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43 08 18
+1E D2 5E 01 B0 12 F8 C4 1E C6 38 40 C0 21 0A 4E
+39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24 7A 9E
+FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D 1C 15
+0E 12 12 12 C4 21 84 12 2C C9 94 C9 F0 C7 34 C4
+DA D1 50 CA 34 C4 F4 D1 EE D1 DC D1 3C 4E 3C 80
+87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
+1B 17 30 41 F6 D1 B2 41 C4 21 3E 41 84 12 0A C4
+2B 00 2C C9 94 C9 F0 C7 34 C4 12 D2 50 CA 34 C4
+12 CC BA C7 2C C9 50 CA 34 C4 12 CC 1E D2 3E 5F
+E7 3F 3E 40 28 00 B0 12 BE D1 19 42 C6 21 A2 53
+C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21 C4 21
+02 20 30 40 80 CD 1C 15 12 12 C4 21 92 53 C4 21
+84 12 2C C9 50 CA 34 C4 66 D2 5C D2 21 53 3E 90
+10 00 C6 2B 7F 2D 68 D2 B2 41 C4 21 C1 3F 0D 12
+84 12 14 CC 9A D1 78 D2 0C 43 1B 42 C6 21 A2 53
+C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 21
+B0 12 BE D1 3C 40 00 03 0E 93 1C 24 3C 40 10 03
+1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
+2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
+3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53 C6 21
+89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
+3C 40 10 02 92 53 C4 21 B0 12 BE D1 ED 3F 7A 90
+40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12 46 D2
+0C 20 3C 50 10 00 3E 40 2B 00 B0 12 46 D2 92 92
+C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E DA 3F
+B0 12 46 D2 FA 23 3C 50 10 00 B0 12 22 D2 EF 3F
+0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12 14 CC
+9A D1 44 D3 FE 90 26 00 00 00 3E 40 20 00 03 20
+3C 50 82 00 C7 3F B0 12 46 D2 E0 23 3C 50 80 00
+B0 12 22 D2 DB 3F 00 00 04 52 45 54 49 00 0D 12
+84 12 0A C4 00 13 5A CB 60 C8 0A C4 2C 00 6E D2
+3A D3 84 D3 09 4B 2E 4E 0E DC A2 3F 52 CE 03 4D
+4F 56 85 12 7A D3 00 40 8E D3 05 4D 4F 56 2E 42
+85 12 7A D3 40 40 00 00 03 41 44 44 85 12 7A D3
+00 50 A8 D3 05 41 44 44 2E 42 85 12 7A D3 40 50
+B4 D3 04 41 44 44 43 00 85 12 7A D3 00 60 C2 D3
+06 41 44 44 43 2E 42 00 85 12 7A D3 40 60 68 D3
+04 53 55 42 43 00 85 12 7A D3 00 70 E0 D3 06 53
+55 42 43 2E 42 00 85 12 7A D3 40 70 EE D3 03 53
+55 42 85 12 7A D3 00 80 FE D3 05 53 55 42 2E 42
+85 12 7A D3 40 80 28 CE 03 43 4D 50 85 12 7A D3
+00 90 18 D4 05 43 4D 50 2E 42 85 12 7A D3 40 90
+12 CE 04 44 41 44 44 00 85 12 7A D3 00 A0 32 D4
+06 44 41 44 44 2E 42 00 85 12 7A D3 40 A0 24 D4
+03 42 49 54 85 12 7A D3 00 B0 50 D4 05 42 49 54
+2E 42 85 12 7A D3 40 B0 5C D4 03 42 49 43 85 12
+7A D3 00 C0 6A D4 05 42 49 43 2E 42 85 12 7A D3
+40 C0 76 D4 03 42 49 53 85 12 7A D3 00 D0 84 D4
+05 42 49 53 2E 42 85 12 7A D3 40 D0 00 00 03 58
+4F 52 85 12 7A D3 00 E0 9E D4 05 58 4F 52 2E 42
+85 12 7A D3 40 E0 D0 D3 03 41 4E 44 85 12 7A D3
+00 F0 B8 D4 05 41 4E 44 2E 42 85 12 7A D3 40 F0
+14 CC 6E D2 D6 D4 0A 4C 3C F0 70 00 8A 10 3A F0
+0F 00 0C DA 4F 3F 0A D4 03 52 52 43 85 12 D0 D4
+00 10 E8 D4 05 52 52 43 2E 42 85 12 D0 D4 40 10
+F4 D4 04 53 57 50 42 00 85 12 D0 D4 80 10 02 D5
+03 52 52 41 85 12 D0 D4 00 11 10 D5 05 52 52 41
+2E 42 85 12 D0 D4 40 11 1C D5 03 53 58 54 85 12
+D0 D4 80 11 00 00 04 50 55 53 48 00 85 12 D0 D4
+00 12 36 D5 06 50 55 53 48 2E 42 00 85 12 D0 D4
+40 12 90 D4 04 43 41 4C 4C 00 85 12 D0 D4 80 12
+1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 36 C5 2A D5 03 53
+3E 3D 86 12 00 38 7E D5 02 53 3C 00 86 12 00 34
+44 D5 03 30 3E 3D 86 12 00 30 92 D5 02 30 3C 00
+86 12 00 30 00 00 02 55 3C 00 86 12 00 2C A6 D5
+03 55 3E 3D 86 12 00 28 9C D5 03 30 3C 3E 86 12
+00 24 BA D5 02 30 3D 00 86 12 00 20 00 00 02 49
+46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A
+30 4D B0 D5 04 54 48 45 4E 00 1A 42 C6 21 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
+88 DA 00 00 30 4D 40 D4 04 45 4C 53 45 00 1A 42
+C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83 8F 4A
+00 00 E3 3F 54 D5 05 42 45 47 49 4E 30 40 28 C4
+E4 D5 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D C4 D4
+05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
+05 57 48 49 4C 45 0D 12 84 12 D2 D5 BA C7 60 C8
+88 D5 06 52 45 50 45 41 54 00 0D 12 84 12 66 D6
+EA D5 60 C8 96 D6 3D 41 08 4E 3E 4F 2A 48 B2 92
+C4 21 CB 2F 98 42 C6 21 00 00 30 4D 26 D6 03 42
+57 31 85 12 94 D6 00 00 AE D6 03 42 57 32 85 12
+94 D6 00 00 BA D6 03 42 57 33 85 12 94 D6 00 00
+D2 D6 3D 41 1A 42 C6 21 28 4E B2 92 C4 21 88 2B
+BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D
+00 00 03 46 57 31 85 12 D0 D6 00 00 F2 D6 03 46
+57 32 85 12 D0 D6 00 00 FE D6 03 46 57 33 85 12
+D0 D6 00 00 0A D7 04 47 4F 54 4F 00 2F 83 8F 4E
+00 00 3E 40 00 3C 0D 12 84 12 92 CC EE CB 60 C8
+00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
+00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 14 CC
+9A D1 54 D7 92 53 C4 21 3E 40 2C 00 84 12 2C C9
+50 CA 34 C4 12 CC 30 D3 6A D7 0A 4E 3E 4F 1A 83
+F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
+08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
+8A 10 5A 06 A6 3E 82 D6 04 52 52 43 4D 00 85 12
+4E D7 50 00 98 D7 04 52 52 41 4D 00 85 12 4E D7
+50 01 A6 D7 04 52 4C 41 4D 00 85 12 4E D7 50 02
+B4 D7 04 52 52 55 4D 00 85 12 4E D7 50 03 C4 D5
+05 50 55 53 48 4D 85 12 4E D7 00 15 D0 D7 04 50
+4F 50 4D 00 85 12 4E D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +331,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 94 C6 02 C6 02 C6 02 C6 02 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 22 D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 1A D1
 q
index d9cf732..1b36a1a 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF 46 D8 42 D0 33 01
-10 00 81 86 B4 C5 AA C4 B6 C5 8A C5 80 C6 46 D8
-42 D0 6E C6 90 C7 FC C6 D8 C6 3C 21 5E C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 60 CC 7A CC 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF 2E D8 2A D0 34 01
+10 00 41 87 B6 C5 AA C4 B8 C5 8C C5 82 C6 2E D8
+2A D0 70 C6 80 C7 FE C6 DA C6 3C 21 4E C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6C C6 B2 49 8E C7 B2 49 FA C6 B2 49 D6 C6
+B2 49 6E C6 B2 49 7E C7 B2 49 FC C6 B2 49 D8 C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 0E D1 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 DE C7 14 C7 48 C7 34 C4 60 CC 14 C4
-05 1B 5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8
-A6 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C7 9E C8 5A C7 14 C4
-04 1B 5B 30 6D 00 5A C7 60 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 4A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 E2 B2 00 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12 8A C5
-78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D 0A 1B
-5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8 0A C4
-23 00 F8 C6 D4 C8 14 C4 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C7 0A C4 40 FF 28 C4 D2 C7 9E C8 14 C4
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C4 7C C5
-00 00 06 41 43 43 45 50 54 00 30 40 6E C6 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C5
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C6 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C6 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 C6 04 45
-43 48 4F 00 B2 40 C2 48 06 C7 82 43 DE 21 38 40
-05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C7 92 43 DE 21 28 42 F1 3F
-2A C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C6 78 C7 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40 90 C7
-0D 12 84 12 14 C4 02 0D 0A 00 5A C7 5E C8 2F 83
+B2 49 DC 21 3D 41 30 40 F6 D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 CE C7 16 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7
+C4 C8 96 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C7 8E C8 4A C7
+14 C4 04 1B 5B 30 6D 00 4A C7 50 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 4A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 E2 B2 00 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00 B0 12
+8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4 07 0D
+0A 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7 C4 C8
+0A C4 23 00 FA C6 C4 C8 14 C4 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C7 0A C4 40 FF 28 C4 C2 C7 8E C8
+14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
+7E C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
+58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
+04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
+38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C6 68 C7 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40 80 C7
+0D 12 84 12 14 C4 02 0D 0A 00 4A C7 4E C8 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C6 01 40 2E 4E
-30 4D DC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40 2E 4E
+30 4D CC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 C7 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 C7 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 C8 02 23 53 00 0D 12 84 12
-1A C8 54 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 C8 02 23 53 00 0D 12 84 12
+0A C8 44 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C7 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C7 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C8
-4C C8 EE C4 8C C8 68 C8 5A C7 5C CC F8 C6 5E C8
-42 C7 01 2E 0E 93 E3 37 38 43 E2 3F 86 C8 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 92 CB
-0A C4 22 00 2A C9 F8 C8 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 C8
-82 2E 22 00 0D 12 84 12 E2 C8 0A C4 5A C7 92 CB
-5E C8 F6 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C7
+3C C8 EE C4 7C C8 58 C8 4A C7 3C CC FA C6 4E C8
+2C C7 01 2E 0E 93 E3 37 38 43 E2 3F 76 C8 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 82 CB
+0A C4 22 00 1A C9 E8 C8 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 C8
+82 2E 22 00 0D 12 84 12 D2 C8 0A C4 4A C7 82 CB
+4E C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -99,22 +98,22 @@ C6 21 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
 48 96 F7 23 0A 4C FA 99 01 00 F3 23 1A 53 58 83
 FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 2E 83 8F 4C 00 00 36 40 E2 C4 35 40 D4 C4 30 4D
-9A C8 03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43
+8A C8 03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43
 0E BA 02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A F8 2B
 8F 49 00 00 0E 48 30 4D 00 00 07 3E 4E 55 4D 42
 45 52 2C 4F 0B 4E 1A 42 DC 21 68 4C 78 80 30 00
 78 90 0A 00 05 28 78 80 07 00 78 90 0A 00 1F 28
 08 9A 22 C3 1C 2C 5D 15 1C 4F 02 00 0E 4A 3D 40
-54 CA D2 3F 56 CA 81 49 02 00 1C 4F 04 00 1E 41
-04 00 3D 40 68 CA C8 3F 6A CA 39 51 3E 61 8F 49
+44 CA D2 3F 46 CA 81 49 02 00 1C 4F 04 00 1E 41
+04 00 3D 40 58 CA C8 3F 5A CA 39 51 3E 61 8F 49
 04 00 8F 4E 02 00 3A 17 1C 53 1B 83 D6 23 8F 4C
 00 00 0E 4B 30 4D 32 C0 00 02 1B 42 DC 21 0C 43
-2D 15 3D 40 E8 CA 0A 4B 3F 82 8F 4E 06 00 8F 43
+2D 15 3D 40 D8 CA 0A 4B 3F 82 8F 4E 06 00 8F 43
 04 00 8F 43 02 00 0C 4E 7B 4C FC 90 27 00 00 00
 06 20 DF 4C 01 00 04 00 7E 90 03 00 47 3C 68 4C
 78 80 2D 00 04 28 B1 23 B1 43 02 00 0A 3C 2A 43
 78 52 07 24 3A 52 68 53 04 24 3A 40 10 00 78 53
-35 20 1C 53 1B 83 EB 3F EA CA 30 24 2D 83 78 90
+35 20 1C 53 1B 83 EB 3F DA CA 30 24 2D 83 78 90
 28 00 C2 27 32 B0 00 02 29 20 32 D0 00 02 78 90
 F7 00 BA 27 78 90 F5 00 21 20 09 43 8F 49 02 00
 5B 83 09 4B 09 5C 69 49 79 80 30 00 79 90 0A 00
@@ -126,34 +125,34 @@ F7 00 BA 27 78 90 F5 00 21 20 09 43 8F 49 02 00
 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53 02 00
 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D 00 00
 01 2C 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 3E 4F
-30 4D E4 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F
-30 4D 90 CB 87 4C 49 54 45 52 41 4C 82 93 BE 21
+30 4D 80 CB 87 4C 49 54 45 52 41 4C 82 93 BE 21
 0D 24 09 4E 1A 42 C6 21 A2 52 C6 21 BA 40 0A C4
 00 00 8A 49 02 00 3E 4F 32 B0 00 02 32 C0 00 02
-03 24 8A 4E 02 00 EE 3F 30 4D 64 C8 05 43 4F 55
+03 24 8A 4E 02 00 EE 3F 30 4D 54 C8 05 43 4F 55
 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
-78 C8 09 49 4E 54 45 52 50 52 45 54 0D 12 84 12
-AC C4 5C CC 2A C9 18 CC 77 26 3D 40 20 CC B9 3E
-22 CC 0A 4E 3E 4F 3D 40 3C CC 2D 27 3D 40 12 CC
-1A E2 BE 21 AE 27 0E 12 3E 4F 30 41 3E CC 3E 4F
-3D 40 12 CC BB 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 CE CD CC 3F 46 CC 86 12 20 00
+68 C8 09 49 4E 54 45 52 50 52 45 54 0D 12 84 12
+AC C4 3C CC 1A C9 F8 CB 7F 26 3D 40 00 CC C1 3E
+02 CC 0A 4E 3E 4F 3D 40 1C CC 35 27 3D 40 F2 CB
+1A E2 BE 21 B6 27 0E 12 3E 4F 30 41 1E CC 3E 4F
+3D 40 F2 CB BB 23 DE 53 00 00 68 4E 08 5E F8 40
+3F 00 00 00 3D 40 BE CD CC 3F 26 CC 86 12 20 00
+D4 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F 30 4D
 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20 00 20
-82 43 BE 21 84 12 8C C7 BC C4 0C CC C2 C7 F4 C7
+82 43 BE 21 84 12 7C C7 BC C4 EC CB B2 C7 E4 C7
 14 C4 0C 73 74 61 63 6B 20 65 6D 70 74 79 21 00
-2A C5 0A C4 40 FF 28 C4 FC C7 14 C4 0A 46 52 41
-4D 20 66 75 6C 6C 21 00 2A C5 3A C4 76 CC A4 CB
-86 41 42 4F 52 54 22 00 0D 12 84 12 E2 C8 0A C4
-2A C5 92 CB 5E C8 8C C9 01 27 0D 12 84 12 5C CC
-2A C9 92 C9 34 C4 5A CC 5E C8 00 00 83 5B 27 5D
-0D 12 84 12 CA CC 0A C4 0A C4 92 CB 92 CB 5E C8
-DC CC 81 5B 82 43 BE 21 30 4D 0A C8 01 5D B2 43
-BE 21 30 4D FC CC 81 5C 92 42 C0 21 C4 21 30 4D
+2A C5 0A C4 40 FF 28 C4 EC C7 14 C4 0A 46 52 41
+4D 20 66 75 6C 6C 21 00 2A C5 3A C4 66 CC 42 CC
+86 41 42 4F 52 54 22 00 0D 12 84 12 D2 C8 0A C4
+2A C5 82 CB 4E C8 7C C9 01 27 0D 12 84 12 3C CC
+1A C9 82 C9 34 C4 3A CC 4E C8 00 00 83 5B 27 5D
+0D 12 84 12 BA CC 0A C4 0A C4 82 CB 82 CB 4E C8
+CC CC 81 5B 82 43 BE 21 30 4D FA C7 01 5D B2 43
+BE 21 30 4D EC CC 81 5C 92 42 C0 21 C4 21 30 4D
 00 00 88 50 4F 53 54 50 4F 4E 45 00 0D 12 84 12
-5C CC 2A C9 92 C9 A6 C7 34 C4 5A CC F4 C7 34 C4
-3E CD 0A C4 0A C4 92 CB 92 CB 0A C4 92 CB 92 CB
-5E C8 F2 CC 01 3A 30 12 8E CD 92 B3 C6 21 A2 63
-C6 21 0D 12 84 12 5C CC 2A C9 5C CD 3D 41 08 4E
+3C CC 1A C9 82 C9 96 C7 34 C4 3A CC E4 C7 34 C4
+2E CD 0A C4 0A C4 82 CB 82 CB 0A C4 82 CB 82 CB
+4E C8 E2 CC 01 3A 30 12 7E CD 92 B3 C6 21 A2 63
+C6 21 0D 12 84 12 3C CC 1A C9 4C CD 3D 41 08 4E
 7A 4E 5A D3 5A 53 0A 58 19 42 DA 21 6E 4E 3E F0
 1E 00 09 5E 3E 4F 82 48 B6 21 82 49 B8 21 82 4A
 BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41 BA 40
@@ -161,181 +160,180 @@ BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41 BA 40
 82 9F BC 21 09 20 18 42 B6 21 19 42 B8 21 A8 49
 FE FF 89 48 00 00 30 4D 0D 12 84 12 14 C4 0F 73
 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 36 C5
-44 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12 0A C4
-5E C8 92 CB A0 CD F4 CC 5E C8 02 CC 09 49 4D 4D
+34 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12 0A C4
+4E C8 82 CB 90 CD E4 CC 4E C8 E2 CB 09 49 4D 4D
 45 44 49 41 54 45 18 42 B6 21 F8 D0 80 00 00 00
-30 4D EC CB 06 43 52 45 41 54 45 00 B0 12 4A CD
-BA 40 86 12 FC FF 8A 4A FE FF C9 3F 04 CE 04 43
-4F 44 45 00 B0 12 4A CD A2 82 C6 21 0D 12 84 12
-44 D0 1E D0 5E C8 00 00 07 45 4E 44 43 4F 44 45
-0D 12 84 12 A0 CD 5E D0 5E C8 B0 CC 03 41 53 4D
-B2 40 22 D0 DA 21 E6 3F 38 CE 06 45 4E 44 41 53
-4D 00 0D 12 84 12 40 CE 7C D0 5E C8 00 00 05 43
-4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00 BA 40
-84 12 02 00 A2 52 C6 21 B2 43 BE 21 30 40 5E D0
-00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
-EE 3F EC CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4
-EC CF 92 CB F4 CC 2C CE 5E C8 D2 CD 86 5B 54 48
-45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
-FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
-F9 23 2F 53 2D 53 F7 3F BC CE 86 5B 45 4C 53 45
-5D 00 0D 12 84 12 0A C4 00 00 D6 C7 5C CC 2A C9
-F2 CB 9E C7 34 C4 54 CF AC C7 14 C4 06 5B 54 48
-45 4E 5D 00 C6 CE 2E CF EA CE 0C CF 5E C8 AC C7
-14 C4 06 5B 45 4C 53 45 5D 00 C6 CE 44 CF EA CE
-0A CF 5E C8 14 C4 04 5B 49 46 5D 00 C6 CE 0C CF
-3A C4 0A CF 80 C7 14 C4 05 0D 0A 6B 6F 20 5A C7
-BC C4 AC C4 3A C4 0C CF FA CE 84 5B 49 46 5D 00
-0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 6A CF 89 5B
-44 45 46 49 4E 45 44 5D 0D 12 84 12 5C CC 2A C9
-92 C9 78 CF 5E C8 7E CF 8B 5B 55 4E 44 45 46 49
-4E 45 44 5D 0D 12 84 12 88 CF EE C7 5E C8 B0 CF
-B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C B4 CB
-06 4D 41 52 4B 45 52 00 B0 12 4A CD BA 40 85 12
-FC FF BA 40 AE CF FE FF 28 83 8A 48 00 00 BA 40
-AA C4 04 00 B2 50 06 00 C6 21 DD 3E 2E 53 30 4D
-0A C4 CA 21 E6 C7 5E C8 85 12 F0 CF 4C CE 1E CE
-0E C7 C8 CC A4 CE D0 C6 C0 CF 10 C9 E8 D0 FC D0
-F2 C9 24 C9 00 00 98 CF 06 CD 1A CA 00 00 85 12
-F0 CF BC D6 22 D7 64 D6 72 D7 2A D6 00 00 F6 D3
-00 00 3A D8 1E D8 8E D6 CC D6 06 D5 00 00 00 00
-8E D7 1C D0 3A 40 0C 00 39 40 D6 21 08 49 28 53
-19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
-0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
-1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
-DA 21 30 4D F8 CF 76 D0 7C D0 8C D0 1A 42 20 18
-82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
-08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
-1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
-12 CD 09 50 57 52 5F 53 54 41 54 45 85 12 84 D0
-46 D8 DE C8 09 52 53 54 5F 53 54 41 54 45 92 42
-0A 18 D0 D0 F3 3F C2 D0 08 50 57 52 5F 48 45 52
-45 00 92 42 C6 21 D0 D0 30 4D D4 D0 08 52 53 54
-5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
-0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
-29 83 B9 43 80 FF FC 23 B9 40 5A D1 FE FF 29 83
-B9 40 E0 C5 FE FF 39 90 AE FF F9 23 39 40 14 18
-B2 49 E2 C5 B2 49 FA C4 B2 49 02 C4 B2 49 FE C5
-B2 49 EA FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
-B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
-3F 40 80 20 39 40 00 08 29 83 89 43 00 20 FC 23
-B2 D3 06 02 B2 D3 02 02 B2 D0 FF FE 26 02 B2 43
-22 02 B2 D3 46 02 B2 43 42 02 B2 D3 66 02 B2 43
-62 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43
-A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
-BA 00 82 01 B2 40 E8 01 84 01 82 43 88 01 F2 D0
-06 00 2B 02 39 40 40 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
-B0 12 F8 C4 FC C5 38 40 C0 21 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
-C4 21 84 12 2A C9 92 C9 EE C7 34 C4 36 D2 86 CA
-34 C4 50 D2 4A D2 38 D2 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-52 D2 B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2A C9
-92 C9 EE C7 34 C4 6E D2 86 CA 34 C4 5A CC B8 C7
-2A C9 86 CA 34 C4 5A CC 7A D2 3E 5F E7 3F 3E 40
-28 00 B0 12 1A D2 19 42 C6 21 A2 53 C6 21 89 4E
-00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
-B8 CD 1C 15 12 12 C4 21 92 53 C4 21 84 12 2A C9
-86 CA 34 C4 C2 D2 B8 D2 21 53 3E 90 10 00 C6 2B
-7F 2D C4 D2 B2 41 C4 21 C1 3F 0D 12 84 12 5C CC
-F6 D1 D4 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 1A D2
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 21 B0 12 1A D2 ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 21 B0 12 A2 D2 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 A2 D2 92 92 C0 21 C4 21
-02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 A2 D2
-FA 23 3C 50 10 00 B0 12 7E D2 EF 3F 0C 43 1B 42
-C6 21 A2 53 C6 21 0D 12 84 12 5C CC F6 D1 A0 D3
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 A2 D2 E0 23 3C 50 80 00 B0 12 7E D2
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A C4
-00 13 92 CB 5E C8 0A C4 2C 00 CA D2 96 D3 E0 D3
-09 4B 2E 4E 0E DC A2 3F 92 CE 03 4D 4F 56 85 12
-D6 D3 00 40 EA D3 05 4D 4F 56 2E 42 85 12 D6 D3
-40 40 00 00 03 41 44 44 85 12 D6 D3 00 50 04 D4
-05 41 44 44 2E 42 85 12 D6 D3 40 50 10 D4 04 41
-44 44 43 00 85 12 D6 D3 00 60 1E D4 06 41 44 44
-43 2E 42 00 85 12 D6 D3 40 60 C4 D3 04 53 55 42
-43 00 85 12 D6 D3 00 70 3C D4 06 53 55 42 43 2E
-42 00 85 12 D6 D3 40 70 4A D4 03 53 55 42 85 12
-D6 D3 00 80 5A D4 05 53 55 42 2E 42 85 12 D6 D3
-40 80 6E CE 03 43 4D 50 85 12 D6 D3 00 90 74 D4
-05 43 4D 50 2E 42 85 12 D6 D3 40 90 5A CE 04 44
-41 44 44 00 85 12 D6 D3 00 A0 8E D4 06 44 41 44
-44 2E 42 00 85 12 D6 D3 40 A0 80 D4 03 42 49 54
-85 12 D6 D3 00 B0 AC D4 05 42 49 54 2E 42 85 12
-D6 D3 40 B0 B8 D4 03 42 49 43 85 12 D6 D3 00 C0
-C6 D4 05 42 49 43 2E 42 85 12 D6 D3 40 C0 D2 D4
-03 42 49 53 85 12 D6 D3 00 D0 E0 D4 05 42 49 53
-2E 42 85 12 D6 D3 40 D0 00 00 03 58 4F 52 85 12
-D6 D3 00 E0 FA D4 05 58 4F 52 2E 42 85 12 D6 D3
-40 E0 2C D4 03 41 4E 44 85 12 D6 D3 00 F0 14 D5
-05 41 4E 44 2E 42 85 12 D6 D3 40 F0 5C CC CA D2
-32 D5 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 66 D4 03 52 52 43 85 12 2C D5 00 10 44 D5
-05 52 52 43 2E 42 85 12 2C D5 40 10 50 D5 04 53
-57 50 42 00 85 12 2C D5 80 10 5E D5 03 52 52 41
-85 12 2C D5 00 11 6C D5 05 52 52 41 2E 42 85 12
-2C D5 40 11 78 D5 03 53 58 54 85 12 2C D5 80 11
-00 00 04 50 55 53 48 00 85 12 2C D5 00 12 92 D5
-06 50 55 53 48 2E 42 00 85 12 2C D5 40 12 EC D4
-04 43 41 4C 4C 00 85 12 2C D5 80 12 1A 53 0E 4A
-0D 12 84 12 D4 C8 14 C4 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 C5 86 D5 03 53 3E 3D 86 12
-00 38 DA D5 02 53 3C 00 86 12 00 34 A0 D5 03 30
-3E 3D 86 12 00 30 EE D5 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C 02 D6 03 55 3E 3D
-86 12 00 28 F8 D5 03 30 3C 3E 86 12 00 24 16 D6
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D 0C D6
-04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 9C D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40
-00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
-B0 D5 05 42 45 47 49 4E 30 40 28 C4 40 D6 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 21 30 4D 20 D5 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 2E D6 B8 C7 5E C8 E4 D5 06 52
-45 50 45 41 54 00 0D 12 84 12 C2 D6 46 D6 5E C8
-F2 D6 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
-98 42 C6 21 00 00 30 4D 82 D6 03 42 57 31 85 12
-F0 D6 00 00 0A D7 03 42 57 32 85 12 F0 D6 00 00
-16 D7 03 42 57 33 85 12 F0 D6 00 00 2E D7 3D 41
-1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
-A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 2C D7 00 00 4E D7 03 46 57 32 85 12
-2C D7 00 00 5A D7 03 46 57 33 85 12 2C D7 00 00
-66 D7 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 CA CC 36 CC 5E C8 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 5C CC F6 D1 B0 D7
-92 53 C4 21 3E 40 2C 00 84 12 2A C9 86 CA 34 C4
-5A CC 8C D3 C6 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E DE D6 04 52 52 43 4D 00 85 12 AA D7 50 00
-F4 D7 04 52 52 41 4D 00 85 12 AA D7 50 01 02 D8
-04 52 4C 41 4D 00 85 12 AA D7 50 02 10 D8 04 52
-52 55 4D 00 85 12 AA D7 50 03 20 D6 05 50 55 53
-48 4D 85 12 AA D7 00 15 2C D8 04 50 4F 50 4D 00
-85 12 AA D7 00 17
+30 4D CC CB 06 43 52 45 41 54 45 00 B0 12 3A CD
+BA 40 86 12 FC FF 8A 4A FE FF C9 3F F4 CD 04 43
+4F 44 45 00 B0 12 3A CD A2 82 C6 21 0D 12 84 12
+2C D0 06 D0 4E C8 DC CD 07 48 44 4E 43 4F 44 45
+B2 40 0A D0 DA 21 EE 3F 00 00 07 45 4E 44 43 4F
+44 45 0D 12 84 12 90 CD 46 D0 64 D0 4E C8 00 00
+05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00
+BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21 0D 12
+84 12 46 D0 64 D0 4E C8 00 00 05 4C 4F 32 48 49
+A2 83 C6 21 1A 42 C6 21 EB 3F 28 CE 85 48 49 32
+4C 4F 0D 12 84 12 28 C4 D4 CF 82 CB E4 CC 1C CE
+4E C8 C2 CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
+1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
+30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
+A4 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
+00 00 C6 C7 3C CC 1A C9 D2 CB 8E C7 34 C4 3C CF
+9C C7 14 C4 06 5B 54 48 45 4E 5D 00 AE CE 16 CF
+D2 CE F4 CE 4E C8 9C C7 14 C4 06 5B 45 4C 53 45
+5D 00 AE CE 2C CF D2 CE F2 CE 4E C8 14 C4 04 5B
+49 46 5D 00 AE CE F4 CE 3A C4 F2 CE 70 C7 14 C4
+05 0D 0A 6B 6F 20 4A C7 BC C4 AC C4 3A C4 F4 CE
+E2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
+2F 53 30 4D 52 CF 89 5B 44 45 46 49 4E 45 44 5D
+0D 12 84 12 3C CC 1A C9 82 C9 60 CF 4E C8 66 CF
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
+70 CF DE C7 4E C8 98 CF B2 4E 0A 18 2E 53 BE 12
+3E 4F 3D 41 90 3C 94 CB 06 4D 41 52 4B 45 52 00
+B0 12 3A CD BA 40 85 12 FC FF BA 40 96 CF FE FF
+28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
+C6 21 E1 3E 2E 53 30 4D 0A C4 CA 21 D6 C7 4E C8
+85 12 D8 CF A0 CC 0E CE 10 C7 B8 CC 8C CE D2 C6
+A8 CF 00 C9 D0 D0 E4 D0 E2 C9 14 C9 00 00 80 CF
+F6 CC 0A CA 00 00 85 12 D8 CF A4 D6 0A D7 4C D6
+5A D7 12 D6 00 00 DE D3 00 00 22 D8 06 D8 76 D6
+B4 D6 EE D4 00 00 00 00 76 D7 04 D0 3A 40 0C 00
+39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
+29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
+CC 21 30 4D 92 42 CA 21 DA 21 30 4D E0 CF 5E D0
+64 D0 74 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
+C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 02 CD 09 50 57 52 5F 53
+54 41 54 45 85 12 6C D0 2E D8 CE C8 09 52 53 54
+5F 53 54 41 54 45 92 42 0A 18 B8 D0 F3 3F AA D0
+08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 B8 D0
+30 4D BC D0 08 52 53 54 5F 48 45 52 45 00 92 42
+C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
+0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
+B9 40 42 D1 FE FF 29 83 B9 40 E2 C5 FE FF 39 90
+AE FF F9 23 39 40 14 18 B2 49 E4 C5 B2 49 FA C4
+B2 49 02 C4 B2 49 00 C6 B2 49 EA FF B2 49 0A 18
+C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
+80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 08
+29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 D3 02 02
+B2 D0 FF FE 26 02 B2 43 22 02 B2 D3 46 02 B2 43
+42 02 B2 D3 66 02 B2 43 62 02 F2 40 A5 00 A1 01
+F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
+B2 40 FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01
+84 01 82 43 88 01 F2 D0 06 00 2B 02 39 40 40 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18
+82 43 08 18 1E D2 5E 01 B0 12 F8 C4 FE C5 38 40
+C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
+30 4D 1C 15 0E 12 12 12 C4 21 84 12 1A C9 82 C9
+DE C7 34 C4 1E D2 76 CA 34 C4 38 D2 32 D2 20 D2
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 3A D2 B2 41 C4 21 3E 41
+84 12 0A C4 2B 00 1A C9 82 C9 DE C7 34 C4 56 D2
+76 CA 34 C4 3A CC A8 C7 1A C9 76 CA 34 C4 3A CC
+62 D2 3E 5F E7 3F 3E 40 28 00 B0 12 02 D2 19 42
+C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
+C0 21 C4 21 02 20 30 40 A8 CD 1C 15 12 12 C4 21
+92 53 C4 21 84 12 1A C9 76 CA 34 C4 AA D2 A0 D2
+21 53 3E 90 10 00 C6 2B 7F 2D AC D2 B2 41 C4 21
+C1 3F 0D 12 84 12 3C CC DE D1 BC D2 0C 43 1B 42
+C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 21 B0 12 02 D2 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 02 D2
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
+B0 12 8A D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+8A D2 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
+0C 5E DA 3F B0 12 8A D2 FA 23 3C 50 10 00 B0 12
+66 D2 EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
+84 12 3C CC DE D1 88 D3 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 8A D2 E0 23
+3C 50 80 00 B0 12 66 D2 DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A C4 00 13 82 CB 4E C8 0A C4
+2C 00 B2 D2 7E D3 C8 D3 09 4B 2E 4E 0E DC A2 3F
+7A CE 03 4D 4F 56 85 12 BE D3 00 40 D2 D3 05 4D
+4F 56 2E 42 85 12 BE D3 40 40 00 00 03 41 44 44
+85 12 BE D3 00 50 EC D3 05 41 44 44 2E 42 85 12
+BE D3 40 50 F8 D3 04 41 44 44 43 00 85 12 BE D3
+00 60 06 D4 06 41 44 44 43 2E 42 00 85 12 BE D3
+40 60 AC D3 04 53 55 42 43 00 85 12 BE D3 00 70
+24 D4 06 53 55 42 43 2E 42 00 85 12 BE D3 40 70
+32 D4 03 53 55 42 85 12 BE D3 00 80 42 D4 05 53
+55 42 2E 42 85 12 BE D3 40 80 50 CE 03 43 4D 50
+85 12 BE D3 00 90 5C D4 05 43 4D 50 2E 42 85 12
+BE D3 40 90 3A CE 04 44 41 44 44 00 85 12 BE D3
+00 A0 76 D4 06 44 41 44 44 2E 42 00 85 12 BE D3
+40 A0 68 D4 03 42 49 54 85 12 BE D3 00 B0 94 D4
+05 42 49 54 2E 42 85 12 BE D3 40 B0 A0 D4 03 42
+49 43 85 12 BE D3 00 C0 AE D4 05 42 49 43 2E 42
+85 12 BE D3 40 C0 BA D4 03 42 49 53 85 12 BE D3
+00 D0 C8 D4 05 42 49 53 2E 42 85 12 BE D3 40 D0
+00 00 03 58 4F 52 85 12 BE D3 00 E0 E2 D4 05 58
+4F 52 2E 42 85 12 BE D3 40 E0 14 D4 03 41 4E 44
+85 12 BE D3 00 F0 FC D4 05 41 4E 44 2E 42 85 12
+BE D3 40 F0 3C CC B2 D2 1A D5 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 4E D4 03 52 52 43
+85 12 14 D5 00 10 2C D5 05 52 52 43 2E 42 85 12
+14 D5 40 10 38 D5 04 53 57 50 42 00 85 12 14 D5
+80 10 46 D5 03 52 52 41 85 12 14 D5 00 11 54 D5
+05 52 52 41 2E 42 85 12 14 D5 40 11 60 D5 03 53
+58 54 85 12 14 D5 80 11 00 00 04 50 55 53 48 00
+85 12 14 D5 00 12 7A D5 06 50 55 53 48 2E 42 00
+85 12 14 D5 40 12 D4 D4 04 43 41 4C 4C 00 85 12
+14 D5 80 12 1A 53 0E 4A 0D 12 84 12 C4 C8 14 C4
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5
+6E D5 03 53 3E 3D 86 12 00 38 C2 D5 02 53 3C 00
+86 12 00 34 88 D5 03 30 3E 3D 86 12 00 30 D6 D5
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C EA D5 03 55 3E 3D 86 12 00 28 E0 D5 03 30
+3C 3E 86 12 00 24 FE D5 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
+C6 21 0E 4A 30 4D F4 D5 04 54 48 45 4E 00 1A 42
+C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 84 D4 04 45 4C 53
+45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
+2F 83 8F 4A 00 00 E3 3F 98 D5 05 42 45 47 49 4E
+30 40 28 C4 28 D6 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
+30 4D 08 D5 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 16 D6
+A8 C7 4E C8 CC D5 06 52 45 50 45 41 54 00 0D 12
+84 12 AA D6 2E D6 4E C8 DA D6 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
+6A D6 03 42 57 31 85 12 D8 D6 00 00 F2 D6 03 42
+57 32 85 12 D8 D6 00 00 FE D6 03 42 57 33 85 12
+D8 D6 00 00 16 D7 3D 41 1A 42 C6 21 28 4E B2 92
+C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 14 D7 00 00
+36 D7 03 46 57 32 85 12 14 D7 00 00 42 D7 03 46
+57 33 85 12 14 D7 00 00 4E D7 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 BA CC
+16 CC 4E C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 3C CC DE D1 98 D7 92 53 C4 21 3E 40 2C 00
+84 12 1A C9 76 CA 34 C4 3A CC 74 D3 AE D7 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E C6 D6 04 52 52 43
+4D 00 85 12 92 D7 50 00 DC D7 04 52 52 41 4D 00
+85 12 92 D7 50 01 EA D7 04 52 4C 41 4D 00 85 12
+92 D7 50 02 F8 D7 04 52 52 55 4D 00 85 12 92 D7
+50 03 08 D6 05 50 55 53 48 4D 85 12 92 D7 00 15
+14 D8 04 50 4F 50 4D 00 85 12 92 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 80 C6 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 5A D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 82 C6 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 42 D1
 q
index 4601d52..0366e88 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 4C D8 44 D0 33 01
-10 00 81 B6 94 C5 AA C4 C6 C5 9C C5 94 C6 4C D8
-44 D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 62 CC 7C CC 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF 44 D8 3C D0 34 01
+10 00 41 B3 94 C5 AA C4 DA C5 9C C5 94 C6 44 D8
+3C D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 78 C6 B2 49 90 C7 B2 49 22 C7 B2 49 FC C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 10 D1 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 08 D1 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E0 C7 32 C7
 14 C4 04 1B 5B 37 6D 00 5C C7 A8 C7 34 C4 86 C5
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 C6 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C6 2F 83
-8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C7 08 4E 3E 4F C8 3F 1A C7 04 45 43 48
 4F 00 B2 40 C2 48 C0 C6 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 5E CC 20 C7
+10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 4E CC 20 C7
 60 C8 40 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
 94 CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
@@ -126,34 +126,34 @@ E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 4B 93 2B 17
 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
 00 00 01 2C 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-3E 4F 30 4D E6 C7 05 41 4C 4C 4F 54 82 5E C6 21
 3E 4F 30 4D 92 CB 87 4C 49 54 45 52 41 4C 82 93
 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52 C6 21 BA 40
 0A C4 00 00 8A 49 02 00 3E 4F 32 B0 00 02 32 C0
 00 02 03 24 8A 4E 02 00 EE 3F 30 4D 66 C8 05 43
 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
 30 4D 7A C8 09 49 4E 54 45 52 50 52 45 54 0D 12
-84 12 AC C4 5E CC 2C C9 1A CC 77 26 3D 40 22 CC
-B9 3E 24 CC 0A 4E 3E 4F 3D 40 3E CC 2D 27 3D 40
-14 CC 1A E2 BE 21 AE 27 0E 12 3E 4F 30 41 40 CC
-3E 4F 3D 40 14 CC BB 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 D0 CD CC 3F 48 CC 86 12
-20 00 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20
-00 20 82 43 BE 21 84 12 8E C7 BC C4 0E CC C4 C7
+84 12 AC C4 4E CC 2C C9 0A CC 7F 26 3D 40 12 CC
+C1 3E 14 CC 0A 4E 3E 4F 3D 40 2E CC 35 27 3D 40
+04 CC 1A E2 BE 21 B6 27 0E 12 3E 4F 30 41 30 CC
+3E 4F 3D 40 04 CC BB 23 DE 53 00 00 68 4E 08 5E
+F8 40 3F 00 00 00 3D 40 D0 CD CC 3F 38 CC 86 12
+20 00 E6 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F
+30 4D 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20
+00 20 82 43 BE 21 84 12 8E C7 BC C4 FE CB C4 C7
 F6 C7 14 C4 0C 73 74 61 63 6B 20 65 6D 70 74 79
 21 00 2A C5 0A C4 40 FF 28 C4 FE C7 14 C4 0A 46
 52 41 4D 20 66 75 6C 6C 21 00 2A C5 3A C4 78 CC
-A6 CB 86 41 42 4F 52 54 22 00 0D 12 84 12 E4 C8
+54 CC 86 41 42 4F 52 54 22 00 0D 12 84 12 E4 C8
 0A C4 2A C5 94 CB 60 C8 8E C9 01 27 0D 12 84 12
-5E CC 2C C9 94 C9 34 C4 5C CC 60 C8 00 00 83 5B
+4E CC 2C C9 94 C9 34 C4 4C CC 60 C8 00 00 83 5B
 27 5D 0D 12 84 12 CC CC 0A C4 0A C4 94 CB 94 CB
 60 C8 DE CC 81 5B 82 43 BE 21 30 4D 0C C8 01 5D
 B2 43 BE 21 30 4D FE CC 81 5C 92 42 C0 21 C4 21
 30 4D 00 00 88 50 4F 53 54 50 4F 4E 45 00 0D 12
-84 12 5E CC 2C C9 94 C9 A8 C7 34 C4 5C CC F6 C7
+84 12 4E CC 2C C9 94 C9 A8 C7 34 C4 4C CC F6 C7
 34 C4 40 CD 0A C4 0A C4 94 CB 94 CB 0A C4 94 CB
 94 CB 60 C8 F4 CC 01 3A 30 12 90 CD 92 B3 C6 21
-A2 63 C6 21 0D 12 84 12 5E CC 2C C9 5E CD 3D 41
+A2 63 C6 21 0D 12 84 12 4E CC 2C C9 5E CD 3D 41
 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 DA 21 6E 4E
 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21 82 49 B8 21
 82 4A BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41
@@ -162,173 +162,173 @@ BA 40 0D 12 FC FF BA 40 84 12 FE FF B2 43 BE 21
 A8 49 FE FF 89 48 00 00 30 4D 0D 12 84 12 14 C4
 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21
 36 C5 46 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12
-0A C4 60 C8 94 CB A2 CD F6 CC 60 C8 04 CC 09 49
+0A C4 60 C8 94 CB A2 CD F6 CC 60 C8 F4 CB 09 49
 4D 4D 45 44 49 41 54 45 18 42 B6 21 F8 D0 80 00
-00 00 30 4D EE CB 06 43 52 45 41 54 45 00 B0 12
+00 00 30 4D DE CB 06 43 52 45 41 54 45 00 B0 12
 4C CD BA 40 86 12 FC FF 8A 4A FE FF C9 3F 06 CE
 04 43 4F 44 45 00 B0 12 4C CD A2 82 C6 21 0D 12
-84 12 46 D0 20 D0 60 C8 00 00 07 45 4E 44 43 4F
-44 45 0D 12 84 12 A2 CD 60 D0 60 C8 B2 CC 03 41
-53 4D B2 40 24 D0 DA 21 E6 3F 3A CE 06 45 4E 44
-41 53 4D 00 0D 12 84 12 42 CE 7E D0 60 C8 00 00
-05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00
-BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21 30 40
-60 D0 00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42
-C6 21 EE 3F EE CD 85 48 49 32 4C 4F 0D 12 84 12
-28 C4 EE CF 94 CB F6 CC 2E CE 60 C8 D4 CD 86 5B
-54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
-F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
-00 00 F9 23 2F 53 2D 53 F7 3F BE CE 86 5B 45 4C
-53 45 5D 00 0D 12 84 12 0A C4 00 00 D8 C7 5E CC
-2C C9 F4 CB A0 C7 34 C4 56 CF AE C7 14 C4 06 5B
-54 48 45 4E 5D 00 C8 CE 30 CF EC CE 0E CF 60 C8
-AE C7 14 C4 06 5B 45 4C 53 45 5D 00 C8 CE 46 CF
-EC CE 0C CF 60 C8 14 C4 04 5B 49 46 5D 00 C8 CE
-0E CF 3A C4 0C CF 82 C7 14 C4 05 0D 0A 6B 6F 20
-5C C7 BC C4 AC C4 3A C4 0E CF FC CE 84 5B 49 46
-5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 6C CF
-89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 5E CC
-2C C9 94 C9 7A CF 60 C8 80 CF 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 0D 12 84 12 8A CF F0 C7 60 C8
-B2 CF B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
-B6 CB 06 4D 41 52 4B 45 52 00 B0 12 4C CD BA 40
-85 12 FC FF BA 40 B0 CF FE FF 28 83 8A 48 00 00
-BA 40 AA C4 04 00 B2 50 06 00 C6 21 DD 3E 2E 53
-30 4D 0A C4 CA 21 E8 C7 60 C8 85 12 F2 CF 4E CE
-20 CE 2C C7 CA CC A6 CE F6 C6 C2 CF 12 C9 EA D0
-FE D0 F4 C9 26 C9 00 00 9A CF 08 CD 1C CA 00 00
-85 12 F2 CF C2 D6 28 D7 6A D6 78 D7 30 D6 00 00
-FC D3 00 00 40 D8 24 D8 94 D6 D2 D6 0C D5 00 00
-00 00 94 D7 1E D0 3A 40 0C 00 39 40 D6 21 08 49
-28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-3A 40 0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00
-18 53 1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42
-CA 21 DA 21 30 4D FA CF 78 D0 7E D0 8E D0 1A 42
-20 18 82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00
-09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
-00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
-30 4D 14 CD 09 50 57 52 5F 53 54 41 54 45 85 12
-86 D0 4C D8 E0 C8 09 52 53 54 5F 53 54 41 54 45
-92 42 0A 18 D2 D0 F3 3F C4 D0 08 50 57 52 5F 48
-45 52 45 00 92 42 C6 21 D2 D0 30 4D D6 D0 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F
-3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
-10 00 29 83 B9 43 80 FF FC 23 B9 40 5C D1 FE FF
-29 83 B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40
-14 18 B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49
-20 C6 B2 49 EC FF B2 49 0A 18 C2 3F B2 D0 03 00
-04 01 B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40
-E0 20 3F 40 80 20 39 40 00 08 29 83 89 43 00 20
-FC 23 B2 D3 06 02 B2 D3 02 02 F2 D2 05 02 B2 D0
-FF FE 26 02 B2 43 22 02 B2 D3 46 02 B2 43 42 02
-B2 D3 66 02 B2 43 62 02 F2 40 A5 00 A1 01 F2 40
-10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01 84 01
-82 43 88 01 F2 D0 06 00 2B 02 39 40 40 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 C4 1E C6 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C C9 94 C9 F0 C7
-34 C4 3C D2 88 CA 34 C4 56 D2 50 D2 3E D2 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 58 D2 B2 41 C4 21 3E 41 84 12
-0A C4 2B 00 2C C9 94 C9 F0 C7 34 C4 74 D2 88 CA
-34 C4 5C CC BA C7 2C C9 88 CA 34 C4 5C CC 80 D2
-3E 5F E7 3F 3E 40 28 00 B0 12 20 D2 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 BA CD 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C C9 88 CA 34 C4 C8 D2 BE D2 21 53
-3E 90 10 00 C6 2B 7F 2D CA D2 B2 41 C4 21 C1 3F
-0D 12 84 12 5E CC FC D1 DA D2 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 20 D2 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 20 D2 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-A8 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 A8 D2
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 A8 D2 FA 23 3C 50 10 00 B0 12 84 D2
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-5E CC FC D1 A6 D3 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 A8 D2 E0 23 3C 50
-80 00 B0 12 84 D2 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A C4 00 13 94 CB 60 C8 0A C4 2C 00
-D0 D2 9C D3 E6 D3 09 4B 2E 4E 0E DC A2 3F 94 CE
-03 4D 4F 56 85 12 DC D3 00 40 F0 D3 05 4D 4F 56
-2E 42 85 12 DC D3 40 40 00 00 03 41 44 44 85 12
-DC D3 00 50 0A D4 05 41 44 44 2E 42 85 12 DC D3
-40 50 16 D4 04 41 44 44 43 00 85 12 DC D3 00 60
-24 D4 06 41 44 44 43 2E 42 00 85 12 DC D3 40 60
-CA D3 04 53 55 42 43 00 85 12 DC D3 00 70 42 D4
-06 53 55 42 43 2E 42 00 85 12 DC D3 40 70 50 D4
-03 53 55 42 85 12 DC D3 00 80 60 D4 05 53 55 42
-2E 42 85 12 DC D3 40 80 70 CE 03 43 4D 50 85 12
-DC D3 00 90 7A D4 05 43 4D 50 2E 42 85 12 DC D3
-40 90 5C CE 04 44 41 44 44 00 85 12 DC D3 00 A0
-94 D4 06 44 41 44 44 2E 42 00 85 12 DC D3 40 A0
-86 D4 03 42 49 54 85 12 DC D3 00 B0 B2 D4 05 42
-49 54 2E 42 85 12 DC D3 40 B0 BE D4 03 42 49 43
-85 12 DC D3 00 C0 CC D4 05 42 49 43 2E 42 85 12
-DC D3 40 C0 D8 D4 03 42 49 53 85 12 DC D3 00 D0
-E6 D4 05 42 49 53 2E 42 85 12 DC D3 40 D0 00 00
-03 58 4F 52 85 12 DC D3 00 E0 00 D5 05 58 4F 52
-2E 42 85 12 DC D3 40 E0 32 D4 03 41 4E 44 85 12
-DC D3 00 F0 1A D5 05 41 4E 44 2E 42 85 12 DC D3
-40 F0 5E CC D0 D2 38 D5 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 6C D4 03 52 52 43 85 12
-32 D5 00 10 4A D5 05 52 52 43 2E 42 85 12 32 D5
-40 10 56 D5 04 53 57 50 42 00 85 12 32 D5 80 10
-64 D5 03 52 52 41 85 12 32 D5 00 11 72 D5 05 52
-52 41 2E 42 85 12 32 D5 40 11 7E D5 03 53 58 54
-85 12 32 D5 80 11 00 00 04 50 55 53 48 00 85 12
-32 D5 00 12 98 D5 06 50 55 53 48 2E 42 00 85 12
-32 D5 40 12 F2 D4 04 43 41 4C 4C 00 85 12 32 D5
-80 12 1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 8C D5
-03 53 3E 3D 86 12 00 38 E0 D5 02 53 3C 00 86 12
-00 34 A6 D5 03 30 3E 3D 86 12 00 30 F4 D5 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-08 D6 03 55 3E 3D 86 12 00 28 FE D5 03 30 3C 3E
-86 12 00 24 1C D6 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D 12 D6 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D A2 D4 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F B6 D5 05 42 45 47 49 4E 30 40
-28 C4 46 D6 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-26 D5 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 34 D6 BA C7
-60 C8 EA D5 06 52 45 50 45 41 54 00 0D 12 84 12
-C8 D6 4C D6 60 C8 F8 D6 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 88 D6
-03 42 57 31 85 12 F6 D6 00 00 10 D7 03 42 57 32
-85 12 F6 D6 00 00 1C D7 03 42 57 33 85 12 F6 D6
-00 00 34 D7 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 32 D7 00 00 54 D7
-03 46 57 32 85 12 32 D7 00 00 60 D7 03 46 57 33
-85 12 32 D7 00 00 6C D7 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 CC CC 38 CC
-60 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-5E CC FC D1 B6 D7 92 53 C4 21 3E 40 2C 00 84 12
-2C C9 88 CA 34 C4 5C CC 92 D3 CC D7 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E E4 D6 04 52 52 43 4D 00
-85 12 B0 D7 50 00 FA D7 04 52 52 41 4D 00 85 12
-B0 D7 50 01 08 D8 04 52 4C 41 4D 00 85 12 B0 D7
-50 02 16 D8 04 52 52 55 4D 00 85 12 B0 D7 50 03
-26 D6 05 50 55 53 48 4D 85 12 B0 D7 00 15 32 D8
-04 50 4F 50 4D 00 85 12 B0 D7 00 17
+84 12 3E D0 18 D0 60 C8 EE CD 07 48 44 4E 43 4F
+44 45 B2 40 1C D0 DA 21 EE 3F 00 00 07 45 4E 44
+43 4F 44 45 0D 12 84 12 A2 CD 58 D0 76 D0 60 C8
+00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12
+00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21
+0D 12 84 12 58 D0 76 D0 60 C8 00 00 05 4C 4F 32
+48 49 A2 83 C6 21 1A 42 C6 21 EB 3F 3A CE 85 48
+49 32 4C 4F 0D 12 84 12 28 C4 E6 CF 94 CB F6 CC
+2E CE 60 C8 D4 CD 86 5B 54 48 45 4E 5D 00 30 4D
+0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
+06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
+3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
+F7 3F B6 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12
+0A C4 00 00 D8 C7 4E CC 2C C9 E4 CB A0 C7 34 C4
+4E CF AE C7 14 C4 06 5B 54 48 45 4E 5D 00 C0 CE
+28 CF E4 CE 06 CF 60 C8 AE C7 14 C4 06 5B 45 4C
+53 45 5D 00 C0 CE 3E CF E4 CE 04 CF 60 C8 14 C4
+04 5B 49 46 5D 00 C0 CE 06 CF 3A C4 04 CF 82 C7
+14 C4 05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4
+06 CF F4 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
+30 4D 2F 53 30 4D 64 CF 89 5B 44 45 46 49 4E 45
+44 5D 0D 12 84 12 4E CC 2C C9 94 C9 72 CF 60 C8
+78 CF 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
+84 12 82 CF F0 C7 60 C8 AA CF B2 4E 0A 18 2E 53
+BE 12 3E 4F 3D 41 90 3C A6 CB 06 4D 41 52 4B 45
+52 00 B0 12 4C CD BA 40 85 12 FC FF BA 40 A8 CF
+FE FF 28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50
+06 00 C6 21 E1 3E 2E 53 30 4D 0A C4 CA 21 E8 C7
+60 C8 85 12 EA CF B2 CC 20 CE 2C C7 CA CC 9E CE
+F6 C6 BA CF 12 C9 E2 D0 F6 D0 F4 C9 26 C9 00 00
+92 CF 08 CD 1C CA 00 00 85 12 EA CF BA D6 20 D7
+62 D6 70 D7 28 D6 00 00 F4 D3 00 00 38 D8 1C D8
+8C D6 CA D6 04 D5 00 00 00 00 8C D7 16 D0 3A 40
+0C 00 39 40 D6 21 08 49 28 53 19 83 18 83 E8 49
+00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21
+09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
+82 43 CC 21 30 4D 92 42 CA 21 DA 21 30 4D F2 CF
+70 D0 76 D0 86 D0 1A 42 20 18 82 4A C8 21 2E 4E
+82 4E C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48
+FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
+0A 93 F0 23 3E 4F 3D 41 30 4D 14 CD 09 50 57 52
+5F 53 54 41 54 45 85 12 7E D0 44 D8 E0 C8 09 52
+53 54 5F 53 54 41 54 45 92 42 0A 18 CA D0 F3 3F
+BC D0 08 50 57 52 5F 48 45 52 45 00 92 42 C6 21
+CA D0 30 4D CE D0 08 52 53 54 5F 48 45 52 45 00
+92 42 C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
+E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
+FC 23 B9 40 54 D1 FE FF 29 83 B9 40 02 C6 FE FF
+39 90 AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49
+FA C4 B2 49 02 C4 B2 49 20 C6 B2 49 EC FF B2 49
+0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
+B2 40 80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40
+00 08 29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 D3
+02 02 F2 D2 05 02 B2 D0 FF FE 26 02 B2 43 22 02
+B2 D3 46 02 B2 43 42 02 B2 D3 66 02 B2 43 62 02
+F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 BA 00
+82 01 B2 40 E8 01 84 01 82 43 88 01 F2 D0 06 00
+2B 02 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 C4 1E C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 2C C9 94 C9 F0 C7 34 C4 34 D2 88 CA 34 C4
+4E D2 48 D2 36 D2 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 50 D2
+B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2C C9 94 C9
+F0 C7 34 C4 6C D2 88 CA 34 C4 4C CC BA C7 2C C9
+88 CA 34 C4 4C CC 78 D2 3E 5F E7 3F 3E 40 28 00
+B0 12 18 D2 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 BA CD
+1C 15 12 12 C4 21 92 53 C4 21 84 12 2C C9 88 CA
+34 C4 C0 D2 B6 D2 21 53 3E 90 10 00 C6 2B 7F 2D
+C2 D2 B2 41 C4 21 C1 3F 0D 12 84 12 4E CC F4 D1
+D2 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 18 D2 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 18 D2 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 A0 D2 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 A0 D2 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 A0 D2 FA 23
+3C 50 10 00 B0 12 7C D2 EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 4E CC F4 D1 9E D3 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 A0 D2 E0 23 3C 50 80 00 B0 12 7C D2 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
+94 CB 60 C8 0A C4 2C 00 C8 D2 94 D3 DE D3 09 4B
+2E 4E 0E DC A2 3F 8C CE 03 4D 4F 56 85 12 D4 D3
+00 40 E8 D3 05 4D 4F 56 2E 42 85 12 D4 D3 40 40
+00 00 03 41 44 44 85 12 D4 D3 00 50 02 D4 05 41
+44 44 2E 42 85 12 D4 D3 40 50 0E D4 04 41 44 44
+43 00 85 12 D4 D3 00 60 1C D4 06 41 44 44 43 2E
+42 00 85 12 D4 D3 40 60 C2 D3 04 53 55 42 43 00
+85 12 D4 D3 00 70 3A D4 06 53 55 42 43 2E 42 00
+85 12 D4 D3 40 70 48 D4 03 53 55 42 85 12 D4 D3
+00 80 58 D4 05 53 55 42 2E 42 85 12 D4 D3 40 80
+62 CE 03 43 4D 50 85 12 D4 D3 00 90 72 D4 05 43
+4D 50 2E 42 85 12 D4 D3 40 90 4C CE 04 44 41 44
+44 00 85 12 D4 D3 00 A0 8C D4 06 44 41 44 44 2E
+42 00 85 12 D4 D3 40 A0 7E D4 03 42 49 54 85 12
+D4 D3 00 B0 AA D4 05 42 49 54 2E 42 85 12 D4 D3
+40 B0 B6 D4 03 42 49 43 85 12 D4 D3 00 C0 C4 D4
+05 42 49 43 2E 42 85 12 D4 D3 40 C0 D0 D4 03 42
+49 53 85 12 D4 D3 00 D0 DE D4 05 42 49 53 2E 42
+85 12 D4 D3 40 D0 00 00 03 58 4F 52 85 12 D4 D3
+00 E0 F8 D4 05 58 4F 52 2E 42 85 12 D4 D3 40 E0
+2A D4 03 41 4E 44 85 12 D4 D3 00 F0 12 D5 05 41
+4E 44 2E 42 85 12 D4 D3 40 F0 4E CC C8 D2 30 D5
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+64 D4 03 52 52 43 85 12 2A D5 00 10 42 D5 05 52
+52 43 2E 42 85 12 2A D5 40 10 4E D5 04 53 57 50
+42 00 85 12 2A D5 80 10 5C D5 03 52 52 41 85 12
+2A D5 00 11 6A D5 05 52 52 41 2E 42 85 12 2A D5
+40 11 76 D5 03 53 58 54 85 12 2A D5 80 11 00 00
+04 50 55 53 48 00 85 12 2A D5 00 12 90 D5 06 50
+55 53 48 2E 42 00 85 12 2A D5 40 12 EA D4 04 43
+41 4C 4C 00 85 12 2A D5 80 12 1A 53 0E 4A 0D 12
+84 12 D6 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 C5 84 D5 03 53 3E 3D 86 12 00 38
+D8 D5 02 53 3C 00 86 12 00 34 9E D5 03 30 3E 3D
+86 12 00 30 EC D5 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C 00 D6 03 55 3E 3D 86 12
+00 28 F6 D5 03 30 3C 3E 86 12 00 24 14 D6 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D 0A D6 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+9A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F AE D5
+05 42 45 47 49 4E 30 40 28 C4 3E D6 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D 1E D5 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 2C D6 BA C7 60 C8 E2 D5 06 52 45 50
+45 41 54 00 0D 12 84 12 C0 D6 44 D6 60 C8 F0 D6
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 80 D6 03 42 57 31 85 12 EE D6
+00 00 08 D7 03 42 57 32 85 12 EE D6 00 00 14 D7
+03 42 57 33 85 12 EE D6 00 00 2C D7 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 2A D7 00 00 4C D7 03 46 57 32 85 12 2A D7
+00 00 58 D7 03 46 57 33 85 12 2A D7 00 00 64 D7
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 CC CC 28 CC 60 C8 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 4E CC F4 D1 AE D7 92 53
+C4 21 3E 40 2C 00 84 12 2C C9 88 CA 34 C4 4C CC
+8A D3 C4 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+DC D6 04 52 52 43 4D 00 85 12 A8 D7 50 00 F2 D7
+04 52 52 41 4D 00 85 12 A8 D7 50 01 00 D8 04 52
+4C 41 4D 00 85 12 A8 D7 50 02 0E D8 04 52 52 55
+4D 00 85 12 A8 D7 50 03 1E D6 05 50 55 53 48 4D
+85 12 A8 D7 00 15 2A D8 04 50 4F 50 4D 00 85 12
+A8 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -337,5 +337,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 94 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 5C D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 54 D1
 q
index b235bd3..d7ad25d 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF 36 D8 42 D0 33 01
-10 00 81 86 B4 C5 AA C4 B6 C5 8A C5 80 C6 36 D8
-42 D0 6E C6 90 C7 FC C6 D8 C6 3C 21 5E C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 60 CC 7A CC 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF 1E D8 2A D0 34 01
+10 00 41 87 B6 C5 AA C4 B8 C5 8C C5 82 C6 1E D8
+2A D0 70 C6 80 C7 FE C6 DA C6 3C 21 4E C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6C C6 B2 49 8E C7 B2 49 FA C6 B2 49 D6 C6
+B2 49 6E C6 B2 49 7E C7 B2 49 FC C6 B2 49 D8 C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 0E D1 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 DE C7 14 C7 48 C7 34 C4 60 CC 14 C4
-05 1B 5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8
-A6 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C7 9E C8 5A C7 14 C4
-04 1B 5B 30 6D 00 5A C7 60 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 4A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 E2 B2 00 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12 8A C5
-78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D 0A 1B
-5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8 0A C4
-23 00 F8 C6 D4 C8 14 C4 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C7 0A C4 40 FF 28 C4 D2 C7 9E C8 14 C4
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C4 7C C5
-00 00 06 41 43 43 45 50 54 00 30 40 6E C6 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C5
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C6 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C6 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 C6 04 45
-43 48 4F 00 B2 40 C2 48 06 C7 82 43 DE 21 38 40
-05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C7 92 43 DE 21 28 42 F1 3F
-2A C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C6 78 C7 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40 90 C7
-0D 12 84 12 14 C4 02 0D 0A 00 5A C7 5E C8 2F 83
+B2 49 DC 21 3D 41 30 40 F6 D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 CE C7 16 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7
+C4 C8 96 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C7 8E C8 4A C7
+14 C4 04 1B 5B 30 6D 00 4A C7 50 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 4A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 E2 B2 00 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00 B0 12
+8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4 07 0D
+0A 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7 C4 C8
+0A C4 23 00 FA C6 C4 C8 14 C4 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C7 0A C4 40 FF 28 C4 C2 C7 8E C8
+14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
+7E C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
+58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
+04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
+38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C6 68 C7 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40 80 C7
+0D 12 84 12 14 C4 02 0D 0A 00 4A C7 4E C8 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C6 01 40 2E 4E
-30 4D DC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40 2E 4E
+30 4D CC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 C7 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 C7 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 C8 02 23 53 00 0D 12 84 12
-1A C8 54 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 C8 02 23 53 00 0D 12 84 12
+0A C8 44 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C7 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C7 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C8
-4C C8 EE C4 8C C8 68 C8 5A C7 5C CC F8 C6 5E C8
-42 C7 01 2E 0E 93 E3 37 38 43 E2 3F 86 C8 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 92 CB
-0A C4 22 00 2A C9 F8 C8 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 C8
-82 2E 22 00 0D 12 84 12 E2 C8 0A C4 5A C7 92 CB
-5E C8 F6 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C7
+3C C8 EE C4 7C C8 58 C8 4A C7 3C CC FA C6 4E C8
+2C C7 01 2E 0E 93 E3 37 38 43 E2 3F 76 C8 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 82 CB
+0A C4 22 00 1A C9 E8 C8 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 C8
+82 2E 22 00 0D 12 84 12 D2 C8 0A C4 4A C7 82 CB
+4E C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -99,22 +98,22 @@ C6 21 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
 48 96 F7 23 0A 4C FA 99 01 00 F3 23 1A 53 58 83
 FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 2E 83 8F 4C 00 00 36 40 E2 C4 35 40 D4 C4 30 4D
-9A C8 03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43
+8A C8 03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43
 0E BA 02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A F8 2B
 8F 49 00 00 0E 48 30 4D 00 00 07 3E 4E 55 4D 42
 45 52 2C 4F 0B 4E 1A 42 DC 21 68 4C 78 80 30 00
 78 90 0A 00 05 28 78 80 07 00 78 90 0A 00 1F 28
 08 9A 22 C3 1C 2C 5D 15 1C 4F 02 00 0E 4A 3D 40
-54 CA D2 3F 56 CA 81 49 02 00 1C 4F 04 00 1E 41
-04 00 3D 40 68 CA C8 3F 6A CA 39 51 3E 61 8F 49
+44 CA D2 3F 46 CA 81 49 02 00 1C 4F 04 00 1E 41
+04 00 3D 40 58 CA C8 3F 5A CA 39 51 3E 61 8F 49
 04 00 8F 4E 02 00 3A 17 1C 53 1B 83 D6 23 8F 4C
 00 00 0E 4B 30 4D 32 C0 00 02 1B 42 DC 21 0C 43
-2D 15 3D 40 E8 CA 0A 4B 3F 82 8F 4E 06 00 8F 43
+2D 15 3D 40 D8 CA 0A 4B 3F 82 8F 4E 06 00 8F 43
 04 00 8F 43 02 00 0C 4E 7B 4C FC 90 27 00 00 00
 06 20 DF 4C 01 00 04 00 7E 90 03 00 47 3C 68 4C
 78 80 2D 00 04 28 B1 23 B1 43 02 00 0A 3C 2A 43
 78 52 07 24 3A 52 68 53 04 24 3A 40 10 00 78 53
-35 20 1C 53 1B 83 EB 3F EA CA 30 24 2D 83 78 90
+35 20 1C 53 1B 83 EB 3F DA CA 30 24 2D 83 78 90
 28 00 C2 27 32 B0 00 02 29 20 32 D0 00 02 78 90
 F7 00 BA 27 78 90 F5 00 21 20 09 43 8F 49 02 00
 5B 83 09 4B 09 5C 69 49 79 80 30 00 79 90 0A 00
@@ -126,34 +125,34 @@ F7 00 BA 27 78 90 F5 00 21 20 09 43 8F 49 02 00
 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53 02 00
 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D 00 00
 01 2C 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 3E 4F
-30 4D E4 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F
-30 4D 90 CB 87 4C 49 54 45 52 41 4C 82 93 BE 21
+30 4D 80 CB 87 4C 49 54 45 52 41 4C 82 93 BE 21
 0D 24 09 4E 1A 42 C6 21 A2 52 C6 21 BA 40 0A C4
 00 00 8A 49 02 00 3E 4F 32 B0 00 02 32 C0 00 02
-03 24 8A 4E 02 00 EE 3F 30 4D 64 C8 05 43 4F 55
+03 24 8A 4E 02 00 EE 3F 30 4D 54 C8 05 43 4F 55
 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
-78 C8 09 49 4E 54 45 52 50 52 45 54 0D 12 84 12
-AC C4 5C CC 2A C9 18 CC 77 26 3D 40 20 CC B9 3E
-22 CC 0A 4E 3E 4F 3D 40 3C CC 2D 27 3D 40 12 CC
-1A E2 BE 21 AE 27 0E 12 3E 4F 30 41 3E CC 3E 4F
-3D 40 12 CC BB 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 CE CD CC 3F 46 CC 86 12 20 00
+68 C8 09 49 4E 54 45 52 50 52 45 54 0D 12 84 12
+AC C4 3C CC 1A C9 F8 CB 7F 26 3D 40 00 CC C1 3E
+02 CC 0A 4E 3E 4F 3D 40 1C CC 35 27 3D 40 F2 CB
+1A E2 BE 21 B6 27 0E 12 3E 4F 30 41 1E CC 3E 4F
+3D 40 F2 CB BB 23 DE 53 00 00 68 4E 08 5E F8 40
+3F 00 00 00 3D 40 BE CD CC 3F 26 CC 86 12 20 00
+D4 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F 30 4D
 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20 00 20
-82 43 BE 21 84 12 8C C7 BC C4 0C CC C2 C7 F4 C7
+82 43 BE 21 84 12 7C C7 BC C4 EC CB B2 C7 E4 C7
 14 C4 0C 73 74 61 63 6B 20 65 6D 70 74 79 21 00
-2A C5 0A C4 40 FF 28 C4 FC C7 14 C4 0A 46 52 41
-4D 20 66 75 6C 6C 21 00 2A C5 3A C4 76 CC A4 CB
-86 41 42 4F 52 54 22 00 0D 12 84 12 E2 C8 0A C4
-2A C5 92 CB 5E C8 8C C9 01 27 0D 12 84 12 5C CC
-2A C9 92 C9 34 C4 5A CC 5E C8 00 00 83 5B 27 5D
-0D 12 84 12 CA CC 0A C4 0A C4 92 CB 92 CB 5E C8
-DC CC 81 5B 82 43 BE 21 30 4D 0A C8 01 5D B2 43
-BE 21 30 4D FC CC 81 5C 92 42 C0 21 C4 21 30 4D
+2A C5 0A C4 40 FF 28 C4 EC C7 14 C4 0A 46 52 41
+4D 20 66 75 6C 6C 21 00 2A C5 3A C4 66 CC 42 CC
+86 41 42 4F 52 54 22 00 0D 12 84 12 D2 C8 0A C4
+2A C5 82 CB 4E C8 7C C9 01 27 0D 12 84 12 3C CC
+1A C9 82 C9 34 C4 3A CC 4E C8 00 00 83 5B 27 5D
+0D 12 84 12 BA CC 0A C4 0A C4 82 CB 82 CB 4E C8
+CC CC 81 5B 82 43 BE 21 30 4D FA C7 01 5D B2 43
+BE 21 30 4D EC CC 81 5C 92 42 C0 21 C4 21 30 4D
 00 00 88 50 4F 53 54 50 4F 4E 45 00 0D 12 84 12
-5C CC 2A C9 92 C9 A6 C7 34 C4 5A CC F4 C7 34 C4
-3E CD 0A C4 0A C4 92 CB 92 CB 0A C4 92 CB 92 CB
-5E C8 F2 CC 01 3A 30 12 8E CD 92 B3 C6 21 A2 63
-C6 21 0D 12 84 12 5C CC 2A C9 5C CD 3D 41 08 4E
+3C CC 1A C9 82 C9 96 C7 34 C4 3A CC E4 C7 34 C4
+2E CD 0A C4 0A C4 82 CB 82 CB 0A C4 82 CB 82 CB
+4E C8 E2 CC 01 3A 30 12 7E CD 92 B3 C6 21 A2 63
+C6 21 0D 12 84 12 3C CC 1A C9 4C CD 3D 41 08 4E
 7A 4E 5A D3 5A 53 0A 58 19 42 DA 21 6E 4E 3E F0
 1E 00 09 5E 3E 4F 82 48 B6 21 82 49 B8 21 82 4A
 BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41 BA 40
@@ -161,180 +160,179 @@ BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41 BA 40
 82 9F BC 21 09 20 18 42 B6 21 19 42 B8 21 A8 49
 FE FF 89 48 00 00 30 4D 0D 12 84 12 14 C4 0F 73
 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 36 C5
-44 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12 0A C4
-5E C8 92 CB A0 CD F4 CC 5E C8 02 CC 09 49 4D 4D
+34 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12 0A C4
+4E C8 82 CB 90 CD E4 CC 4E C8 E2 CB 09 49 4D 4D
 45 44 49 41 54 45 18 42 B6 21 F8 D0 80 00 00 00
-30 4D EC CB 06 43 52 45 41 54 45 00 B0 12 4A CD
-BA 40 86 12 FC FF 8A 4A FE FF C9 3F 04 CE 04 43
-4F 44 45 00 B0 12 4A CD A2 82 C6 21 0D 12 84 12
-44 D0 1E D0 5E C8 00 00 07 45 4E 44 43 4F 44 45
-0D 12 84 12 A0 CD 5E D0 5E C8 B0 CC 03 41 53 4D
-B2 40 22 D0 DA 21 E6 3F 38 CE 06 45 4E 44 41 53
-4D 00 0D 12 84 12 40 CE 7C D0 5E C8 00 00 05 43
-4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00 BA 40
-84 12 02 00 A2 52 C6 21 B2 43 BE 21 30 40 5E D0
-00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
-EE 3F EC CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4
-EC CF 92 CB F4 CC 2C CE 5E C8 D2 CD 86 5B 54 48
-45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
-FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
-F9 23 2F 53 2D 53 F7 3F BC CE 86 5B 45 4C 53 45
-5D 00 0D 12 84 12 0A C4 00 00 D6 C7 5C CC 2A C9
-F2 CB 9E C7 34 C4 54 CF AC C7 14 C4 06 5B 54 48
-45 4E 5D 00 C6 CE 2E CF EA CE 0C CF 5E C8 AC C7
-14 C4 06 5B 45 4C 53 45 5D 00 C6 CE 44 CF EA CE
-0A CF 5E C8 14 C4 04 5B 49 46 5D 00 C6 CE 0C CF
-3A C4 0A CF 80 C7 14 C4 05 0D 0A 6B 6F 20 5A C7
-BC C4 AC C4 3A C4 0C CF FA CE 84 5B 49 46 5D 00
-0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 6A CF 89 5B
-44 45 46 49 4E 45 44 5D 0D 12 84 12 5C CC 2A C9
-92 C9 78 CF 5E C8 7E CF 8B 5B 55 4E 44 45 46 49
-4E 45 44 5D 0D 12 84 12 88 CF EE C7 5E C8 B0 CF
-B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C B4 CB
-06 4D 41 52 4B 45 52 00 B0 12 4A CD BA 40 85 12
-FC FF BA 40 AE CF FE FF 28 83 8A 48 00 00 BA 40
-AA C4 04 00 B2 50 06 00 C6 21 DD 3E 2E 53 30 4D
-0A C4 CA 21 E6 C7 5E C8 85 12 F0 CF 4C CE 1E CE
-0E C7 C8 CC A4 CE D0 C6 C0 CF 10 C9 E8 D0 FC D0
-F2 C9 24 C9 00 00 98 CF 06 CD 1A CA 00 00 85 12
-F0 CF AC D6 12 D7 54 D6 62 D7 1A D6 00 00 E6 D3
-00 00 2A D8 0E D8 7E D6 BC D6 F6 D4 00 00 00 00
-7E D7 1C D0 3A 40 0C 00 39 40 D6 21 08 49 28 53
-19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
-0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
-1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
-DA 21 30 4D F8 CF 76 D0 7C D0 8C D0 1A 42 20 18
-82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
-08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
-1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
-12 CD 09 50 57 52 5F 53 54 41 54 45 85 12 84 D0
-36 D8 DE C8 09 52 53 54 5F 53 54 41 54 45 92 42
-0A 18 D0 D0 F3 3F C2 D0 08 50 57 52 5F 48 45 52
-45 00 92 42 C6 21 D0 D0 30 4D D4 D0 08 52 53 54
-5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
-0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
-29 83 B9 43 80 FF FC 23 B9 40 5A D1 FE FF 29 83
-B9 40 E0 C5 FE FF 39 90 AE FF F9 23 39 40 14 18
-B2 49 E2 C5 B2 49 FA C4 B2 49 02 C4 B2 49 FE C5
-B2 49 EA FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
-B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
-3F 40 80 20 39 40 00 08 29 83 89 43 00 20 FC 23
-B2 D3 06 02 B2 D3 02 02 B2 D0 FF FE 26 02 B2 43
-22 02 B2 D3 46 02 B2 43 42 02 B2 D3 66 02 B2 43
-62 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
-B0 00 82 01 B2 40 1E 00 84 01 82 43 88 01 F2 D0
-06 00 2B 02 39 40 40 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
-B0 12 F8 C4 FC C5 38 40 C0 21 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
-C4 21 84 12 2A C9 92 C9 EE C7 34 C4 26 D2 86 CA
-34 C4 40 D2 3A D2 28 D2 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-42 D2 B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2A C9
-92 C9 EE C7 34 C4 5E D2 86 CA 34 C4 5A CC B8 C7
-2A C9 86 CA 34 C4 5A CC 6A D2 3E 5F E7 3F 3E 40
-28 00 B0 12 0A D2 19 42 C6 21 A2 53 C6 21 89 4E
-00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
-B8 CD 1C 15 12 12 C4 21 92 53 C4 21 84 12 2A C9
-86 CA 34 C4 B2 D2 A8 D2 21 53 3E 90 10 00 C6 2B
-7F 2D B4 D2 B2 41 C4 21 C1 3F 0D 12 84 12 5C CC
-E6 D1 C4 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 0A D2
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 21 B0 12 0A D2 ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 21 B0 12 92 D2 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 92 D2 92 92 C0 21 C4 21
-02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 92 D2
-FA 23 3C 50 10 00 B0 12 6E D2 EF 3F 0C 43 1B 42
-C6 21 A2 53 C6 21 0D 12 84 12 5C CC E6 D1 90 D3
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 92 D2 E0 23 3C 50 80 00 B0 12 6E D2
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A C4
-00 13 92 CB 5E C8 0A C4 2C 00 BA D2 86 D3 D0 D3
-09 4B 2E 4E 0E DC A2 3F 92 CE 03 4D 4F 56 85 12
-C6 D3 00 40 DA D3 05 4D 4F 56 2E 42 85 12 C6 D3
-40 40 00 00 03 41 44 44 85 12 C6 D3 00 50 F4 D3
-05 41 44 44 2E 42 85 12 C6 D3 40 50 00 D4 04 41
-44 44 43 00 85 12 C6 D3 00 60 0E D4 06 41 44 44
-43 2E 42 00 85 12 C6 D3 40 60 B4 D3 04 53 55 42
-43 00 85 12 C6 D3 00 70 2C D4 06 53 55 42 43 2E
-42 00 85 12 C6 D3 40 70 3A D4 03 53 55 42 85 12
-C6 D3 00 80 4A D4 05 53 55 42 2E 42 85 12 C6 D3
-40 80 6E CE 03 43 4D 50 85 12 C6 D3 00 90 64 D4
-05 43 4D 50 2E 42 85 12 C6 D3 40 90 5A CE 04 44
-41 44 44 00 85 12 C6 D3 00 A0 7E D4 06 44 41 44
-44 2E 42 00 85 12 C6 D3 40 A0 70 D4 03 42 49 54
-85 12 C6 D3 00 B0 9C D4 05 42 49 54 2E 42 85 12
-C6 D3 40 B0 A8 D4 03 42 49 43 85 12 C6 D3 00 C0
-B6 D4 05 42 49 43 2E 42 85 12 C6 D3 40 C0 C2 D4
-03 42 49 53 85 12 C6 D3 00 D0 D0 D4 05 42 49 53
-2E 42 85 12 C6 D3 40 D0 00 00 03 58 4F 52 85 12
-C6 D3 00 E0 EA D4 05 58 4F 52 2E 42 85 12 C6 D3
-40 E0 1C D4 03 41 4E 44 85 12 C6 D3 00 F0 04 D5
-05 41 4E 44 2E 42 85 12 C6 D3 40 F0 5C CC BA D2
-22 D5 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 56 D4 03 52 52 43 85 12 1C D5 00 10 34 D5
-05 52 52 43 2E 42 85 12 1C D5 40 10 40 D5 04 53
-57 50 42 00 85 12 1C D5 80 10 4E D5 03 52 52 41
-85 12 1C D5 00 11 5C D5 05 52 52 41 2E 42 85 12
-1C D5 40 11 68 D5 03 53 58 54 85 12 1C D5 80 11
-00 00 04 50 55 53 48 00 85 12 1C D5 00 12 82 D5
-06 50 55 53 48 2E 42 00 85 12 1C D5 40 12 DC D4
-04 43 41 4C 4C 00 85 12 1C D5 80 12 1A 53 0E 4A
-0D 12 84 12 D4 C8 14 C4 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 C5 76 D5 03 53 3E 3D 86 12
-00 38 CA D5 02 53 3C 00 86 12 00 34 90 D5 03 30
-3E 3D 86 12 00 30 DE D5 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C F2 D5 03 55 3E 3D
-86 12 00 28 E8 D5 03 30 3C 3E 86 12 00 24 06 D6
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D FC D5
-04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 8C D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40
-00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
-A0 D5 05 42 45 47 49 4E 30 40 28 C4 30 D6 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 21 30 4D 10 D5 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 1E D6 B8 C7 5E C8 D4 D5 06 52
-45 50 45 41 54 00 0D 12 84 12 B2 D6 36 D6 5E C8
-E2 D6 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
-98 42 C6 21 00 00 30 4D 72 D6 03 42 57 31 85 12
-E0 D6 00 00 FA D6 03 42 57 32 85 12 E0 D6 00 00
-06 D7 03 42 57 33 85 12 E0 D6 00 00 1E D7 3D 41
-1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
-A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 1C D7 00 00 3E D7 03 46 57 32 85 12
-1C D7 00 00 4A D7 03 46 57 33 85 12 1C D7 00 00
-56 D7 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 CA CC 36 CC 5E C8 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 5C CC E6 D1 A0 D7
-92 53 C4 21 3E 40 2C 00 84 12 2A C9 86 CA 34 C4
-5A CC 7C D3 B6 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E CE D6 04 52 52 43 4D 00 85 12 9A D7 50 00
-E4 D7 04 52 52 41 4D 00 85 12 9A D7 50 01 F2 D7
-04 52 4C 41 4D 00 85 12 9A D7 50 02 00 D8 04 52
-52 55 4D 00 85 12 9A D7 50 03 10 D6 05 50 55 53
-48 4D 85 12 9A D7 00 15 1C D8 04 50 4F 50 4D 00
-85 12 9A D7 00 17
+30 4D CC CB 06 43 52 45 41 54 45 00 B0 12 3A CD
+BA 40 86 12 FC FF 8A 4A FE FF C9 3F F4 CD 04 43
+4F 44 45 00 B0 12 3A CD A2 82 C6 21 0D 12 84 12
+2C D0 06 D0 4E C8 DC CD 07 48 44 4E 43 4F 44 45
+B2 40 0A D0 DA 21 EE 3F 00 00 07 45 4E 44 43 4F
+44 45 0D 12 84 12 90 CD 46 D0 64 D0 4E C8 00 00
+05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00
+BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21 0D 12
+84 12 46 D0 64 D0 4E C8 00 00 05 4C 4F 32 48 49
+A2 83 C6 21 1A 42 C6 21 EB 3F 28 CE 85 48 49 32
+4C 4F 0D 12 84 12 28 C4 D4 CF 82 CB E4 CC 1C CE
+4E C8 C2 CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
+1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
+30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
+A4 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
+00 00 C6 C7 3C CC 1A C9 D2 CB 8E C7 34 C4 3C CF
+9C C7 14 C4 06 5B 54 48 45 4E 5D 00 AE CE 16 CF
+D2 CE F4 CE 4E C8 9C C7 14 C4 06 5B 45 4C 53 45
+5D 00 AE CE 2C CF D2 CE F2 CE 4E C8 14 C4 04 5B
+49 46 5D 00 AE CE F4 CE 3A C4 F2 CE 70 C7 14 C4
+05 0D 0A 6B 6F 20 4A C7 BC C4 AC C4 3A C4 F4 CE
+E2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
+2F 53 30 4D 52 CF 89 5B 44 45 46 49 4E 45 44 5D
+0D 12 84 12 3C CC 1A C9 82 C9 60 CF 4E C8 66 CF
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
+70 CF DE C7 4E C8 98 CF B2 4E 0A 18 2E 53 BE 12
+3E 4F 3D 41 90 3C 94 CB 06 4D 41 52 4B 45 52 00
+B0 12 3A CD BA 40 85 12 FC FF BA 40 96 CF FE FF
+28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
+C6 21 E1 3E 2E 53 30 4D 0A C4 CA 21 D6 C7 4E C8
+85 12 D8 CF A0 CC 0E CE 10 C7 B8 CC 8C CE D2 C6
+A8 CF 00 C9 D0 D0 E4 D0 E2 C9 14 C9 00 00 80 CF
+F6 CC 0A CA 00 00 85 12 D8 CF 94 D6 FA D6 3C D6
+4A D7 02 D6 00 00 CE D3 00 00 12 D8 F6 D7 66 D6
+A4 D6 DE D4 00 00 00 00 66 D7 04 D0 3A 40 0C 00
+39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
+29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
+CC 21 30 4D 92 42 CA 21 DA 21 30 4D E0 CF 5E D0
+64 D0 74 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
+C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 02 CD 09 50 57 52 5F 53
+54 41 54 45 85 12 6C D0 1E D8 CE C8 09 52 53 54
+5F 53 54 41 54 45 92 42 0A 18 B8 D0 F3 3F AA D0
+08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 B8 D0
+30 4D BC D0 08 52 53 54 5F 48 45 52 45 00 92 42
+C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
+0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
+B9 40 42 D1 FE FF 29 83 B9 40 E2 C5 FE FF 39 90
+AE FF F9 23 39 40 14 18 B2 49 E4 C5 B2 49 FA C4
+B2 49 02 C4 B2 49 00 C6 B2 49 EA FF B2 49 0A 18
+C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
+80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 08
+29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 D3 02 02
+B2 D0 FF FE 26 02 B2 43 22 02 B2 D3 46 02 B2 43
+42 02 B2 D3 66 02 B2 43 62 02 B2 40 00 A5 60 01
+B2 40 FF 1E 80 01 B2 40 B0 00 82 01 B2 40 1E 00
+84 01 82 43 88 01 F2 D0 06 00 2B 02 39 40 40 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18
+82 43 08 18 1E D2 5E 01 B0 12 F8 C4 FE C5 38 40
+C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
+30 4D 1C 15 0E 12 12 12 C4 21 84 12 1A C9 82 C9
+DE C7 34 C4 0E D2 76 CA 34 C4 28 D2 22 D2 10 D2
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 2A D2 B2 41 C4 21 3E 41
+84 12 0A C4 2B 00 1A C9 82 C9 DE C7 34 C4 46 D2
+76 CA 34 C4 3A CC A8 C7 1A C9 76 CA 34 C4 3A CC
+52 D2 3E 5F E7 3F 3E 40 28 00 B0 12 F2 D1 19 42
+C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
+C0 21 C4 21 02 20 30 40 A8 CD 1C 15 12 12 C4 21
+92 53 C4 21 84 12 1A C9 76 CA 34 C4 9A D2 90 D2
+21 53 3E 90 10 00 C6 2B 7F 2D 9C D2 B2 41 C4 21
+C1 3F 0D 12 84 12 3C CC CE D1 AC D2 0C 43 1B 42
+C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 21 B0 12 F2 D1 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 F2 D1
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
+B0 12 7A D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+7A D2 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
+0C 5E DA 3F B0 12 7A D2 FA 23 3C 50 10 00 B0 12
+56 D2 EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
+84 12 3C CC CE D1 78 D3 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 7A D2 E0 23
+3C 50 80 00 B0 12 56 D2 DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A C4 00 13 82 CB 4E C8 0A C4
+2C 00 A2 D2 6E D3 B8 D3 09 4B 2E 4E 0E DC A2 3F
+7A CE 03 4D 4F 56 85 12 AE D3 00 40 C2 D3 05 4D
+4F 56 2E 42 85 12 AE D3 40 40 00 00 03 41 44 44
+85 12 AE D3 00 50 DC D3 05 41 44 44 2E 42 85 12
+AE D3 40 50 E8 D3 04 41 44 44 43 00 85 12 AE D3
+00 60 F6 D3 06 41 44 44 43 2E 42 00 85 12 AE D3
+40 60 9C D3 04 53 55 42 43 00 85 12 AE D3 00 70
+14 D4 06 53 55 42 43 2E 42 00 85 12 AE D3 40 70
+22 D4 03 53 55 42 85 12 AE D3 00 80 32 D4 05 53
+55 42 2E 42 85 12 AE D3 40 80 50 CE 03 43 4D 50
+85 12 AE D3 00 90 4C D4 05 43 4D 50 2E 42 85 12
+AE D3 40 90 3A CE 04 44 41 44 44 00 85 12 AE D3
+00 A0 66 D4 06 44 41 44 44 2E 42 00 85 12 AE D3
+40 A0 58 D4 03 42 49 54 85 12 AE D3 00 B0 84 D4
+05 42 49 54 2E 42 85 12 AE D3 40 B0 90 D4 03 42
+49 43 85 12 AE D3 00 C0 9E D4 05 42 49 43 2E 42
+85 12 AE D3 40 C0 AA D4 03 42 49 53 85 12 AE D3
+00 D0 B8 D4 05 42 49 53 2E 42 85 12 AE D3 40 D0
+00 00 03 58 4F 52 85 12 AE D3 00 E0 D2 D4 05 58
+4F 52 2E 42 85 12 AE D3 40 E0 04 D4 03 41 4E 44
+85 12 AE D3 00 F0 EC D4 05 41 4E 44 2E 42 85 12
+AE D3 40 F0 3C CC A2 D2 0A D5 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 3E D4 03 52 52 43
+85 12 04 D5 00 10 1C D5 05 52 52 43 2E 42 85 12
+04 D5 40 10 28 D5 04 53 57 50 42 00 85 12 04 D5
+80 10 36 D5 03 52 52 41 85 12 04 D5 00 11 44 D5
+05 52 52 41 2E 42 85 12 04 D5 40 11 50 D5 03 53
+58 54 85 12 04 D5 80 11 00 00 04 50 55 53 48 00
+85 12 04 D5 00 12 6A D5 06 50 55 53 48 2E 42 00
+85 12 04 D5 40 12 C4 D4 04 43 41 4C 4C 00 85 12
+04 D5 80 12 1A 53 0E 4A 0D 12 84 12 C4 C8 14 C4
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5
+5E D5 03 53 3E 3D 86 12 00 38 B2 D5 02 53 3C 00
+86 12 00 34 78 D5 03 30 3E 3D 86 12 00 30 C6 D5
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C DA D5 03 55 3E 3D 86 12 00 28 D0 D5 03 30
+3C 3E 86 12 00 24 EE D5 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
+C6 21 0E 4A 30 4D E4 D5 04 54 48 45 4E 00 1A 42
+C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 74 D4 04 45 4C 53
+45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
+2F 83 8F 4A 00 00 E3 3F 88 D5 05 42 45 47 49 4E
+30 40 28 C4 18 D6 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
+30 4D F8 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 06 D6
+A8 C7 4E C8 BC D5 06 52 45 50 45 41 54 00 0D 12
+84 12 9A D6 1E D6 4E C8 CA D6 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
+5A D6 03 42 57 31 85 12 C8 D6 00 00 E2 D6 03 42
+57 32 85 12 C8 D6 00 00 EE D6 03 42 57 33 85 12
+C8 D6 00 00 06 D7 3D 41 1A 42 C6 21 28 4E B2 92
+C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 04 D7 00 00
+26 D7 03 46 57 32 85 12 04 D7 00 00 32 D7 03 46
+57 33 85 12 04 D7 00 00 3E D7 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 BA CC
+16 CC 4E C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 3C CC CE D1 88 D7 92 53 C4 21 3E 40 2C 00
+84 12 1A C9 76 CA 34 C4 3A CC 64 D3 9E D7 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E B6 D6 04 52 52 43
+4D 00 85 12 82 D7 50 00 CC D7 04 52 52 41 4D 00
+85 12 82 D7 50 01 DA D7 04 52 4C 41 4D 00 85 12
+82 D7 50 02 E8 D7 04 52 52 55 4D 00 85 12 82 D7
+50 03 F8 D5 05 50 55 53 48 4D 85 12 82 D7 00 15
+04 D8 04 50 4F 50 4D 00 85 12 82 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 80 C6 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 5A D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 82 C6 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 42 D1
 q
index 1f1d6c7..d7f6e4e 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF 3C D8 44 D0 33 01
-10 00 81 B6 94 C5 AA C4 C6 C5 9C C5 94 C6 3C D8
-44 D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 62 CC 7C CC 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF 34 D8 3C D0 34 01
+10 00 41 B3 94 C5 AA C4 DA C5 9C C5 94 C6 34 D8
+3C D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 78 C6 B2 49 90 C7 B2 49 22 C7 B2 49 FC C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 10 D1 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 08 D1 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E0 C7 32 C7
 14 C4 04 1B 5B 37 6D 00 5C C7 A8 C7 34 C4 86 C5
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 C6 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C6 2F 83
-8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C7 08 4E 3E 4F C8 3F 1A C7 04 45 43 48
 4F 00 B2 40 C2 48 C0 C6 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 5E CC 20 C7
+10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 4E CC 20 C7
 60 C8 40 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
 94 CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
@@ -126,34 +126,34 @@ E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 4B 93 2B 17
 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
 00 00 01 2C 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-3E 4F 30 4D E6 C7 05 41 4C 4C 4F 54 82 5E C6 21
 3E 4F 30 4D 92 CB 87 4C 49 54 45 52 41 4C 82 93
 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52 C6 21 BA 40
 0A C4 00 00 8A 49 02 00 3E 4F 32 B0 00 02 32 C0
 00 02 03 24 8A 4E 02 00 EE 3F 30 4D 66 C8 05 43
 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
 30 4D 7A C8 09 49 4E 54 45 52 50 52 45 54 0D 12
-84 12 AC C4 5E CC 2C C9 1A CC 77 26 3D 40 22 CC
-B9 3E 24 CC 0A 4E 3E 4F 3D 40 3E CC 2D 27 3D 40
-14 CC 1A E2 BE 21 AE 27 0E 12 3E 4F 30 41 40 CC
-3E 4F 3D 40 14 CC BB 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 D0 CD CC 3F 48 CC 86 12
-20 00 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20
-00 20 82 43 BE 21 84 12 8E C7 BC C4 0E CC C4 C7
+84 12 AC C4 4E CC 2C C9 0A CC 7F 26 3D 40 12 CC
+C1 3E 14 CC 0A 4E 3E 4F 3D 40 2E CC 35 27 3D 40
+04 CC 1A E2 BE 21 B6 27 0E 12 3E 4F 30 41 30 CC
+3E 4F 3D 40 04 CC BB 23 DE 53 00 00 68 4E 08 5E
+F8 40 3F 00 00 00 3D 40 D0 CD CC 3F 38 CC 86 12
+20 00 E6 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F
+30 4D 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20
+00 20 82 43 BE 21 84 12 8E C7 BC C4 FE CB C4 C7
 F6 C7 14 C4 0C 73 74 61 63 6B 20 65 6D 70 74 79
 21 00 2A C5 0A C4 40 FF 28 C4 FE C7 14 C4 0A 46
 52 41 4D 20 66 75 6C 6C 21 00 2A C5 3A C4 78 CC
-A6 CB 86 41 42 4F 52 54 22 00 0D 12 84 12 E4 C8
+54 CC 86 41 42 4F 52 54 22 00 0D 12 84 12 E4 C8
 0A C4 2A C5 94 CB 60 C8 8E C9 01 27 0D 12 84 12
-5E CC 2C C9 94 C9 34 C4 5C CC 60 C8 00 00 83 5B
+4E CC 2C C9 94 C9 34 C4 4C CC 60 C8 00 00 83 5B
 27 5D 0D 12 84 12 CC CC 0A C4 0A C4 94 CB 94 CB
 60 C8 DE CC 81 5B 82 43 BE 21 30 4D 0C C8 01 5D
 B2 43 BE 21 30 4D FE CC 81 5C 92 42 C0 21 C4 21
 30 4D 00 00 88 50 4F 53 54 50 4F 4E 45 00 0D 12
-84 12 5E CC 2C C9 94 C9 A8 C7 34 C4 5C CC F6 C7
+84 12 4E CC 2C C9 94 C9 A8 C7 34 C4 4C CC F6 C7
 34 C4 40 CD 0A C4 0A C4 94 CB 94 CB 0A C4 94 CB
 94 CB 60 C8 F4 CC 01 3A 30 12 90 CD 92 B3 C6 21
-A2 63 C6 21 0D 12 84 12 5E CC 2C C9 5E CD 3D 41
+A2 63 C6 21 0D 12 84 12 4E CC 2C C9 5E CD 3D 41
 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 DA 21 6E 4E
 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21 82 49 B8 21
 82 4A BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41
@@ -162,172 +162,172 @@ BA 40 0D 12 FC FF BA 40 84 12 FE FF B2 43 BE 21
 A8 49 FE FF 89 48 00 00 30 4D 0D 12 84 12 14 C4
 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21
 36 C5 46 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12
-0A C4 60 C8 94 CB A2 CD F6 CC 60 C8 04 CC 09 49
+0A C4 60 C8 94 CB A2 CD F6 CC 60 C8 F4 CB 09 49
 4D 4D 45 44 49 41 54 45 18 42 B6 21 F8 D0 80 00
-00 00 30 4D EE CB 06 43 52 45 41 54 45 00 B0 12
+00 00 30 4D DE CB 06 43 52 45 41 54 45 00 B0 12
 4C CD BA 40 86 12 FC FF 8A 4A FE FF C9 3F 06 CE
 04 43 4F 44 45 00 B0 12 4C CD A2 82 C6 21 0D 12
-84 12 46 D0 20 D0 60 C8 00 00 07 45 4E 44 43 4F
-44 45 0D 12 84 12 A2 CD 60 D0 60 C8 B2 CC 03 41
-53 4D B2 40 24 D0 DA 21 E6 3F 3A CE 06 45 4E 44
-41 53 4D 00 0D 12 84 12 42 CE 7E D0 60 C8 00 00
-05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00
-BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21 30 40
-60 D0 00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42
-C6 21 EE 3F EE CD 85 48 49 32 4C 4F 0D 12 84 12
-28 C4 EE CF 94 CB F6 CC 2E CE 60 C8 D4 CD 86 5B
-54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
-F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
-00 00 F9 23 2F 53 2D 53 F7 3F BE CE 86 5B 45 4C
-53 45 5D 00 0D 12 84 12 0A C4 00 00 D8 C7 5E CC
-2C C9 F4 CB A0 C7 34 C4 56 CF AE C7 14 C4 06 5B
-54 48 45 4E 5D 00 C8 CE 30 CF EC CE 0E CF 60 C8
-AE C7 14 C4 06 5B 45 4C 53 45 5D 00 C8 CE 46 CF
-EC CE 0C CF 60 C8 14 C4 04 5B 49 46 5D 00 C8 CE
-0E CF 3A C4 0C CF 82 C7 14 C4 05 0D 0A 6B 6F 20
-5C C7 BC C4 AC C4 3A C4 0E CF FC CE 84 5B 49 46
-5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 6C CF
-89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 5E CC
-2C C9 94 C9 7A CF 60 C8 80 CF 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 0D 12 84 12 8A CF F0 C7 60 C8
-B2 CF B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
-B6 CB 06 4D 41 52 4B 45 52 00 B0 12 4C CD BA 40
-85 12 FC FF BA 40 B0 CF FE FF 28 83 8A 48 00 00
-BA 40 AA C4 04 00 B2 50 06 00 C6 21 DD 3E 2E 53
-30 4D 0A C4 CA 21 E8 C7 60 C8 85 12 F2 CF 4E CE
-20 CE 2C C7 CA CC A6 CE F6 C6 C2 CF 12 C9 EA D0
-FE D0 F4 C9 26 C9 00 00 9A CF 08 CD 1C CA 00 00
-85 12 F2 CF B2 D6 18 D7 5A D6 68 D7 20 D6 00 00
-EC D3 00 00 30 D8 14 D8 84 D6 C2 D6 FC D4 00 00
-00 00 84 D7 1E D0 3A 40 0C 00 39 40 D6 21 08 49
-28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-3A 40 0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00
-18 53 1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42
-CA 21 DA 21 30 4D FA CF 78 D0 7E D0 8E D0 1A 42
-20 18 82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00
-09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
-00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
-30 4D 14 CD 09 50 57 52 5F 53 54 41 54 45 85 12
-86 D0 3C D8 E0 C8 09 52 53 54 5F 53 54 41 54 45
-92 42 0A 18 D2 D0 F3 3F C4 D0 08 50 57 52 5F 48
-45 52 45 00 92 42 C6 21 D2 D0 30 4D D6 D0 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F
-3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
-10 00 29 83 B9 43 80 FF FC 23 B9 40 5C D1 FE FF
-29 83 B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40
-14 18 B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49
-20 C6 B2 49 EC FF B2 49 0A 18 C2 3F B2 D0 03 00
-04 01 B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40
-E0 20 3F 40 80 20 39 40 00 08 29 83 89 43 00 20
-FC 23 B2 D3 06 02 B2 D3 02 02 F2 D2 05 02 B2 D0
-FF FE 26 02 B2 43 22 02 B2 D3 46 02 B2 43 42 02
-B2 D3 66 02 B2 43 62 02 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 B0 00 82 01 B2 40 1E 00 84 01
-82 43 88 01 F2 D0 06 00 2B 02 39 40 40 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 C4 1E C6 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C C9 94 C9 F0 C7
-34 C4 2C D2 88 CA 34 C4 46 D2 40 D2 2E D2 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 48 D2 B2 41 C4 21 3E 41 84 12
-0A C4 2B 00 2C C9 94 C9 F0 C7 34 C4 64 D2 88 CA
-34 C4 5C CC BA C7 2C C9 88 CA 34 C4 5C CC 70 D2
-3E 5F E7 3F 3E 40 28 00 B0 12 10 D2 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 BA CD 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C C9 88 CA 34 C4 B8 D2 AE D2 21 53
-3E 90 10 00 C6 2B 7F 2D BA D2 B2 41 C4 21 C1 3F
-0D 12 84 12 5E CC EC D1 CA D2 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 10 D2 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 10 D2 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-98 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 98 D2
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 98 D2 FA 23 3C 50 10 00 B0 12 74 D2
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-5E CC EC D1 96 D3 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 98 D2 E0 23 3C 50
-80 00 B0 12 74 D2 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A C4 00 13 94 CB 60 C8 0A C4 2C 00
-C0 D2 8C D3 D6 D3 09 4B 2E 4E 0E DC A2 3F 94 CE
-03 4D 4F 56 85 12 CC D3 00 40 E0 D3 05 4D 4F 56
-2E 42 85 12 CC D3 40 40 00 00 03 41 44 44 85 12
-CC D3 00 50 FA D3 05 41 44 44 2E 42 85 12 CC D3
-40 50 06 D4 04 41 44 44 43 00 85 12 CC D3 00 60
-14 D4 06 41 44 44 43 2E 42 00 85 12 CC D3 40 60
-BA D3 04 53 55 42 43 00 85 12 CC D3 00 70 32 D4
-06 53 55 42 43 2E 42 00 85 12 CC D3 40 70 40 D4
-03 53 55 42 85 12 CC D3 00 80 50 D4 05 53 55 42
-2E 42 85 12 CC D3 40 80 70 CE 03 43 4D 50 85 12
-CC D3 00 90 6A D4 05 43 4D 50 2E 42 85 12 CC D3
-40 90 5C CE 04 44 41 44 44 00 85 12 CC D3 00 A0
-84 D4 06 44 41 44 44 2E 42 00 85 12 CC D3 40 A0
-76 D4 03 42 49 54 85 12 CC D3 00 B0 A2 D4 05 42
-49 54 2E 42 85 12 CC D3 40 B0 AE D4 03 42 49 43
-85 12 CC D3 00 C0 BC D4 05 42 49 43 2E 42 85 12
-CC D3 40 C0 C8 D4 03 42 49 53 85 12 CC D3 00 D0
-D6 D4 05 42 49 53 2E 42 85 12 CC D3 40 D0 00 00
-03 58 4F 52 85 12 CC D3 00 E0 F0 D4 05 58 4F 52
-2E 42 85 12 CC D3 40 E0 22 D4 03 41 4E 44 85 12
-CC D3 00 F0 0A D5 05 41 4E 44 2E 42 85 12 CC D3
-40 F0 5E CC C0 D2 28 D5 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 5C D4 03 52 52 43 85 12
-22 D5 00 10 3A D5 05 52 52 43 2E 42 85 12 22 D5
-40 10 46 D5 04 53 57 50 42 00 85 12 22 D5 80 10
-54 D5 03 52 52 41 85 12 22 D5 00 11 62 D5 05 52
-52 41 2E 42 85 12 22 D5 40 11 6E D5 03 53 58 54
-85 12 22 D5 80 11 00 00 04 50 55 53 48 00 85 12
-22 D5 00 12 88 D5 06 50 55 53 48 2E 42 00 85 12
-22 D5 40 12 E2 D4 04 43 41 4C 4C 00 85 12 22 D5
-80 12 1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 7C D5
-03 53 3E 3D 86 12 00 38 D0 D5 02 53 3C 00 86 12
-00 34 96 D5 03 30 3E 3D 86 12 00 30 E4 D5 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-F8 D5 03 55 3E 3D 86 12 00 28 EE D5 03 30 3C 3E
-86 12 00 24 0C D6 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D 02 D6 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 92 D4 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F A6 D5 05 42 45 47 49 4E 30 40
-28 C4 36 D6 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-16 D5 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 24 D6 BA C7
-60 C8 DA D5 06 52 45 50 45 41 54 00 0D 12 84 12
-B8 D6 3C D6 60 C8 E8 D6 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 78 D6
-03 42 57 31 85 12 E6 D6 00 00 00 D7 03 42 57 32
-85 12 E6 D6 00 00 0C D7 03 42 57 33 85 12 E6 D6
-00 00 24 D7 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 22 D7 00 00 44 D7
-03 46 57 32 85 12 22 D7 00 00 50 D7 03 46 57 33
-85 12 22 D7 00 00 5C D7 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 CC CC 38 CC
-60 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-5E CC EC D1 A6 D7 92 53 C4 21 3E 40 2C 00 84 12
-2C C9 88 CA 34 C4 5C CC 82 D3 BC D7 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E D4 D6 04 52 52 43 4D 00
-85 12 A0 D7 50 00 EA D7 04 52 52 41 4D 00 85 12
-A0 D7 50 01 F8 D7 04 52 4C 41 4D 00 85 12 A0 D7
-50 02 06 D8 04 52 52 55 4D 00 85 12 A0 D7 50 03
-16 D6 05 50 55 53 48 4D 85 12 A0 D7 00 15 22 D8
-04 50 4F 50 4D 00 85 12 A0 D7 00 17
+84 12 3E D0 18 D0 60 C8 EE CD 07 48 44 4E 43 4F
+44 45 B2 40 1C D0 DA 21 EE 3F 00 00 07 45 4E 44
+43 4F 44 45 0D 12 84 12 A2 CD 58 D0 76 D0 60 C8
+00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12
+00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21
+0D 12 84 12 58 D0 76 D0 60 C8 00 00 05 4C 4F 32
+48 49 A2 83 C6 21 1A 42 C6 21 EB 3F 3A CE 85 48
+49 32 4C 4F 0D 12 84 12 28 C4 E6 CF 94 CB F6 CC
+2E CE 60 C8 D4 CD 86 5B 54 48 45 4E 5D 00 30 4D
+0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
+06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
+3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
+F7 3F B6 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12
+0A C4 00 00 D8 C7 4E CC 2C C9 E4 CB A0 C7 34 C4
+4E CF AE C7 14 C4 06 5B 54 48 45 4E 5D 00 C0 CE
+28 CF E4 CE 06 CF 60 C8 AE C7 14 C4 06 5B 45 4C
+53 45 5D 00 C0 CE 3E CF E4 CE 04 CF 60 C8 14 C4
+04 5B 49 46 5D 00 C0 CE 06 CF 3A C4 04 CF 82 C7
+14 C4 05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4
+06 CF F4 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
+30 4D 2F 53 30 4D 64 CF 89 5B 44 45 46 49 4E 45
+44 5D 0D 12 84 12 4E CC 2C C9 94 C9 72 CF 60 C8
+78 CF 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
+84 12 82 CF F0 C7 60 C8 AA CF B2 4E 0A 18 2E 53
+BE 12 3E 4F 3D 41 90 3C A6 CB 06 4D 41 52 4B 45
+52 00 B0 12 4C CD BA 40 85 12 FC FF BA 40 A8 CF
+FE FF 28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50
+06 00 C6 21 E1 3E 2E 53 30 4D 0A C4 CA 21 E8 C7
+60 C8 85 12 EA CF B2 CC 20 CE 2C C7 CA CC 9E CE
+F6 C6 BA CF 12 C9 E2 D0 F6 D0 F4 C9 26 C9 00 00
+92 CF 08 CD 1C CA 00 00 85 12 EA CF AA D6 10 D7
+52 D6 60 D7 18 D6 00 00 E4 D3 00 00 28 D8 0C D8
+7C D6 BA D6 F4 D4 00 00 00 00 7C D7 16 D0 3A 40
+0C 00 39 40 D6 21 08 49 28 53 19 83 18 83 E8 49
+00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21
+09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
+82 43 CC 21 30 4D 92 42 CA 21 DA 21 30 4D F2 CF
+70 D0 76 D0 86 D0 1A 42 20 18 82 4A C8 21 2E 4E
+82 4E C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48
+FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
+0A 93 F0 23 3E 4F 3D 41 30 4D 14 CD 09 50 57 52
+5F 53 54 41 54 45 85 12 7E D0 34 D8 E0 C8 09 52
+53 54 5F 53 54 41 54 45 92 42 0A 18 CA D0 F3 3F
+BC D0 08 50 57 52 5F 48 45 52 45 00 92 42 C6 21
+CA D0 30 4D CE D0 08 52 53 54 5F 48 45 52 45 00
+92 42 C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
+E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
+FC 23 B9 40 54 D1 FE FF 29 83 B9 40 02 C6 FE FF
+39 90 AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49
+FA C4 B2 49 02 C4 B2 49 20 C6 B2 49 EC FF B2 49
+0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
+B2 40 80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40
+00 08 29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 D3
+02 02 F2 D2 05 02 B2 D0 FF FE 26 02 B2 43 22 02
+B2 D3 46 02 B2 43 42 02 B2 D3 66 02 B2 43 62 02
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B0 00
+82 01 B2 40 1E 00 84 01 82 43 88 01 F2 D0 06 00
+2B 02 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 C4 1E C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 2C C9 94 C9 F0 C7 34 C4 24 D2 88 CA 34 C4
+3E D2 38 D2 26 D2 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 40 D2
+B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2C C9 94 C9
+F0 C7 34 C4 5C D2 88 CA 34 C4 4C CC BA C7 2C C9
+88 CA 34 C4 4C CC 68 D2 3E 5F E7 3F 3E 40 28 00
+B0 12 08 D2 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 BA CD
+1C 15 12 12 C4 21 92 53 C4 21 84 12 2C C9 88 CA
+34 C4 B0 D2 A6 D2 21 53 3E 90 10 00 C6 2B 7F 2D
+B2 D2 B2 41 C4 21 C1 3F 0D 12 84 12 4E CC E4 D1
+C2 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 08 D2 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 08 D2 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 90 D2 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 90 D2 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 90 D2 FA 23
+3C 50 10 00 B0 12 6C D2 EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 4E CC E4 D1 8E D3 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 90 D2 E0 23 3C 50 80 00 B0 12 6C D2 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
+94 CB 60 C8 0A C4 2C 00 B8 D2 84 D3 CE D3 09 4B
+2E 4E 0E DC A2 3F 8C CE 03 4D 4F 56 85 12 C4 D3
+00 40 D8 D3 05 4D 4F 56 2E 42 85 12 C4 D3 40 40
+00 00 03 41 44 44 85 12 C4 D3 00 50 F2 D3 05 41
+44 44 2E 42 85 12 C4 D3 40 50 FE D3 04 41 44 44
+43 00 85 12 C4 D3 00 60 0C D4 06 41 44 44 43 2E
+42 00 85 12 C4 D3 40 60 B2 D3 04 53 55 42 43 00
+85 12 C4 D3 00 70 2A D4 06 53 55 42 43 2E 42 00
+85 12 C4 D3 40 70 38 D4 03 53 55 42 85 12 C4 D3
+00 80 48 D4 05 53 55 42 2E 42 85 12 C4 D3 40 80
+62 CE 03 43 4D 50 85 12 C4 D3 00 90 62 D4 05 43
+4D 50 2E 42 85 12 C4 D3 40 90 4C CE 04 44 41 44
+44 00 85 12 C4 D3 00 A0 7C D4 06 44 41 44 44 2E
+42 00 85 12 C4 D3 40 A0 6E D4 03 42 49 54 85 12
+C4 D3 00 B0 9A D4 05 42 49 54 2E 42 85 12 C4 D3
+40 B0 A6 D4 03 42 49 43 85 12 C4 D3 00 C0 B4 D4
+05 42 49 43 2E 42 85 12 C4 D3 40 C0 C0 D4 03 42
+49 53 85 12 C4 D3 00 D0 CE D4 05 42 49 53 2E 42
+85 12 C4 D3 40 D0 00 00 03 58 4F 52 85 12 C4 D3
+00 E0 E8 D4 05 58 4F 52 2E 42 85 12 C4 D3 40 E0
+1A D4 03 41 4E 44 85 12 C4 D3 00 F0 02 D5 05 41
+4E 44 2E 42 85 12 C4 D3 40 F0 4E CC B8 D2 20 D5
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+54 D4 03 52 52 43 85 12 1A D5 00 10 32 D5 05 52
+52 43 2E 42 85 12 1A D5 40 10 3E D5 04 53 57 50
+42 00 85 12 1A D5 80 10 4C D5 03 52 52 41 85 12
+1A D5 00 11 5A D5 05 52 52 41 2E 42 85 12 1A D5
+40 11 66 D5 03 53 58 54 85 12 1A D5 80 11 00 00
+04 50 55 53 48 00 85 12 1A D5 00 12 80 D5 06 50
+55 53 48 2E 42 00 85 12 1A D5 40 12 DA D4 04 43
+41 4C 4C 00 85 12 1A D5 80 12 1A 53 0E 4A 0D 12
+84 12 D6 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 C5 74 D5 03 53 3E 3D 86 12 00 38
+C8 D5 02 53 3C 00 86 12 00 34 8E D5 03 30 3E 3D
+86 12 00 30 DC D5 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C F0 D5 03 55 3E 3D 86 12
+00 28 E6 D5 03 30 3C 3E 86 12 00 24 04 D6 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D FA D5 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+8A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 9E D5
+05 42 45 47 49 4E 30 40 28 C4 2E D6 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D 0E D5 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 1C D6 BA C7 60 C8 D2 D5 06 52 45 50
+45 41 54 00 0D 12 84 12 B0 D6 34 D6 60 C8 E0 D6
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 70 D6 03 42 57 31 85 12 DE D6
+00 00 F8 D6 03 42 57 32 85 12 DE D6 00 00 04 D7
+03 42 57 33 85 12 DE D6 00 00 1C D7 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 1A D7 00 00 3C D7 03 46 57 32 85 12 1A D7
+00 00 48 D7 03 46 57 33 85 12 1A D7 00 00 54 D7
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 CC CC 28 CC 60 C8 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 4E CC E4 D1 9E D7 92 53
+C4 21 3E 40 2C 00 84 12 2C C9 88 CA 34 C4 4C CC
+7A D3 B4 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+CC D6 04 52 52 43 4D 00 85 12 98 D7 50 00 E2 D7
+04 52 52 41 4D 00 85 12 98 D7 50 01 F0 D7 04 52
+4C 41 4D 00 85 12 98 D7 50 02 FE D7 04 52 52 55
+4D 00 85 12 98 D7 50 03 0E D6 05 50 55 53 48 4D
+85 12 98 D7 00 15 1A D8 04 50 4F 50 4D 00 85 12
+98 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -336,5 +336,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 94 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 5C D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 54 D1
 q
index 23cc0dd..8839cdb 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF 36 D8 42 D0 33 01
-10 00 81 86 B4 C5 AA C4 B6 C5 8A C5 80 C6 36 D8
-42 D0 6E C6 90 C7 FC C6 D8 C6 3C 21 5E C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 60 CC 7A CC 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF 1E D8 2A D0 34 01
+10 00 41 87 B6 C5 AA C4 B8 C5 8C C5 82 C6 1E D8
+2A D0 70 C6 80 C7 FE C6 DA C6 3C 21 4E C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 B6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 21
 B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
-B2 49 6C C6 B2 49 8E C7 B2 49 FA C6 B2 49 D6 C6
+B2 49 6E C6 B2 49 7E C7 B2 49 FC C6 B2 49 D8 C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 0E D1 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C5 B0 12 F8 C4
-0A C4 DE 21 DE C7 14 C7 48 C7 34 C4 60 CC 14 C4
-05 1B 5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8
-A6 C7 34 C4 7C C5 14 C4 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C7 9E C8 5A C7 14 C4
-04 1B 5B 30 6D 00 5A C7 60 CC 2E 93 13 28 B2 D0
-C0 07 40 05 18 42 02 18 08 11 38 D0 00 04 82 48
-54 05 F2 D0 0C 00 4A 02 92 C3 40 05 A2 D2 6A 05
-92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27 C2 48
-4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C5 E2 B2 00 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C5 04 57 41 52 4D 00 B0 12 8A C5
-78 40 03 00 B0 12 B8 C5 84 12 14 C4 07 0D 0A 1B
-5B 37 6D 40 5A C7 0A C4 02 18 DE C7 D4 C8 0A C4
-23 00 F8 C6 D4 C8 14 C4 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C7 0A C4 40 FF 28 C4 D2 C7 9E C8 14 C4
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C4 7C C5
-00 00 06 41 43 43 45 50 54 00 30 40 6E C6 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B9 22 3A 17
-92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C5
-82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C6 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C5 92 B3 6C 05 FD 27 1E 42 4C 05 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C6 08 4E 3E 4F
-A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F2 C6 04 45
-43 48 4F 00 B2 40 C2 48 06 C7 82 43 DE 21 38 40
-05 00 B0 12 B8 C5 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C7 92 43 DE 21 28 42 F1 3F
-2A C7 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C6 78 C7 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C5 02 43 52 00 30 40 90 C7
-0D 12 84 12 14 C4 02 0D 0A 00 5A C7 5E C8 2F 83
+B2 49 DC 21 3D 41 30 40 F6 D0 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C5 0E 12 B0 12
+F8 C4 0A C4 DE 21 CE C7 16 C7 EE C4 34 C4 8A C5
+14 C4 05 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7
+C4 C8 96 C7 34 C4 7E C5 14 C4 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C7 8E C8 4A C7
+14 C4 04 1B 5B 30 6D 00 4A C7 50 CC 2E 93 13 28
+B2 D0 C0 07 40 05 18 42 02 18 08 11 38 D0 00 04
+82 48 54 05 F2 D0 0C 00 4A 02 92 C3 40 05 A2 D2
+6A 05 92 C3 30 01 30 41 48 43 A2 B3 6C 05 FD 27
+C2 48 4E 05 A2 B2 6C 05 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C5 E2 B2 00 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C5 04 57 41 52 4D 00 B0 12
+8C C5 78 40 03 00 B0 12 BA C5 84 12 14 C4 07 0D
+0A 1B 5B 37 6D 40 4A C7 0A C4 02 18 CE C7 C4 C8
+0A C4 23 00 FA C6 C4 C8 14 C4 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C7 0A C4 40 FF 28 C4 C2 C7 8E C8
+14 C4 0A 62 79 74 65 73 20 66 72 65 65 00 3A C4
+7E C5 00 00 06 41 43 43 45 50 54 00 30 40 70 C6
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 05 B2 B0 10 00 40 05 B8 22
+3A 17 92 B3 6C 05 FD 27 58 42 4C 05 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C5 82 93 DE 21 02 24 92 53 DE 21 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C6 2F 83 8F 4E 00 00
+58 43 B0 12 BA C5 92 B3 6C 05 FD 27 1E 42 4C 05
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C6 08 4E
+3E 4F A2 B3 6C 05 FD 27 C2 48 4E 05 30 4D F4 C6
+04 45 43 48 4F 00 B2 40 C2 48 08 C7 82 43 DE 21
+38 40 05 00 B0 12 BA C5 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C7 92 43 DE 21 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C7 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C6 68 C7 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C5 02 43 52 00 30 40 80 C7
+0D 12 84 12 14 C4 02 0D 0A 00 4A C7 4E C8 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 20 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C6 01 40 2E 4E
-30 4D DC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C6 01 40 2E 4E
+30 4D CC C7 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 21 B2 21 30 4D 88 C7 01 23 1B 42 DC 21 2C 4F
+B2 21 B2 21 30 4D 78 C7 01 23 1B 42 DC 21 2C 4F
 2F 83 B0 12 6E C4 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D 18 C8 02 23 53 00 0D 12 84 12
-1A C8 54 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
+C8 4A 00 00 30 4D 08 C8 02 23 53 00 0D 12 84 12
+0A C8 44 C8 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C8 02 23 3E 00 9F 42 B2 21 00 00 3E 40
 B2 21 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C7 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C7 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C8
-4C C8 EE C4 8C C8 68 C8 5A C7 5C CC F8 C6 5E C8
-42 C7 01 2E 0E 93 E3 37 38 43 E2 3F 86 C8 82 53
-22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 92 CB
-0A C4 22 00 2A C9 F8 C8 B2 40 20 00 B4 21 6E 4E
-1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D D2 C8
-82 2E 22 00 0D 12 84 12 E2 C8 0A C4 5A C7 92 CB
-5E C8 F6 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C7
+3C C8 EE C4 7C C8 58 C8 4A C7 3C CC FA C6 4E C8
+2C C7 01 2E 0E 93 E3 37 38 43 E2 3F 76 C8 82 53
+22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4 82 CB
+0A C4 22 00 1A C9 E8 C8 B2 40 20 00 B4 21 6E 4E
+1E 53 1E B3 82 6E C6 21 3E 4F 3D 41 30 4D C2 C8
+82 2E 22 00 0D 12 84 12 D2 C8 0A C4 4A C7 82 CB
+4E C8 F8 C5 04 57 4F 52 44 00 3C 40 C0 21 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 21 FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -99,22 +98,22 @@ C6 21 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
 48 96 F7 23 0A 4C FA 99 01 00 F3 23 1A 53 58 83
 FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 2E 83 8F 4C 00 00 36 40 E2 C4 35 40 D4 C4 30 4D
-9A C8 03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43
+8A C8 03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43
 0E BA 02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A F8 2B
 8F 49 00 00 0E 48 30 4D 00 00 07 3E 4E 55 4D 42
 45 52 2C 4F 0B 4E 1A 42 DC 21 68 4C 78 80 30 00
 78 90 0A 00 05 28 78 80 07 00 78 90 0A 00 1F 28
 08 9A 22 C3 1C 2C 5D 15 1C 4F 02 00 0E 4A 3D 40
-54 CA D2 3F 56 CA 81 49 02 00 1C 4F 04 00 1E 41
-04 00 3D 40 68 CA C8 3F 6A CA 39 51 3E 61 8F 49
+44 CA D2 3F 46 CA 81 49 02 00 1C 4F 04 00 1E 41
+04 00 3D 40 58 CA C8 3F 5A CA 39 51 3E 61 8F 49
 04 00 8F 4E 02 00 3A 17 1C 53 1B 83 D6 23 8F 4C
 00 00 0E 4B 30 4D 32 C0 00 02 1B 42 DC 21 0C 43
-2D 15 3D 40 E8 CA 0A 4B 3F 82 8F 4E 06 00 8F 43
+2D 15 3D 40 D8 CA 0A 4B 3F 82 8F 4E 06 00 8F 43
 04 00 8F 43 02 00 0C 4E 7B 4C FC 90 27 00 00 00
 06 20 DF 4C 01 00 04 00 7E 90 03 00 47 3C 68 4C
 78 80 2D 00 04 28 B1 23 B1 43 02 00 0A 3C 2A 43
 78 52 07 24 3A 52 68 53 04 24 3A 40 10 00 78 53
-35 20 1C 53 1B 83 EB 3F EA CA 30 24 2D 83 78 90
+35 20 1C 53 1B 83 EB 3F DA CA 30 24 2D 83 78 90
 28 00 C2 27 32 B0 00 02 29 20 32 D0 00 02 78 90
 F7 00 BA 27 78 90 F5 00 21 20 09 43 8F 49 02 00
 5B 83 09 4B 09 5C 69 49 79 80 30 00 79 90 0A 00
@@ -126,34 +125,34 @@ F7 00 BA 27 78 90 F5 00 21 20 09 43 8F 49 02 00
 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53 02 00
 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D 00 00
 01 2C 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21 3E 4F
-30 4D E4 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F
-30 4D 90 CB 87 4C 49 54 45 52 41 4C 82 93 BE 21
+30 4D 80 CB 87 4C 49 54 45 52 41 4C 82 93 BE 21
 0D 24 09 4E 1A 42 C6 21 A2 52 C6 21 BA 40 0A C4
 00 00 8A 49 02 00 3E 4F 32 B0 00 02 32 C0 00 02
-03 24 8A 4E 02 00 EE 3F 30 4D 64 C8 05 43 4F 55
+03 24 8A 4E 02 00 EE 3F 30 4D 54 C8 05 43 4F 55
 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
-78 C8 09 49 4E 54 45 52 50 52 45 54 0D 12 84 12
-AC C4 5C CC 2A C9 18 CC 77 26 3D 40 20 CC B9 3E
-22 CC 0A 4E 3E 4F 3D 40 3C CC 2D 27 3D 40 12 CC
-1A E2 BE 21 AE 27 0E 12 3E 4F 30 41 3E CC 3E 4F
-3D 40 12 CC BB 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 CE CD CC 3F 46 CC 86 12 20 00
+68 C8 09 49 4E 54 45 52 50 52 45 54 0D 12 84 12
+AC C4 3C CC 1A C9 F8 CB 7F 26 3D 40 00 CC C1 3E
+02 CC 0A 4E 3E 4F 3D 40 1C CC 35 27 3D 40 F2 CB
+1A E2 BE 21 B6 27 0E 12 3E 4F 30 41 1E CC 3E 4F
+3D 40 F2 CB BB 23 DE 53 00 00 68 4E 08 5E F8 40
+3F 00 00 00 3D 40 BE CD CC 3F 26 CC 86 12 20 00
+D4 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F 30 4D
 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20 00 20
-82 43 BE 21 84 12 8C C7 BC C4 0C CC C2 C7 F4 C7
+82 43 BE 21 84 12 7C C7 BC C4 EC CB B2 C7 E4 C7
 14 C4 0C 73 74 61 63 6B 20 65 6D 70 74 79 21 00
-2A C5 0A C4 40 FF 28 C4 FC C7 14 C4 0A 46 52 41
-4D 20 66 75 6C 6C 21 00 2A C5 3A C4 76 CC A4 CB
-86 41 42 4F 52 54 22 00 0D 12 84 12 E2 C8 0A C4
-2A C5 92 CB 5E C8 8C C9 01 27 0D 12 84 12 5C CC
-2A C9 92 C9 34 C4 5A CC 5E C8 00 00 83 5B 27 5D
-0D 12 84 12 CA CC 0A C4 0A C4 92 CB 92 CB 5E C8
-DC CC 81 5B 82 43 BE 21 30 4D 0A C8 01 5D B2 43
-BE 21 30 4D FC CC 81 5C 92 42 C0 21 C4 21 30 4D
+2A C5 0A C4 40 FF 28 C4 EC C7 14 C4 0A 46 52 41
+4D 20 66 75 6C 6C 21 00 2A C5 3A C4 66 CC 42 CC
+86 41 42 4F 52 54 22 00 0D 12 84 12 D2 C8 0A C4
+2A C5 82 CB 4E C8 7C C9 01 27 0D 12 84 12 3C CC
+1A C9 82 C9 34 C4 3A CC 4E C8 00 00 83 5B 27 5D
+0D 12 84 12 BA CC 0A C4 0A C4 82 CB 82 CB 4E C8
+CC CC 81 5B 82 43 BE 21 30 4D FA C7 01 5D B2 43
+BE 21 30 4D EC CC 81 5C 92 42 C0 21 C4 21 30 4D
 00 00 88 50 4F 53 54 50 4F 4E 45 00 0D 12 84 12
-5C CC 2A C9 92 C9 A6 C7 34 C4 5A CC F4 C7 34 C4
-3E CD 0A C4 0A C4 92 CB 92 CB 0A C4 92 CB 92 CB
-5E C8 F2 CC 01 3A 30 12 8E CD 92 B3 C6 21 A2 63
-C6 21 0D 12 84 12 5C CC 2A C9 5C CD 3D 41 08 4E
+3C CC 1A C9 82 C9 96 C7 34 C4 3A CC E4 C7 34 C4
+2E CD 0A C4 0A C4 82 CB 82 CB 0A C4 82 CB 82 CB
+4E C8 E2 CC 01 3A 30 12 7E CD 92 B3 C6 21 A2 63
+C6 21 0D 12 84 12 3C CC 1A C9 4C CD 3D 41 08 4E
 7A 4E 5A D3 5A 53 0A 58 19 42 DA 21 6E 4E 3E F0
 1E 00 09 5E 3E 4F 82 48 B6 21 82 49 B8 21 82 4A
 BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41 BA 40
@@ -161,180 +160,179 @@ BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41 BA 40
 82 9F BC 21 09 20 18 42 B6 21 19 42 B8 21 A8 49
 FE FF 89 48 00 00 30 4D 0D 12 84 12 14 C4 0F 73
 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 36 C5
-44 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12 0A C4
-5E C8 92 CB A0 CD F4 CC 5E C8 02 CC 09 49 4D 4D
+34 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12 0A C4
+4E C8 82 CB 90 CD E4 CC 4E C8 E2 CB 09 49 4D 4D
 45 44 49 41 54 45 18 42 B6 21 F8 D0 80 00 00 00
-30 4D EC CB 06 43 52 45 41 54 45 00 B0 12 4A CD
-BA 40 86 12 FC FF 8A 4A FE FF C9 3F 04 CE 04 43
-4F 44 45 00 B0 12 4A CD A2 82 C6 21 0D 12 84 12
-44 D0 1E D0 5E C8 00 00 07 45 4E 44 43 4F 44 45
-0D 12 84 12 A0 CD 5E D0 5E C8 B0 CC 03 41 53 4D
-B2 40 22 D0 DA 21 E6 3F 38 CE 06 45 4E 44 41 53
-4D 00 0D 12 84 12 40 CE 7C D0 5E C8 00 00 05 43
-4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00 BA 40
-84 12 02 00 A2 52 C6 21 B2 43 BE 21 30 40 5E D0
-00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42 C6 21
-EE 3F EC CD 85 48 49 32 4C 4F 0D 12 84 12 28 C4
-EC CF 92 CB F4 CC 2C CE 5E C8 D2 CD 86 5B 54 48
-45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
-FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
-F9 23 2F 53 2D 53 F7 3F BC CE 86 5B 45 4C 53 45
-5D 00 0D 12 84 12 0A C4 00 00 D6 C7 5C CC 2A C9
-F2 CB 9E C7 34 C4 54 CF AC C7 14 C4 06 5B 54 48
-45 4E 5D 00 C6 CE 2E CF EA CE 0C CF 5E C8 AC C7
-14 C4 06 5B 45 4C 53 45 5D 00 C6 CE 44 CF EA CE
-0A CF 5E C8 14 C4 04 5B 49 46 5D 00 C6 CE 0C CF
-3A C4 0A CF 80 C7 14 C4 05 0D 0A 6B 6F 20 5A C7
-BC C4 AC C4 3A C4 0C CF FA CE 84 5B 49 46 5D 00
-0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 6A CF 89 5B
-44 45 46 49 4E 45 44 5D 0D 12 84 12 5C CC 2A C9
-92 C9 78 CF 5E C8 7E CF 8B 5B 55 4E 44 45 46 49
-4E 45 44 5D 0D 12 84 12 88 CF EE C7 5E C8 B0 CF
-B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C B4 CB
-06 4D 41 52 4B 45 52 00 B0 12 4A CD BA 40 85 12
-FC FF BA 40 AE CF FE FF 28 83 8A 48 00 00 BA 40
-AA C4 04 00 B2 50 06 00 C6 21 DD 3E 2E 53 30 4D
-0A C4 CA 21 E6 C7 5E C8 85 12 F0 CF 4C CE 1E CE
-0E C7 C8 CC A4 CE D0 C6 C0 CF 10 C9 E8 D0 FC D0
-F2 C9 24 C9 00 00 98 CF 06 CD 1A CA 00 00 85 12
-F0 CF AC D6 12 D7 54 D6 62 D7 1A D6 00 00 E6 D3
-00 00 2A D8 0E D8 7E D6 BC D6 F6 D4 00 00 00 00
-7E D7 1C D0 3A 40 0C 00 39 40 D6 21 08 49 28 53
-19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
-0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00 18 53
-1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42 CA 21
-DA 21 30 4D F8 CF 76 D0 7C D0 8C D0 1A 42 20 18
-82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00 09 4A
-08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
-1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
-12 CD 09 50 57 52 5F 53 54 41 54 45 85 12 84 D0
-36 D8 DE C8 09 52 53 54 5F 53 54 41 54 45 92 42
-0A 18 D0 D0 F3 3F C2 D0 08 50 57 52 5F 48 45 52
-45 00 92 42 C6 21 D0 D0 30 4D D4 D0 08 52 53 54
-5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F 3E 90
-0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
-29 83 B9 43 80 FF FC 23 B9 40 5A D1 FE FF 29 83
-B9 40 E0 C5 FE FF 39 90 AE FF F9 23 39 40 14 18
-B2 49 E2 C5 B2 49 FA C4 B2 49 02 C4 B2 49 FE C5
-B2 49 EA FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
-B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40 E0 20
-3F 40 80 20 39 40 00 08 29 83 89 43 00 20 FC 23
-B2 D3 06 02 B2 D3 02 02 B2 D0 FF FE 26 02 B2 43
-22 02 B2 D3 46 02 B2 43 42 02 B2 D3 66 02 B2 43
-62 02 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
-B6 00 82 01 B2 40 F4 00 84 01 82 43 88 01 F2 D0
-06 00 2B 02 39 40 40 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01
-B0 12 F8 C4 FC C5 38 40 C0 21 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12
-C4 21 84 12 2A C9 92 C9 EE C7 34 C4 26 D2 86 CA
-34 C4 40 D2 3A D2 28 D2 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-42 D2 B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2A C9
-92 C9 EE C7 34 C4 5E D2 86 CA 34 C4 5A CC B8 C7
-2A C9 86 CA 34 C4 5A CC 6A D2 3E 5F E7 3F 3E 40
-28 00 B0 12 0A D2 19 42 C6 21 A2 53 C6 21 89 4E
-00 00 3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40
-B8 CD 1C 15 12 12 C4 21 92 53 C4 21 84 12 2A C9
-86 CA 34 C4 B2 D2 A8 D2 21 53 3E 90 10 00 C6 2B
-7F 2D B4 D2 B2 41 C4 21 C1 3F 0D 12 84 12 5C CC
-E6 D1 C4 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 21 B0 12 0A D2
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 21 B0 12 0A D2 ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 21 B0 12 92 D2 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 92 D2 92 92 C0 21 C4 21
-02 24 92 53 C4 21 8E 10 0C 5E DA 3F B0 12 92 D2
-FA 23 3C 50 10 00 B0 12 6E D2 EF 3F 0C 43 1B 42
-C6 21 A2 53 C6 21 0D 12 84 12 5C CC E6 D1 90 D3
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 92 D2 E0 23 3C 50 80 00 B0 12 6E D2
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A C4
-00 13 92 CB 5E C8 0A C4 2C 00 BA D2 86 D3 D0 D3
-09 4B 2E 4E 0E DC A2 3F 92 CE 03 4D 4F 56 85 12
-C6 D3 00 40 DA D3 05 4D 4F 56 2E 42 85 12 C6 D3
-40 40 00 00 03 41 44 44 85 12 C6 D3 00 50 F4 D3
-05 41 44 44 2E 42 85 12 C6 D3 40 50 00 D4 04 41
-44 44 43 00 85 12 C6 D3 00 60 0E D4 06 41 44 44
-43 2E 42 00 85 12 C6 D3 40 60 B4 D3 04 53 55 42
-43 00 85 12 C6 D3 00 70 2C D4 06 53 55 42 43 2E
-42 00 85 12 C6 D3 40 70 3A D4 03 53 55 42 85 12
-C6 D3 00 80 4A D4 05 53 55 42 2E 42 85 12 C6 D3
-40 80 6E CE 03 43 4D 50 85 12 C6 D3 00 90 64 D4
-05 43 4D 50 2E 42 85 12 C6 D3 40 90 5A CE 04 44
-41 44 44 00 85 12 C6 D3 00 A0 7E D4 06 44 41 44
-44 2E 42 00 85 12 C6 D3 40 A0 70 D4 03 42 49 54
-85 12 C6 D3 00 B0 9C D4 05 42 49 54 2E 42 85 12
-C6 D3 40 B0 A8 D4 03 42 49 43 85 12 C6 D3 00 C0
-B6 D4 05 42 49 43 2E 42 85 12 C6 D3 40 C0 C2 D4
-03 42 49 53 85 12 C6 D3 00 D0 D0 D4 05 42 49 53
-2E 42 85 12 C6 D3 40 D0 00 00 03 58 4F 52 85 12
-C6 D3 00 E0 EA D4 05 58 4F 52 2E 42 85 12 C6 D3
-40 E0 1C D4 03 41 4E 44 85 12 C6 D3 00 F0 04 D5
-05 41 4E 44 2E 42 85 12 C6 D3 40 F0 5C CC BA D2
-22 D5 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 56 D4 03 52 52 43 85 12 1C D5 00 10 34 D5
-05 52 52 43 2E 42 85 12 1C D5 40 10 40 D5 04 53
-57 50 42 00 85 12 1C D5 80 10 4E D5 03 52 52 41
-85 12 1C D5 00 11 5C D5 05 52 52 41 2E 42 85 12
-1C D5 40 11 68 D5 03 53 58 54 85 12 1C D5 80 11
-00 00 04 50 55 53 48 00 85 12 1C D5 00 12 82 D5
-06 50 55 53 48 2E 42 00 85 12 1C D5 40 12 DC D4
-04 43 41 4C 4C 00 85 12 1C D5 80 12 1A 53 0E 4A
-0D 12 84 12 D4 C8 14 C4 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 C5 76 D5 03 53 3E 3D 86 12
-00 38 CA D5 02 53 3C 00 86 12 00 34 90 D5 03 30
-3E 3D 86 12 00 30 DE D5 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C F2 D5 03 55 3E 3D
-86 12 00 28 E8 D5 03 30 3C 3E 86 12 00 24 06 D6
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 21 8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D FC D5
-04 54 48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 8C D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40
-00 3C 00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F
-A0 D5 05 42 45 47 49 4E 30 40 28 C4 30 D6 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 21 30 4D 10 D5 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 1E D6 B8 C7 5E C8 D4 D5 06 52
-45 50 45 41 54 00 0D 12 84 12 B2 D6 36 D6 5E C8
-E2 D6 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F
-98 42 C6 21 00 00 30 4D 72 D6 03 42 57 31 85 12
-E0 D6 00 00 FA D6 03 42 57 32 85 12 E0 D6 00 00
-06 D7 03 42 57 33 85 12 E0 D6 00 00 1E D7 3D 41
-1A 42 C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00
-A2 53 C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 1C D7 00 00 3E D7 03 46 57 32 85 12
-1C D7 00 00 4A D7 03 46 57 33 85 12 1C D7 00 00
-56 D7 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 CA CC 36 CC 5E C8 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 5C CC E6 D1 A0 D7
-92 53 C4 21 3E 40 2C 00 84 12 2A C9 86 CA 34 C4
-5A CC 7C D3 B6 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E CE D6 04 52 52 43 4D 00 85 12 9A D7 50 00
-E4 D7 04 52 52 41 4D 00 85 12 9A D7 50 01 F2 D7
-04 52 4C 41 4D 00 85 12 9A D7 50 02 00 D8 04 52
-52 55 4D 00 85 12 9A D7 50 03 10 D6 05 50 55 53
-48 4D 85 12 9A D7 00 15 1C D8 04 50 4F 50 4D 00
-85 12 9A D7 00 17
+30 4D CC CB 06 43 52 45 41 54 45 00 B0 12 3A CD
+BA 40 86 12 FC FF 8A 4A FE FF C9 3F F4 CD 04 43
+4F 44 45 00 B0 12 3A CD A2 82 C6 21 0D 12 84 12
+2C D0 06 D0 4E C8 DC CD 07 48 44 4E 43 4F 44 45
+B2 40 0A D0 DA 21 EE 3F 00 00 07 45 4E 44 43 4F
+44 45 0D 12 84 12 90 CD 46 D0 64 D0 4E C8 00 00
+05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00
+BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21 0D 12
+84 12 46 D0 64 D0 4E C8 00 00 05 4C 4F 32 48 49
+A2 83 C6 21 1A 42 C6 21 EB 3F 28 CE 85 48 49 32
+4C 4F 0D 12 84 12 28 C4 D4 CF 82 CB E4 CC 1C CE
+4E C8 C2 CD 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
+1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
+30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
+A4 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C4
+00 00 C6 C7 3C CC 1A C9 D2 CB 8E C7 34 C4 3C CF
+9C C7 14 C4 06 5B 54 48 45 4E 5D 00 AE CE 16 CF
+D2 CE F4 CE 4E C8 9C C7 14 C4 06 5B 45 4C 53 45
+5D 00 AE CE 2C CF D2 CE F2 CE 4E C8 14 C4 04 5B
+49 46 5D 00 AE CE F4 CE 3A C4 F2 CE 70 C7 14 C4
+05 0D 0A 6B 6F 20 4A C7 BC C4 AC C4 3A C4 F4 CE
+E2 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
+2F 53 30 4D 52 CF 89 5B 44 45 46 49 4E 45 44 5D
+0D 12 84 12 3C CC 1A C9 82 C9 60 CF 4E C8 66 CF
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
+70 CF DE C7 4E C8 98 CF B2 4E 0A 18 2E 53 BE 12
+3E 4F 3D 41 90 3C 94 CB 06 4D 41 52 4B 45 52 00
+B0 12 3A CD BA 40 85 12 FC FF BA 40 96 CF FE FF
+28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50 06 00
+C6 21 E1 3E 2E 53 30 4D 0A C4 CA 21 D6 C7 4E C8
+85 12 D8 CF A0 CC 0E CE 10 C7 B8 CC 8C CE D2 C6
+A8 CF 00 C9 D0 D0 E4 D0 E2 C9 14 C9 00 00 80 CF
+F6 CC 0A CA 00 00 85 12 D8 CF 94 D6 FA D6 3C D6
+4A D7 02 D6 00 00 CE D3 00 00 12 D8 F6 D7 66 D6
+A4 D6 DE D4 00 00 00 00 66 D7 04 D0 3A 40 0C 00
+39 40 D6 21 08 49 28 53 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21 09 48
+29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
+CC 21 30 4D 92 42 CA 21 DA 21 30 4D E0 CF 5E D0
+64 D0 74 D0 1A 42 20 18 82 4A C8 21 2E 4E 82 4E
+C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 02 CD 09 50 57 52 5F 53
+54 41 54 45 85 12 6C D0 1E D8 CE C8 09 52 53 54
+5F 53 54 41 54 45 92 42 0A 18 B8 D0 F3 3F AA D0
+08 50 57 52 5F 48 45 52 45 00 92 42 C6 21 B8 D0
+30 4D BC D0 08 52 53 54 5F 48 45 52 45 00 92 42
+C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
+0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
+B9 40 42 D1 FE FF 29 83 B9 40 E2 C5 FE FF 39 90
+AE FF F9 23 39 40 14 18 B2 49 E4 C5 B2 49 FA C4
+B2 49 02 C4 B2 49 00 C6 B2 49 EA FF B2 49 0A 18
+C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
+80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40 00 08
+29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 D3 02 02
+B2 D0 FF FE 26 02 B2 43 22 02 B2 D3 46 02 B2 43
+42 02 B2 D3 66 02 B2 43 62 02 B2 40 00 A5 60 01
+B2 40 FF 1E 80 01 B2 40 B6 00 82 01 B2 40 F4 00
+84 01 82 43 88 01 F2 D0 06 00 2B 02 39 40 40 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18
+82 43 08 18 1E D2 5E 01 B0 12 F8 C4 FE C5 38 40
+C0 21 0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21
+30 4D 1C 15 0E 12 12 12 C4 21 84 12 1A C9 82 C9
+DE C7 34 C4 0E D2 76 CA 34 C4 28 D2 22 D2 10 D2
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 2A D2 B2 41 C4 21 3E 41
+84 12 0A C4 2B 00 1A C9 82 C9 DE C7 34 C4 46 D2
+76 CA 34 C4 3A CC A8 C7 1A C9 76 CA 34 C4 3A CC
+52 D2 3E 5F E7 3F 3E 40 28 00 B0 12 F2 D1 19 42
+C6 21 A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92
+C0 21 C4 21 02 20 30 40 A8 CD 1C 15 12 12 C4 21
+92 53 C4 21 84 12 1A C9 76 CA 34 C4 9A D2 90 D2
+21 53 3E 90 10 00 C6 2B 7F 2D 9C D2 B2 41 C4 21
+C1 3F 0D 12 84 12 3C CC CE D1 AC D2 0C 43 1B 42
+C6 21 A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 21 B0 12 F2 D1 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21
+A2 53 C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 21 B0 12 F2 D1
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21
+B0 12 7A D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+7A D2 92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10
+0C 5E DA 3F B0 12 7A D2 FA 23 3C 50 10 00 B0 12
+56 D2 EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12
+84 12 3C CC CE D1 78 D3 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 7A D2 E0 23
+3C 50 80 00 B0 12 56 D2 DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A C4 00 13 82 CB 4E C8 0A C4
+2C 00 A2 D2 6E D3 B8 D3 09 4B 2E 4E 0E DC A2 3F
+7A CE 03 4D 4F 56 85 12 AE D3 00 40 C2 D3 05 4D
+4F 56 2E 42 85 12 AE D3 40 40 00 00 03 41 44 44
+85 12 AE D3 00 50 DC D3 05 41 44 44 2E 42 85 12
+AE D3 40 50 E8 D3 04 41 44 44 43 00 85 12 AE D3
+00 60 F6 D3 06 41 44 44 43 2E 42 00 85 12 AE D3
+40 60 9C D3 04 53 55 42 43 00 85 12 AE D3 00 70
+14 D4 06 53 55 42 43 2E 42 00 85 12 AE D3 40 70
+22 D4 03 53 55 42 85 12 AE D3 00 80 32 D4 05 53
+55 42 2E 42 85 12 AE D3 40 80 50 CE 03 43 4D 50
+85 12 AE D3 00 90 4C D4 05 43 4D 50 2E 42 85 12
+AE D3 40 90 3A CE 04 44 41 44 44 00 85 12 AE D3
+00 A0 66 D4 06 44 41 44 44 2E 42 00 85 12 AE D3
+40 A0 58 D4 03 42 49 54 85 12 AE D3 00 B0 84 D4
+05 42 49 54 2E 42 85 12 AE D3 40 B0 90 D4 03 42
+49 43 85 12 AE D3 00 C0 9E D4 05 42 49 43 2E 42
+85 12 AE D3 40 C0 AA D4 03 42 49 53 85 12 AE D3
+00 D0 B8 D4 05 42 49 53 2E 42 85 12 AE D3 40 D0
+00 00 03 58 4F 52 85 12 AE D3 00 E0 D2 D4 05 58
+4F 52 2E 42 85 12 AE D3 40 E0 04 D4 03 41 4E 44
+85 12 AE D3 00 F0 EC D4 05 41 4E 44 2E 42 85 12
+AE D3 40 F0 3C CC A2 D2 0A D5 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 3E D4 03 52 52 43
+85 12 04 D5 00 10 1C D5 05 52 52 43 2E 42 85 12
+04 D5 40 10 28 D5 04 53 57 50 42 00 85 12 04 D5
+80 10 36 D5 03 52 52 41 85 12 04 D5 00 11 44 D5
+05 52 52 41 2E 42 85 12 04 D5 40 11 50 D5 03 53
+58 54 85 12 04 D5 80 11 00 00 04 50 55 53 48 00
+85 12 04 D5 00 12 6A D5 06 50 55 53 48 2E 42 00
+85 12 04 D5 40 12 C4 D4 04 43 41 4C 4C 00 85 12
+04 D5 80 12 1A 53 0E 4A 0D 12 84 12 C4 C8 14 C4
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5
+5E D5 03 53 3E 3D 86 12 00 38 B2 D5 02 53 3C 00
+86 12 00 34 78 D5 03 30 3E 3D 86 12 00 30 C6 D5
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C DA D5 03 55 3E 3D 86 12 00 28 D0 D5 03 30
+3C 3E 86 12 00 24 EE D5 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53
+C6 21 0E 4A 30 4D E4 D5 04 54 48 45 4E 00 1A 42
+C6 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 74 D4 04 45 4C 53
+45 00 1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21
+2F 83 8F 4A 00 00 E3 3F 88 D5 05 42 45 47 49 4E
+30 40 28 C4 18 D6 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21
+30 4D F8 D4 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 06 D6
+A8 C7 4E C8 BC D5 06 52 45 50 45 41 54 00 0D 12
+84 12 9A D6 1E D6 4E C8 CA D6 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D
+5A D6 03 42 57 31 85 12 C8 D6 00 00 E2 D6 03 42
+57 32 85 12 C8 D6 00 00 EE D6 03 42 57 33 85 12
+C8 D6 00 00 06 D7 3D 41 1A 42 C6 21 28 4E B2 92
+C4 21 88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 04 D7 00 00
+26 D7 03 46 57 32 85 12 04 D7 00 00 32 D7 03 46
+57 33 85 12 04 D7 00 00 3E D7 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 BA CC
+16 CC 4E C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 3C CC CE D1 88 D7 92 53 C4 21 3E 40 2C 00
+84 12 1A C9 76 CA 34 C4 3A CC 64 D3 9E D7 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E B6 D6 04 52 52 43
+4D 00 85 12 82 D7 50 00 CC D7 04 52 52 41 4D 00
+85 12 82 D7 50 01 DA D7 04 52 4C 41 4D 00 85 12
+82 D7 50 02 E8 D7 04 52 52 55 4D 00 85 12 82 D7
+50 03 F8 D5 05 50 55 53 48 4D 85 12 82 D7 00 15
+04 D8 04 50 4F 50 4D 00 85 12 82 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 80 C6 E0 C5 E0 C5
-E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 E0 C5 5A D1
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 82 C6 E2 C5 E2 C5
+E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 E2 C5 42 D1
 q
index 962dcc5..0123f28 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF 3C D8 44 D0 33 01
-10 00 81 B6 94 C5 AA C4 C6 C5 9C C5 94 C6 3C D8
-44 D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
-E2 C4 EE C4 20 00 0A 00 62 CC 7C CC 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF 34 D8 3C D0 34 01
+10 00 41 B3 94 C5 AA C4 DA C5 9C C5 94 C6 34 D8
+3C D0 7A C6 92 C7 24 C7 FE C6 3C 21 60 C8 D4 C4
+E2 C4 EE C4 20 00 0A 00 00 00 00 00 00 00 00 00
 @C400
-B0 12 C6 C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C5 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 21 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 21 82 43 C4 21 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C4 39 40 22 18
 B2 49 78 C6 B2 49 90 C7 B2 49 22 C7 B2 49 FC C6
 B2 49 CA C4 34 49 35 49 36 49 37 49 B2 49 B4 21
-B2 49 DC 21 3D 41 30 40 10 D1 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C5 92 C3 1C 05 18 42
+B2 49 DC 21 3D 41 30 40 08 D1 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C5 92 C3 1C 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 1C 05 F3 23 B0 12 F8 C4 0A C4 DE 21 E0 C7 32 C7
 14 C4 04 1B 5B 37 6D 00 5C C7 A8 C7 34 C4 86 C5
@@ -52,7 +52,7 @@ C2 48 0E 05 30 4D C8 C6 2D 83 92 B3 1C 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 1C 05
 FD 27 58 42 0C 05 82 93 DE 21 02 24 92 53 DE 21
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C6 2F 83
-8F 4E 00 00 B0 12 C6 C5 92 B3 1C 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C5 92 B3 1C 05 FD 27 1E 42
 0C 05 B0 12 C8 C5 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C7 08 4E 3E 4F C8 3F 1A C7 04 45 43 48
 4F 00 B2 40 C2 48 C0 C6 82 43 DE 21 30 4D 00 00
@@ -80,7 +80,7 @@ B2 21 C8 4A 00 00 30 4D 1A C8 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C7 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 5E CC 20 C7
+10 C8 4E C8 EE C4 8E C8 6A C8 5C C7 4E CC 20 C7
 60 C8 40 C7 01 2E 0E 93 E3 37 38 43 E2 3F 88 C8
 82 53 22 00 82 43 B4 21 0D 12 84 12 0A C4 14 C4
 94 CB 0A C4 22 00 2C C9 FA C8 B2 40 20 00 B4 21
@@ -126,34 +126,34 @@ E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 4B 93 2B 17
 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
 00 00 01 2C 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-3E 4F 30 4D E6 C7 05 41 4C 4C 4F 54 82 5E C6 21
 3E 4F 30 4D 92 CB 87 4C 49 54 45 52 41 4C 82 93
 BE 21 0D 24 09 4E 1A 42 C6 21 A2 52 C6 21 BA 40
 0A C4 00 00 8A 49 02 00 3E 4F 32 B0 00 02 32 C0
 00 02 03 24 8A 4E 02 00 EE 3F 30 4D 66 C8 05 43
 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
 30 4D 7A C8 09 49 4E 54 45 52 50 52 45 54 0D 12
-84 12 AC C4 5E CC 2C C9 1A CC 77 26 3D 40 22 CC
-B9 3E 24 CC 0A 4E 3E 4F 3D 40 3E CC 2D 27 3D 40
-14 CC 1A E2 BE 21 AE 27 0E 12 3E 4F 30 41 40 CC
-3E 4F 3D 40 14 CC BB 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 D0 CD CC 3F 48 CC 86 12
-20 00 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20
-00 20 82 43 BE 21 84 12 8E C7 BC C4 0E CC C4 C7
+84 12 AC C4 4E CC 2C C9 0A CC 7F 26 3D 40 12 CC
+C1 3E 14 CC 0A 4E 3E 4F 3D 40 2E CC 35 27 3D 40
+04 CC 1A E2 BE 21 B6 27 0E 12 3E 4F 30 41 30 CC
+3E 4F 3D 40 04 CC BB 23 DE 53 00 00 68 4E 08 5E
+F8 40 3F 00 00 00 3D 40 D0 CD CC 3F 38 CC 86 12
+20 00 E6 C7 05 41 4C 4C 4F 54 82 5E C6 21 3E 4F
+30 4D 3F 40 80 20 0E 43 31 40 E0 20 B2 40 00 20
+00 20 82 43 BE 21 84 12 8E C7 BC C4 FE CB C4 C7
 F6 C7 14 C4 0C 73 74 61 63 6B 20 65 6D 70 74 79
 21 00 2A C5 0A C4 40 FF 28 C4 FE C7 14 C4 0A 46
 52 41 4D 20 66 75 6C 6C 21 00 2A C5 3A C4 78 CC
-A6 CB 86 41 42 4F 52 54 22 00 0D 12 84 12 E4 C8
+54 CC 86 41 42 4F 52 54 22 00 0D 12 84 12 E4 C8
 0A C4 2A C5 94 CB 60 C8 8E C9 01 27 0D 12 84 12
-5E CC 2C C9 94 C9 34 C4 5C CC 60 C8 00 00 83 5B
+4E CC 2C C9 94 C9 34 C4 4C CC 60 C8 00 00 83 5B
 27 5D 0D 12 84 12 CC CC 0A C4 0A C4 94 CB 94 CB
 60 C8 DE CC 81 5B 82 43 BE 21 30 4D 0C C8 01 5D
 B2 43 BE 21 30 4D FE CC 81 5C 92 42 C0 21 C4 21
 30 4D 00 00 88 50 4F 53 54 50 4F 4E 45 00 0D 12
-84 12 5E CC 2C C9 94 C9 A8 C7 34 C4 5C CC F6 C7
+84 12 4E CC 2C C9 94 C9 A8 C7 34 C4 4C CC F6 C7
 34 C4 40 CD 0A C4 0A C4 94 CB 94 CB 0A C4 94 CB
 94 CB 60 C8 F4 CC 01 3A 30 12 90 CD 92 B3 C6 21
-A2 63 C6 21 0D 12 84 12 5E CC 2C C9 5E CD 3D 41
+A2 63 C6 21 0D 12 84 12 4E CC 2C C9 5E CD 3D 41
 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 DA 21 6E 4E
 3E F0 1E 00 09 5E 3E 4F 82 48 B6 21 82 49 B8 21
 82 4A BA 21 82 4F BC 21 2A 52 82 4A C6 21 30 41
@@ -162,172 +162,172 @@ BA 40 0D 12 FC FF BA 40 84 12 FE FF B2 43 BE 21
 A8 49 FE FF 89 48 00 00 30 4D 0D 12 84 12 14 C4
 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21
 36 C5 46 CD 81 3B 82 93 BE 21 97 27 0D 12 84 12
-0A C4 60 C8 94 CB A2 CD F6 CC 60 C8 04 CC 09 49
+0A C4 60 C8 94 CB A2 CD F6 CC 60 C8 F4 CB 09 49
 4D 4D 45 44 49 41 54 45 18 42 B6 21 F8 D0 80 00
-00 00 30 4D EE CB 06 43 52 45 41 54 45 00 B0 12
+00 00 30 4D DE CB 06 43 52 45 41 54 45 00 B0 12
 4C CD BA 40 86 12 FC FF 8A 4A FE FF C9 3F 06 CE
 04 43 4F 44 45 00 B0 12 4C CD A2 82 C6 21 0D 12
-84 12 46 D0 20 D0 60 C8 00 00 07 45 4E 44 43 4F
-44 45 0D 12 84 12 A2 CD 60 D0 60 C8 B2 CC 03 41
-53 4D B2 40 24 D0 DA 21 E6 3F 3A CE 06 45 4E 44
-41 53 4D 00 0D 12 84 12 42 CE 7E D0 60 C8 00 00
-05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12 00 00
-BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21 30 40
-60 D0 00 00 05 4C 4F 32 48 49 A2 83 C6 21 1A 42
-C6 21 EE 3F EE CD 85 48 49 32 4C 4F 0D 12 84 12
-28 C4 EE CF 94 CB F6 CC 2E CE 60 C8 D4 CD 86 5B
-54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
-F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
-00 00 F9 23 2F 53 2D 53 F7 3F BE CE 86 5B 45 4C
-53 45 5D 00 0D 12 84 12 0A C4 00 00 D8 C7 5E CC
-2C C9 F4 CB A0 C7 34 C4 56 CF AE C7 14 C4 06 5B
-54 48 45 4E 5D 00 C8 CE 30 CF EC CE 0E CF 60 C8
-AE C7 14 C4 06 5B 45 4C 53 45 5D 00 C8 CE 46 CF
-EC CE 0C CF 60 C8 14 C4 04 5B 49 46 5D 00 C8 CE
-0E CF 3A C4 0C CF 82 C7 14 C4 05 0D 0A 6B 6F 20
-5C C7 BC C4 AC C4 3A C4 0E CF FC CE 84 5B 49 46
-5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 6C CF
-89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 5E CC
-2C C9 94 C9 7A CF 60 C8 80 CF 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 0D 12 84 12 8A CF F0 C7 60 C8
-B2 CF B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
-B6 CB 06 4D 41 52 4B 45 52 00 B0 12 4C CD BA 40
-85 12 FC FF BA 40 B0 CF FE FF 28 83 8A 48 00 00
-BA 40 AA C4 04 00 B2 50 06 00 C6 21 DD 3E 2E 53
-30 4D 0A C4 CA 21 E8 C7 60 C8 85 12 F2 CF 4E CE
-20 CE 2C C7 CA CC A6 CE F6 C6 C2 CF 12 C9 EA D0
-FE D0 F4 C9 26 C9 00 00 9A CF 08 CD 1C CA 00 00
-85 12 F2 CF B2 D6 18 D7 5A D6 68 D7 20 D6 00 00
-EC D3 00 00 30 D8 14 D8 84 D6 C2 D6 FC D4 00 00
-00 00 84 D7 1E D0 3A 40 0C 00 39 40 D6 21 08 49
-28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-3A 40 0E 00 38 40 CA 21 09 48 29 53 F8 49 00 00
-18 53 1A 83 FB 23 30 4D 82 43 CC 21 30 4D 92 42
-CA 21 DA 21 30 4D FA CF 78 D0 7E D0 8E D0 1A 42
-20 18 82 4A C8 21 2E 4E 82 4E C6 21 3D 40 10 00
-09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
-00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
-30 4D 14 CD 09 50 57 52 5F 53 54 41 54 45 85 12
-86 D0 3C D8 E0 C8 09 52 53 54 5F 53 54 41 54 45
-92 42 0A 18 D2 D0 F3 3F C4 D0 08 50 57 52 5F 48
-45 52 45 00 92 42 C6 21 D2 D0 30 4D D6 D0 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 21 0A 18 F2 3F
-3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
-10 00 29 83 B9 43 80 FF FC 23 B9 40 5C D1 FE FF
-29 83 B9 40 02 C6 FE FF 39 90 AE FF F9 23 39 40
-14 18 B2 49 04 C6 B2 49 FA C4 B2 49 02 C4 B2 49
-20 C6 B2 49 EC FF B2 49 0A 18 C2 3F B2 D0 03 00
-04 01 B2 D0 10 00 00 01 B2 40 80 5A CC 01 31 40
-E0 20 3F 40 80 20 39 40 00 08 29 83 89 43 00 20
-FC 23 B2 D3 06 02 B2 D3 02 02 F2 D2 05 02 B2 D0
-FF FE 26 02 B2 43 22 02 B2 D3 46 02 B2 43 42 02
-B2 D3 66 02 B2 43 62 02 B2 40 00 A5 60 01 B2 40
-FF 1E 80 01 B2 40 B6 00 82 01 B2 40 F4 00 84 01
-82 43 88 01 F2 D0 06 00 2B 02 39 40 40 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 1E 42 08 18 82 43
-08 18 1E D2 5E 01 B0 12 F8 C4 1E C6 38 40 C0 21
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 21 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 21 30 4D
-1C 15 0E 12 12 12 C4 21 84 12 2C C9 94 C9 F0 C7
-34 C4 2C D2 88 CA 34 C4 46 D2 40 D2 2E D2 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 48 D2 B2 41 C4 21 3E 41 84 12
-0A C4 2B 00 2C C9 94 C9 F0 C7 34 C4 64 D2 88 CA
-34 C4 5C CC BA C7 2C C9 88 CA 34 C4 5C CC 70 D2
-3E 5F E7 3F 3E 40 28 00 B0 12 10 D2 19 42 C6 21
-A2 53 C6 21 89 4E 00 00 3E 40 29 00 92 92 C0 21
-C4 21 02 20 30 40 BA CD 1C 15 12 12 C4 21 92 53
-C4 21 84 12 2C C9 88 CA 34 C4 B8 D2 AE D2 21 53
-3E 90 10 00 C6 2B 7F 2D BA D2 B2 41 C4 21 C1 3F
-0D 12 84 12 5E CC EC D1 CA D2 0C 43 1B 42 C6 21
-A2 53 C6 21 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 21 B0 12 10 D2 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 21 A2 53
-C6 21 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 21 B0 12 10 D2 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 21 B0 12
-98 D2 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 98 D2
-92 92 C0 21 C4 21 02 24 92 53 C4 21 8E 10 0C 5E
-DA 3F B0 12 98 D2 FA 23 3C 50 10 00 B0 12 74 D2
-EF 3F 0C 43 1B 42 C6 21 A2 53 C6 21 0D 12 84 12
-5E CC EC D1 96 D3 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 98 D2 E0 23 3C 50
-80 00 B0 12 74 D2 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A C4 00 13 94 CB 60 C8 0A C4 2C 00
-C0 D2 8C D3 D6 D3 09 4B 2E 4E 0E DC A2 3F 94 CE
-03 4D 4F 56 85 12 CC D3 00 40 E0 D3 05 4D 4F 56
-2E 42 85 12 CC D3 40 40 00 00 03 41 44 44 85 12
-CC D3 00 50 FA D3 05 41 44 44 2E 42 85 12 CC D3
-40 50 06 D4 04 41 44 44 43 00 85 12 CC D3 00 60
-14 D4 06 41 44 44 43 2E 42 00 85 12 CC D3 40 60
-BA D3 04 53 55 42 43 00 85 12 CC D3 00 70 32 D4
-06 53 55 42 43 2E 42 00 85 12 CC D3 40 70 40 D4
-03 53 55 42 85 12 CC D3 00 80 50 D4 05 53 55 42
-2E 42 85 12 CC D3 40 80 70 CE 03 43 4D 50 85 12
-CC D3 00 90 6A D4 05 43 4D 50 2E 42 85 12 CC D3
-40 90 5C CE 04 44 41 44 44 00 85 12 CC D3 00 A0
-84 D4 06 44 41 44 44 2E 42 00 85 12 CC D3 40 A0
-76 D4 03 42 49 54 85 12 CC D3 00 B0 A2 D4 05 42
-49 54 2E 42 85 12 CC D3 40 B0 AE D4 03 42 49 43
-85 12 CC D3 00 C0 BC D4 05 42 49 43 2E 42 85 12
-CC D3 40 C0 C8 D4 03 42 49 53 85 12 CC D3 00 D0
-D6 D4 05 42 49 53 2E 42 85 12 CC D3 40 D0 00 00
-03 58 4F 52 85 12 CC D3 00 E0 F0 D4 05 58 4F 52
-2E 42 85 12 CC D3 40 E0 22 D4 03 41 4E 44 85 12
-CC D3 00 F0 0A D5 05 41 4E 44 2E 42 85 12 CC D3
-40 F0 5E CC C0 D2 28 D5 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 5C D4 03 52 52 43 85 12
-22 D5 00 10 3A D5 05 52 52 43 2E 42 85 12 22 D5
-40 10 46 D5 04 53 57 50 42 00 85 12 22 D5 80 10
-54 D5 03 52 52 41 85 12 22 D5 00 11 62 D5 05 52
-52 41 2E 42 85 12 22 D5 40 11 6E D5 03 53 58 54
-85 12 22 D5 80 11 00 00 04 50 55 53 48 00 85 12
-22 D5 00 12 88 D5 06 50 55 53 48 2E 42 00 85 12
-22 D5 40 12 E2 D4 04 43 41 4C 4C 00 85 12 22 D5
-80 12 1A 53 0E 4A 0D 12 84 12 D6 C8 14 C4 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C5 7C D5
-03 53 3E 3D 86 12 00 38 D0 D5 02 53 3C 00 86 12
-00 34 96 D5 03 30 3E 3D 86 12 00 30 E4 D5 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-F8 D5 03 55 3E 3D 86 12 00 28 EE D5 03 30 3C 3E
-86 12 00 24 0C D6 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 21 8A 4E 00 00 A2 53 C6 21
-0E 4A 30 4D 02 D6 04 54 48 45 4E 00 1A 42 C6 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 92 D4 04 45 4C 53 45 00
-1A 42 C6 21 BA 40 00 3C 00 00 A2 53 C6 21 2F 83
-8F 4A 00 00 E3 3F A6 D5 05 42 45 47 49 4E 30 40
-28 C4 36 D6 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 21 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 21 30 4D
-16 D5 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 24 D6 BA C7
-60 C8 DA D5 06 52 45 50 45 41 54 00 0D 12 84 12
-B8 D6 3C D6 60 C8 E8 D6 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 21 CB 2F 98 42 C6 21 00 00 30 4D 78 D6
-03 42 57 31 85 12 E6 D6 00 00 00 D7 03 42 57 32
-85 12 E6 D6 00 00 0C D7 03 42 57 33 85 12 E6 D6
-00 00 24 D7 3D 41 1A 42 C6 21 28 4E B2 92 C4 21
-88 2B BA 4F 00 00 A2 53 C6 21 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 22 D7 00 00 44 D7
-03 46 57 32 85 12 22 D7 00 00 50 D7 03 46 57 33
-85 12 22 D7 00 00 5C D7 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 CC CC 38 CC
-60 C8 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-5E CC EC D1 A6 D7 92 53 C4 21 3E 40 2C 00 84 12
-2C C9 88 CA 34 C4 5C CC 82 D3 BC D7 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E D4 D6 04 52 52 43 4D 00
-85 12 A0 D7 50 00 EA D7 04 52 52 41 4D 00 85 12
-A0 D7 50 01 F8 D7 04 52 4C 41 4D 00 85 12 A0 D7
-50 02 06 D8 04 52 52 55 4D 00 85 12 A0 D7 50 03
-16 D6 05 50 55 53 48 4D 85 12 A0 D7 00 15 22 D8
-04 50 4F 50 4D 00 85 12 A0 D7 00 17
+84 12 3E D0 18 D0 60 C8 EE CD 07 48 44 4E 43 4F
+44 45 B2 40 1C D0 DA 21 EE 3F 00 00 07 45 4E 44
+43 4F 44 45 0D 12 84 12 A2 CD 58 D0 76 D0 60 C8
+00 00 05 43 4F 4C 4F 4E 1A 42 C6 21 BA 40 0D 12
+00 00 BA 40 84 12 02 00 A2 52 C6 21 B2 43 BE 21
+0D 12 84 12 58 D0 76 D0 60 C8 00 00 05 4C 4F 32
+48 49 A2 83 C6 21 1A 42 C6 21 EB 3F 3A CE 85 48
+49 32 4C 4F 0D 12 84 12 28 C4 E6 CF 94 CB F6 CC
+2E CE 60 C8 D4 CD 86 5B 54 48 45 4E 5D 00 30 4D
+0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
+06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
+3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
+F7 3F B6 CE 86 5B 45 4C 53 45 5D 00 0D 12 84 12
+0A C4 00 00 D8 C7 4E CC 2C C9 E4 CB A0 C7 34 C4
+4E CF AE C7 14 C4 06 5B 54 48 45 4E 5D 00 C0 CE
+28 CF E4 CE 06 CF 60 C8 AE C7 14 C4 06 5B 45 4C
+53 45 5D 00 C0 CE 3E CF E4 CE 04 CF 60 C8 14 C4
+04 5B 49 46 5D 00 C0 CE 06 CF 3A C4 04 CF 82 C7
+14 C4 05 0D 0A 6B 6F 20 5C C7 BC C4 AC C4 3A C4
+06 CF F4 CE 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
+30 4D 2F 53 30 4D 64 CF 89 5B 44 45 46 49 4E 45
+44 5D 0D 12 84 12 4E CC 2C C9 94 C9 72 CF 60 C8
+78 CF 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
+84 12 82 CF F0 C7 60 C8 AA CF B2 4E 0A 18 2E 53
+BE 12 3E 4F 3D 41 90 3C A6 CB 06 4D 41 52 4B 45
+52 00 B0 12 4C CD BA 40 85 12 FC FF BA 40 A8 CF
+FE FF 28 83 8A 48 00 00 BA 40 AA C4 04 00 B2 50
+06 00 C6 21 E1 3E 2E 53 30 4D 0A C4 CA 21 E8 C7
+60 C8 85 12 EA CF B2 CC 20 CE 2C C7 CA CC 9E CE
+F6 C6 BA CF 12 C9 E2 D0 F6 D0 F4 C9 26 C9 00 00
+92 CF 08 CD 1C CA 00 00 85 12 EA CF AA D6 10 D7
+52 D6 60 D7 18 D6 00 00 E4 D3 00 00 28 D8 0C D8
+7C D6 BA D6 F4 D4 00 00 00 00 7C D7 16 D0 3A 40
+0C 00 39 40 D6 21 08 49 28 53 19 83 18 83 E8 49
+00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 21
+09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
+82 43 CC 21 30 4D 92 42 CA 21 DA 21 30 4D F2 CF
+70 D0 76 D0 86 D0 1A 42 20 18 82 4A C8 21 2E 4E
+82 4E C6 21 3D 40 10 00 09 4A 08 49 29 83 18 48
+FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
+0A 93 F0 23 3E 4F 3D 41 30 4D 14 CD 09 50 57 52
+5F 53 54 41 54 45 85 12 7E D0 34 D8 E0 C8 09 52
+53 54 5F 53 54 41 54 45 92 42 0A 18 CA D0 F3 3F
+BC D0 08 50 57 52 5F 48 45 52 45 00 92 42 C6 21
+CA D0 30 4D CE D0 08 52 53 54 5F 48 45 52 45 00
+92 42 C6 21 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
+E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
+FC 23 B9 40 54 D1 FE FF 29 83 B9 40 02 C6 FE FF
+39 90 AE FF F9 23 39 40 14 18 B2 49 04 C6 B2 49
+FA C4 B2 49 02 C4 B2 49 20 C6 B2 49 EC FF B2 49
+0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
+B2 40 80 5A CC 01 31 40 E0 20 3F 40 80 20 39 40
+00 08 29 83 89 43 00 20 FC 23 B2 D3 06 02 B2 D3
+02 02 F2 D2 05 02 B2 D0 FF FE 26 02 B2 43 22 02
+B2 D3 46 02 B2 43 42 02 B2 D3 66 02 B2 43 62 02
+B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40 B6 00
+82 01 B2 40 F4 00 84 01 82 43 88 01 F2 D0 06 00
+2B 02 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 1E 42 08 18 82 43 08 18 1E D2 5E 01 B0 12
+F8 C4 1E C6 38 40 C0 21 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 21 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 21 30 4D 1C 15 0E 12 12 12 C4 21
+84 12 2C C9 94 C9 F0 C7 34 C4 24 D2 88 CA 34 C4
+3E D2 38 D2 26 D2 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 40 D2
+B2 41 C4 21 3E 41 84 12 0A C4 2B 00 2C C9 94 C9
+F0 C7 34 C4 5C D2 88 CA 34 C4 4C CC BA C7 2C C9
+88 CA 34 C4 4C CC 68 D2 3E 5F E7 3F 3E 40 28 00
+B0 12 08 D2 19 42 C6 21 A2 53 C6 21 89 4E 00 00
+3E 40 29 00 92 92 C0 21 C4 21 02 20 30 40 BA CD
+1C 15 12 12 C4 21 92 53 C4 21 84 12 2C C9 88 CA
+34 C4 B0 D2 A6 D2 21 53 3E 90 10 00 C6 2B 7F 2D
+B2 D2 B2 41 C4 21 C1 3F 0D 12 84 12 4E CC E4 D1
+C2 D2 0C 43 1B 42 C6 21 A2 53 C6 21 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 21 B0 12 08 D2 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 21 A2 53 C6 21 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 21 B0 12 08 D2 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 21 B0 12 90 D2 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 90 D2 92 92 C0 21 C4 21 02 24
+92 53 C4 21 8E 10 0C 5E DA 3F B0 12 90 D2 FA 23
+3C 50 10 00 B0 12 6C D2 EF 3F 0C 43 1B 42 C6 21
+A2 53 C6 21 0D 12 84 12 4E CC E4 D1 8E D3 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 90 D2 E0 23 3C 50 80 00 B0 12 6C D2 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A C4 00 13
+94 CB 60 C8 0A C4 2C 00 B8 D2 84 D3 CE D3 09 4B
+2E 4E 0E DC A2 3F 8C CE 03 4D 4F 56 85 12 C4 D3
+00 40 D8 D3 05 4D 4F 56 2E 42 85 12 C4 D3 40 40
+00 00 03 41 44 44 85 12 C4 D3 00 50 F2 D3 05 41
+44 44 2E 42 85 12 C4 D3 40 50 FE D3 04 41 44 44
+43 00 85 12 C4 D3 00 60 0C D4 06 41 44 44 43 2E
+42 00 85 12 C4 D3 40 60 B2 D3 04 53 55 42 43 00
+85 12 C4 D3 00 70 2A D4 06 53 55 42 43 2E 42 00
+85 12 C4 D3 40 70 38 D4 03 53 55 42 85 12 C4 D3
+00 80 48 D4 05 53 55 42 2E 42 85 12 C4 D3 40 80
+62 CE 03 43 4D 50 85 12 C4 D3 00 90 62 D4 05 43
+4D 50 2E 42 85 12 C4 D3 40 90 4C CE 04 44 41 44
+44 00 85 12 C4 D3 00 A0 7C D4 06 44 41 44 44 2E
+42 00 85 12 C4 D3 40 A0 6E D4 03 42 49 54 85 12
+C4 D3 00 B0 9A D4 05 42 49 54 2E 42 85 12 C4 D3
+40 B0 A6 D4 03 42 49 43 85 12 C4 D3 00 C0 B4 D4
+05 42 49 43 2E 42 85 12 C4 D3 40 C0 C0 D4 03 42
+49 53 85 12 C4 D3 00 D0 CE D4 05 42 49 53 2E 42
+85 12 C4 D3 40 D0 00 00 03 58 4F 52 85 12 C4 D3
+00 E0 E8 D4 05 58 4F 52 2E 42 85 12 C4 D3 40 E0
+1A D4 03 41 4E 44 85 12 C4 D3 00 F0 02 D5 05 41
+4E 44 2E 42 85 12 C4 D3 40 F0 4E CC B8 D2 20 D5
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+54 D4 03 52 52 43 85 12 1A D5 00 10 32 D5 05 52
+52 43 2E 42 85 12 1A D5 40 10 3E D5 04 53 57 50
+42 00 85 12 1A D5 80 10 4C D5 03 52 52 41 85 12
+1A D5 00 11 5A D5 05 52 52 41 2E 42 85 12 1A D5
+40 11 66 D5 03 53 58 54 85 12 1A D5 80 11 00 00
+04 50 55 53 48 00 85 12 1A D5 00 12 80 D5 06 50
+55 53 48 2E 42 00 85 12 1A D5 40 12 DA D4 04 43
+41 4C 4C 00 85 12 1A D5 80 12 1A 53 0E 4A 0D 12
+84 12 D6 C8 14 C4 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 C5 74 D5 03 53 3E 3D 86 12 00 38
+C8 D5 02 53 3C 00 86 12 00 34 8E D5 03 30 3E 3D
+86 12 00 30 DC D5 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C F0 D5 03 55 3E 3D 86 12
+00 28 E6 D5 03 30 3C 3E 86 12 00 24 04 D6 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 21
+8A 4E 00 00 A2 53 C6 21 0E 4A 30 4D FA D5 04 54
+48 45 4E 00 1A 42 C6 21 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+8A D4 04 45 4C 53 45 00 1A 42 C6 21 BA 40 00 3C
+00 00 A2 53 C6 21 2F 83 8F 4A 00 00 E3 3F 9E D5
+05 42 45 47 49 4E 30 40 28 C4 2E D6 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 21 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 21 30 4D 0E D5 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 1C D6 BA C7 60 C8 D2 D5 06 52 45 50
+45 41 54 00 0D 12 84 12 B0 D6 34 D6 60 C8 E0 D6
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 21 CB 2F 98 42
+C6 21 00 00 30 4D 70 D6 03 42 57 31 85 12 DE D6
+00 00 F8 D6 03 42 57 32 85 12 DE D6 00 00 04 D7
+03 42 57 33 85 12 DE D6 00 00 1C D7 3D 41 1A 42
+C6 21 28 4E B2 92 C4 21 88 2B BA 4F 00 00 A2 53
+C6 21 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 1A D7 00 00 3C D7 03 46 57 32 85 12 1A D7
+00 00 48 D7 03 46 57 33 85 12 1A D7 00 00 54 D7
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 CC CC 28 CC 60 C8 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 4E CC E4 D1 9E D7 92 53
+C4 21 3E 40 2C 00 84 12 2C C9 88 CA 34 C4 4C CC
+7A D3 B4 D7 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+CC D6 04 52 52 43 4D 00 85 12 98 D7 50 00 E2 D7
+04 52 52 41 4D 00 85 12 98 D7 50 01 F0 D7 04 52
+4C 41 4D 00 85 12 98 D7 50 02 FE D7 04 52 52 55
+4D 00 85 12 98 D7 50 03 0E D6 05 50 55 53 48 4D
+85 12 98 D7 00 15 1A D8 04 50 4F 50 4D 00 85 12
+98 D7 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -336,5 +336,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6
 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 94 C6 02 C6
-02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 5C D1
+02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 02 C6 54 D1
 q
index 5ca061b..5aa599c 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF 08 D6 08 CE 33 01
-10 00 81 86 B4 C3 AA C2 B6 C3 8A C3 80 C4 08 D6
-08 CE 6E C4 90 C5 FC C4 D8 C4 3C 1D 5E C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 26 CA 40 CA 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF F0 D5 F0 CD 34 01
+10 00 41 87 B6 C3 AA C2 B8 C3 8C C3 82 C4 F0 D5
+F0 CD 70 C4 80 C5 FE C4 DA C4 3C 1D 4E C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 B6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
-B2 49 6C C4 B2 49 8E C5 B2 49 FA C4 B2 49 D6 C4
+B2 49 6E C4 B2 49 7E C5 B2 49 FC C4 B2 49 D8 C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D4 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C3 B0 12 F8 C2
-0A C2 DE 1D DE C5 14 C5 48 C5 34 C2 26 CA 14 C2
-05 1B 5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6
-A6 C5 34 C2 7C C3 14 C2 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C5 9E C6 5A C5 14 C2
-04 1B 5B 30 6D 00 5A C5 26 CA 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C3 D2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C3 04 57 41 52 4D 00 B0 12 8A C3
-78 40 03 00 B0 12 B8 C3 84 12 14 C2 07 0D 0A 1B
-5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6 0A C2
-23 00 F8 C4 D4 C6 14 C2 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C5 0A C2 40 FF 28 C2 D2 C5 9E C6 14 C2
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C2 7C C3
-00 00 06 41 43 43 45 50 54 00 30 40 6E C4 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B9 22 3A 17
-92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C3
-82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C4 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C3 92 B3 6C 06 FD 27 1E 42 4C 06 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C4 08 4E 3E 4F
-A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F2 C4 04 45
-43 48 4F 00 B2 40 C2 48 06 C5 82 43 DE 1D 38 40
-05 00 B0 12 B8 C3 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C5 92 43 DE 1D 28 42 F1 3F
-2A C5 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C4 78 C5 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C3 02 43 52 00 30 40 90 C5
-0D 12 84 12 14 C2 02 0D 0A 00 5A C5 5E C6 2F 83
+B2 49 DC 1D 3D 41 30 40 BC CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C3 0E 12 B0 12
+F8 C2 0A C2 DE 1D CE C5 16 C5 EE C2 34 C2 8A C3
+14 C2 05 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5
+C4 C6 96 C5 34 C2 7E C3 14 C2 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C5 8E C6 4A C5
+14 C2 04 1B 5B 30 6D 00 4A C5 16 CA 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C3 D2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C3 04 57 41 52 4D 00 B0 12
+8C C3 78 40 03 00 B0 12 BA C3 84 12 14 C2 07 0D
+0A 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5 C4 C6
+0A C2 23 00 FA C4 C4 C6 14 C2 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C5 0A C2 40 FF 28 C2 C2 C5 8E C6
+14 C2 0A 62 79 74 65 73 20 66 72 65 65 00 3A C2
+7E C3 00 00 06 41 43 43 45 50 54 00 30 40 70 C4
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
+3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C3 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C4 2F 83 8F 4E 00 00
+58 43 B0 12 BA C3 92 B3 6C 06 FD 27 1E 42 4C 06
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C4 08 4E
+3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 C4
+04 45 43 48 4F 00 B2 40 C2 48 08 C5 82 43 DE 1D
+38 40 05 00 B0 12 BA C3 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C5 92 43 DE 1D 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C4 68 C5 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C3 02 43 52 00 30 40 80 C5
+0D 12 84 12 14 C2 02 0D 0A 00 4A C5 4E C6 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C4 01 40 2E 4E
-30 4D DC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C4 01 40 2E 4E
+30 4D CC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D 88 C5 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D 78 C5 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E C2 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 18 C6 02 23 53 00 0D 12 84 12
-1A C6 54 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 08 C6 02 23 53 00 0D 12 84 12
+0A C6 44 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C5 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C5 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C6
-4C C6 EE C2 8C C6 68 C6 5A C5 22 CA F8 C4 5E C6
-42 C5 01 2E 0E 93 E3 37 38 43 E2 3F 86 C6 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 58 C9
-0A C2 22 00 2A C7 F8 C6 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D D2 C6
-82 2E 22 00 0D 12 84 12 E2 C6 0A C2 5A C5 58 C9
-5E C6 F6 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C5
+3C C6 EE C2 7C C6 58 C6 4A C5 02 CA FA C4 4E C6
+2C C5 01 2E 0E 93 E3 37 38 43 E2 3F 76 C6 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 48 C9
+0A C2 22 00 1A C7 E8 C6 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D C2 C6
+82 2E 22 00 0D 12 84 12 D2 C6 0A C2 4A C5 48 C9
+4E C6 F8 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AC C8 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9C C8 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE C8 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E C8 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D E4 C5 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D 56 C9 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D 46 C9 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 C6 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC C2 22 CA 2A C7 DE C9 94 26
-3D 40 E6 C9 D6 3E E8 C9 0A 4E 3E 4F 3D 40 02 CA
-2E 27 3D 40 D8 C9 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 04 CA 3E 4F 3D 40 D8 C9 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 CB CC 3F
-0C CA 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8C C5 BC C2
-D2 C9 C2 C5 F4 C5 14 C2 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 FC C5
+54 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 C6 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC C2 02 CA 1A C7 BE C9 9C 26
+3D 40 C6 C9 DE 3E C8 C9 0A 4E 3E 4F 3D 40 E2 C9
+36 27 3D 40 B8 C9 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 E4 C9 3E 4F 3D 40 B8 C9 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 CB CC 3F
+EC C9 86 12 20 00 D4 C5 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7C C5 BC C2
+B2 C9 B2 C5 E4 C5 14 C2 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 EC C5
 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A C3
-3A C2 3C CA 6A C9 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 C6 0A C2 2A C3 58 C9 5E C6 8C C7 01 27
-0D 12 84 12 22 CA 2A C7 92 C7 34 C2 20 CA 5E C6
-00 00 83 5B 27 5D 0D 12 84 12 90 CA 0A C2 0A C2
-58 C9 58 C9 5E C6 A2 CA 81 5B 82 43 BE 1D 30 4D
-0A C6 01 5D B2 43 BE 1D 30 4D C2 CA 81 5C 92 42
+3A C2 2C CA 08 CA 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 C6 0A C2 2A C3 48 C9 4E C6 7C C7 01 27
+0D 12 84 12 02 CA 1A C7 82 C7 34 C2 00 CA 4E C6
+00 00 83 5B 27 5D 0D 12 84 12 80 CA 0A C2 0A C2
+48 C9 48 C9 4E C6 92 CA 81 5B 82 43 BE 1D 30 4D
+FA C5 01 5D B2 43 BE 1D 30 4D B2 CA 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 CA 2A C7 92 C7 A6 C5 34 C2
-20 CA F4 C5 34 C2 04 CB 0A C2 0A C2 58 C9 58 C9
-0A C2 58 C9 58 C9 5E C6 B8 CA 01 3A 30 12 54 CB
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 22 CA 2A C7
-22 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 CA 1A C7 82 C7 96 C5 34 C2
+00 CA E4 C5 34 C2 F4 CA 0A C2 0A C2 48 C9 48 C9
+0A C2 48 C9 48 C9 4E C6 A8 CA 01 3A 30 12 44 CB
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 02 CA 1A C7
+12 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 C3 0A CB 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A C2 5E C6 58 C9 66 CB BA CA 5E C6
-C8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D B2 C9 06 43 52 45 41 54
-45 00 B0 12 10 CB BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA CB 04 43 4F 44 45 00 B0 12 10 CB A2 82
-C6 1D 0D 12 84 12 0A CE E4 CD 5E C6 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 CB 24 CE 5E C6
-76 CA 03 41 53 4D B2 40 E8 CD DA 1D E6 3F FE CB
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 CC 42 CE
-5E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 24 CE 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F B2 CB 85 48 49 32 4C 4F
-0D 12 84 12 28 C2 B2 CD 58 C9 BA CA F2 CB 5E C6
-98 CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 CC
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2 00 00
-D6 C5 22 CA 2A C7 B8 C9 9E C5 34 C2 1A CD AC C5
-14 C2 06 5B 54 48 45 4E 5D 00 8C CC F4 CC B0 CC
-D2 CC 5E C6 AC C5 14 C2 06 5B 45 4C 53 45 5D 00
-8C CC 0A CD B0 CC D0 CC 5E C6 14 C2 04 5B 49 46
-5D 00 8C CC D2 CC 3A C2 D0 CC 80 C5 14 C2 05 0D
-0A 6B 6F 20 5A C5 BC C2 AC C2 3A C2 D2 CC C0 CC
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 CD 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 CA 2A C7 92 C7 3E CD 5E C6 44 CD 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E CD
-EE C5 5E C6 76 CD B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A C9 06 4D 41 52 4B 45 52 00 B0 12
-10 CB BA 40 85 12 FC FF BA 40 74 CD FE FF 28 83
-8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00 C6 1D
-DD 3E 2E 53 30 4D 0A C2 CA 1D E6 C5 5E C6 85 12
-B6 CD 12 CC E4 CB 0E C5 8E CA 6A CC D0 C4 86 CD
-10 C7 AE CE C2 CE 9A C6 24 C7 00 00 5E CD CC CA
-F2 C7 00 00 85 12 B6 CD 7E D4 E4 D4 26 D4 34 D5
-EC D3 00 00 B8 D1 00 00 FC D5 E0 D5 50 D4 8E D4
-C8 D2 00 00 00 00 50 D5 E2 CD 3A 40 0C 00 39 40
-D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 1D
-30 4D 92 42 CA 1D DA 1D 30 4D BE CD 3C CE 42 CE
-52 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E C6 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 CA 09 50 57 52 5F 53 54 41
-54 45 85 12 4A CE 08 D6 DE C6 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 CE F3 3F 88 CE 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 96 CE 30 4D
-9A CE 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 CF FE FF 29 83 B9 40 E0 C3 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 C3 B2 49 FA C2 B2 49
-02 C2 B2 49 FE C3 B2 49 EE FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04 29 83
-89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02 B2 40
-EF 7F 02 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3
-26 03 F2 40 F0 00 22 03 F2 40 A5 00 61 01 B2 40
-80 00 62 01 82 43 66 01 B2 40 33 00 64 01 D2 43
-61 01 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
-FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03
-F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2
-9E 01 B0 12 F8 C2 FC C3 38 40 C0 1D 0A 4E 39 48
-2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
-1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
-12 12 C4 1D 84 12 2A C7 92 C7 EE C5 34 C2 F8 CF
-4E C8 34 C2 12 D0 0C D0 FA CF 3C 4E 3C 80 87 12
-05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
-30 41 14 D0 B2 41 C4 1D 3E 41 84 12 0A C2 2B 00
-2A C7 92 C7 EE C5 34 C2 30 D0 4E C8 34 C2 20 CA
-B8 C5 2A C7 4E C8 34 C2 20 CA 3C D0 3E 5F E7 3F
-3E 40 28 00 B0 12 DC CF 19 42 C6 1D A2 53 C6 1D
-89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20
-30 40 7E CB 1C 15 12 12 C4 1D 92 53 C4 1D 84 12
-2A C7 4E C8 34 C2 84 D0 7A D0 21 53 3E 90 10 00
-C6 2B 7F 2D 86 D0 B2 41 C4 1D C1 3F 0D 12 84 12
-22 CA B8 CF 96 D0 0C 43 1B 42 C6 1D A2 53 C6 1D
-6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12
-DC CF 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
-18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
-10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
-08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
-00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
-10 02 92 53 C4 1D B0 12 DC CF ED 3F 7A 90 40 00
-16 20 3C 40 20 00 92 53 C4 1D B0 12 64 D0 0C 20
-3C 50 10 00 3E 40 2B 00 B0 12 64 D0 92 92 C0 1D
-C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12
-64 D0 FA 23 3C 50 10 00 B0 12 40 D0 EF 3F 0C 43
-1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 22 CA B8 CF
-62 D1 FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
-82 00 C7 3F B0 12 64 D0 E0 23 3C 50 80 00 B0 12
-40 D0 DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
-0A C2 00 13 58 C9 5E C6 0A C2 2C 00 8C D0 58 D1
-A2 D1 09 4B 2E 4E 0E DC A2 3F 58 CC 03 4D 4F 56
-85 12 98 D1 00 40 AC D1 05 4D 4F 56 2E 42 85 12
-98 D1 40 40 00 00 03 41 44 44 85 12 98 D1 00 50
-C6 D1 05 41 44 44 2E 42 85 12 98 D1 40 50 D2 D1
-04 41 44 44 43 00 85 12 98 D1 00 60 E0 D1 06 41
-44 44 43 2E 42 00 85 12 98 D1 40 60 86 D1 04 53
-55 42 43 00 85 12 98 D1 00 70 FE D1 06 53 55 42
-43 2E 42 00 85 12 98 D1 40 70 0C D2 03 53 55 42
-85 12 98 D1 00 80 1C D2 05 53 55 42 2E 42 85 12
-98 D1 40 80 34 CC 03 43 4D 50 85 12 98 D1 00 90
-36 D2 05 43 4D 50 2E 42 85 12 98 D1 40 90 20 CC
-04 44 41 44 44 00 85 12 98 D1 00 A0 50 D2 06 44
-41 44 44 2E 42 00 85 12 98 D1 40 A0 42 D2 03 42
-49 54 85 12 98 D1 00 B0 6E D2 05 42 49 54 2E 42
-85 12 98 D1 40 B0 7A D2 03 42 49 43 85 12 98 D1
-00 C0 88 D2 05 42 49 43 2E 42 85 12 98 D1 40 C0
-94 D2 03 42 49 53 85 12 98 D1 00 D0 A2 D2 05 42
-49 53 2E 42 85 12 98 D1 40 D0 00 00 03 58 4F 52
-85 12 98 D1 00 E0 BC D2 05 58 4F 52 2E 42 85 12
-98 D1 40 E0 EE D1 03 41 4E 44 85 12 98 D1 00 F0
-D6 D2 05 41 4E 44 2E 42 85 12 98 D1 40 F0 22 CA
-8C D0 F4 D2 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
-0C DA 4F 3F 28 D2 03 52 52 43 85 12 EE D2 00 10
-06 D3 05 52 52 43 2E 42 85 12 EE D2 40 10 12 D3
-04 53 57 50 42 00 85 12 EE D2 80 10 20 D3 03 52
-52 41 85 12 EE D2 00 11 2E D3 05 52 52 41 2E 42
-85 12 EE D2 40 11 3A D3 03 53 58 54 85 12 EE D2
-80 11 00 00 04 50 55 53 48 00 85 12 EE D2 00 12
-54 D3 06 50 55 53 48 2E 42 00 85 12 EE D2 40 12
-AE D2 04 43 41 4C 4C 00 85 12 EE D2 80 12 1A 53
-0E 4A 0D 12 84 12 D4 C6 14 C2 0D 6F 75 74 20 6F
-66 20 62 6F 75 6E 64 73 36 C3 48 D3 03 53 3E 3D
-86 12 00 38 9C D3 02 53 3C 00 86 12 00 34 62 D3
-03 30 3E 3D 86 12 00 30 B0 D3 02 30 3C 00 86 12
-00 30 00 00 02 55 3C 00 86 12 00 2C C4 D3 03 55
-3E 3D 86 12 00 28 BA D3 03 30 3C 3E 86 12 00 24
-D8 D3 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
-1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D
-CE D3 04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
-00 00 30 4D 5E D2 04 45 4C 53 45 00 1A 42 C6 1D
-BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00
-E3 3F 72 D3 05 42 45 47 49 4E 30 40 28 C2 02 D4
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D
-2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 C6 1D 30 4D E2 D2 05 41
-47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
-48 49 4C 45 0D 12 84 12 F0 D3 B8 C5 5E C6 A6 D3
-06 52 45 50 45 41 54 00 0D 12 84 12 84 D4 08 D4
-5E C6 B4 D4 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D
-CB 2F 98 42 C6 1D 00 00 30 4D 44 D4 03 42 57 31
-85 12 B2 D4 00 00 CC D4 03 42 57 32 85 12 B2 D4
-00 00 D8 D4 03 42 57 33 85 12 B2 D4 00 00 F0 D4
-3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F
-00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00
-03 46 57 31 85 12 EE D4 00 00 10 D5 03 46 57 32
-85 12 EE D4 00 00 1C D5 03 46 57 33 85 12 EE D4
-00 00 28 D5 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
-3E 40 00 3C 0D 12 84 12 90 CA FC C9 5E C6 00 00
-05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
-3E B0 00 10 EF 27 3E E0 00 08 EC 3F 22 CA B8 CF
-72 D5 92 53 C4 1D 3E 40 2C 00 84 12 2A C7 4E C8
-34 C2 20 CA 4E D1 88 D5 0A 4E 3E 4F 1A 83 F7 32
-29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
-38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
-5A 06 A6 3E A0 D4 04 52 52 43 4D 00 85 12 6C D5
-50 00 B6 D5 04 52 52 41 4D 00 85 12 6C D5 50 01
-C4 D5 04 52 4C 41 4D 00 85 12 6C D5 50 02 D2 D5
-04 52 52 55 4D 00 85 12 6C D5 50 03 E2 D3 05 50
-55 53 48 4D 85 12 6C D5 00 15 EE D5 04 50 4F 50
-4D 00 85 12 6C D5 00 17
+74 63 68 21 36 C3 FA CA 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A C2 4E C6 48 C9 56 CB AA CA 4E C6
+A8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D 92 C9 06 43 52 45 41 54
+45 00 B0 12 00 CB BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA CB 04 43 4F 44 45 00 B0 12 00 CB A2 82
+C6 1D 0D 12 84 12 F2 CD CC CD 4E C6 A2 CB 07 48
+44 4E 43 4F 44 45 B2 40 D0 CD DA 1D EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 CB 0C CE
+2A CE 4E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 0C CE 2A CE 4E C6 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+EE CB 85 48 49 32 4C 4F 0D 12 84 12 28 C2 9A CD
+48 C9 AA CA E2 CB 4E C6 88 CB 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A CC 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A C2 00 00 C6 C5 02 CA 1A C7 98 C9
+8E C5 34 C2 02 CD 9C C5 14 C2 06 5B 54 48 45 4E
+5D 00 74 CC DC CC 98 CC BA CC 4E C6 9C C5 14 C2
+06 5B 45 4C 53 45 5D 00 74 CC F2 CC 98 CC B8 CC
+4E C6 14 C2 04 5B 49 46 5D 00 74 CC BA CC 3A C2
+B8 CC 70 C5 14 C2 05 0D 0A 6B 6F 20 4A C5 BC C2
+AC C2 3A C2 BA CC A8 CC 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 CD 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 CA 1A C7 82 C7
+26 CD 4E C6 2C CD 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 CD DE C5 4E C6 5E CD B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A C9 06 4D
+41 52 4B 45 52 00 B0 12 00 CB BA 40 85 12 FC FF
+BA 40 5C CD FE FF 28 83 8A 48 00 00 BA 40 AA C2
+04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D 0A C2
+CA 1D D6 C5 4E C6 85 12 9E CD 66 CA D4 CB 10 C5
+7E CA 52 CC D2 C4 6E CD 00 C7 96 CE AA CE 8A C6
+14 C7 00 00 46 CD BC CA E2 C7 00 00 85 12 9E CD
+66 D4 CC D4 0E D4 1C D5 D4 D3 00 00 A0 D1 00 00
+E4 D5 C8 D5 38 D4 76 D4 B0 D2 00 00 00 00 38 D5
+CA CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D DA 1D
+30 4D A6 CD 24 CE 2A CE 3A CE 1A 42 20 18 82 4A
+C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 CA
+09 50 57 52 5F 53 54 41 54 45 85 12 32 CE F0 D5
+CE C6 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E CE F3 3F 70 CE 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 7E CE 30 4D 82 CE 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 CF FE FF 29 83 B9 40
+E2 C3 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 C3 B2 49 FA C2 B2 49 02 C2 B2 49 00 C4 B2 49
+EE FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 04 29 83 89 43 00 1C FC 23 92 D3
+30 01 B2 43 06 02 B2 40 EF 7F 02 02 B2 43 26 02
+B2 D0 08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03
+F2 40 A5 00 61 01 B2 40 80 00 62 01 82 43 66 01
+B2 40 33 00 64 01 D2 43 61 01 39 40 40 00 18 42
+00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3
+B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42
+08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 C2 FE C3
+38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
+09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
+C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 1A C7
+82 C7 DE C5 34 C2 E0 CF 3E C8 34 C2 FA CF F4 CF
+E2 CF 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
+01 3C 2E 83 21 52 1B 17 30 41 FC CF B2 41 C4 1D
+3E 41 84 12 0A C2 2B 00 1A C7 82 C7 DE C5 34 C2
+18 D0 3E C8 34 C2 00 CA A8 C5 1A C7 3E C8 34 C2
+00 CA 24 D0 3E 5F E7 3F 3E 40 28 00 B0 12 C4 CF
+19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00
+92 92 C0 1D C4 1D 02 20 30 40 6E CB 1C 15 12 12
+C4 1D 92 53 C4 1D 84 12 1A C7 3E C8 34 C2 6C D0
+62 D0 21 53 3E 90 10 00 C6 2B 7F 2D 6E D0 B2 41
+C4 1D C1 3F 0D 12 84 12 02 CA A0 CF 7E D0 0C 43
+1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00
+27 20 92 53 C4 1D B0 12 C4 CF 3C 40 00 03 0E 93
+1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
+14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
+0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
+C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
+7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12
+C4 CF ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
+C4 1D B0 12 4C D0 0C 20 3C 50 10 00 3E 40 2B 00
+B0 12 4C D0 92 92 C0 1D C4 1D 02 24 92 53 C4 1D
+8E 10 0C 5E DA 3F B0 12 4C D0 FA 23 3C 50 10 00
+B0 12 28 D0 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D
+0D 12 84 12 02 CA A0 CF 4A D1 FE 90 26 00 00 00
+3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 4C D0
+E0 23 3C 50 80 00 B0 12 28 D0 DB 3F 00 00 04 52
+45 54 49 00 0D 12 84 12 0A C2 00 13 48 C9 4E C6
+0A C2 2C 00 74 D0 40 D1 8A D1 09 4B 2E 4E 0E DC
+A2 3F 40 CC 03 4D 4F 56 85 12 80 D1 00 40 94 D1
+05 4D 4F 56 2E 42 85 12 80 D1 40 40 00 00 03 41
+44 44 85 12 80 D1 00 50 AE D1 05 41 44 44 2E 42
+85 12 80 D1 40 50 BA D1 04 41 44 44 43 00 85 12
+80 D1 00 60 C8 D1 06 41 44 44 43 2E 42 00 85 12
+80 D1 40 60 6E D1 04 53 55 42 43 00 85 12 80 D1
+00 70 E6 D1 06 53 55 42 43 2E 42 00 85 12 80 D1
+40 70 F4 D1 03 53 55 42 85 12 80 D1 00 80 04 D2
+05 53 55 42 2E 42 85 12 80 D1 40 80 16 CC 03 43
+4D 50 85 12 80 D1 00 90 1E D2 05 43 4D 50 2E 42
+85 12 80 D1 40 90 00 CC 04 44 41 44 44 00 85 12
+80 D1 00 A0 38 D2 06 44 41 44 44 2E 42 00 85 12
+80 D1 40 A0 2A D2 03 42 49 54 85 12 80 D1 00 B0
+56 D2 05 42 49 54 2E 42 85 12 80 D1 40 B0 62 D2
+03 42 49 43 85 12 80 D1 00 C0 70 D2 05 42 49 43
+2E 42 85 12 80 D1 40 C0 7C D2 03 42 49 53 85 12
+80 D1 00 D0 8A D2 05 42 49 53 2E 42 85 12 80 D1
+40 D0 00 00 03 58 4F 52 85 12 80 D1 00 E0 A4 D2
+05 58 4F 52 2E 42 85 12 80 D1 40 E0 D6 D1 03 41
+4E 44 85 12 80 D1 00 F0 BE D2 05 41 4E 44 2E 42
+85 12 80 D1 40 F0 02 CA 74 D0 DC D2 0A 4C 3C F0
+70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 10 D2 03 52
+52 43 85 12 D6 D2 00 10 EE D2 05 52 52 43 2E 42
+85 12 D6 D2 40 10 FA D2 04 53 57 50 42 00 85 12
+D6 D2 80 10 08 D3 03 52 52 41 85 12 D6 D2 00 11
+16 D3 05 52 52 41 2E 42 85 12 D6 D2 40 11 22 D3
+03 53 58 54 85 12 D6 D2 80 11 00 00 04 50 55 53
+48 00 85 12 D6 D2 00 12 3C D3 06 50 55 53 48 2E
+42 00 85 12 D6 D2 40 12 96 D2 04 43 41 4C 4C 00
+85 12 D6 D2 80 12 1A 53 0E 4A 0D 12 84 12 C4 C6
+14 C2 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
+36 C3 30 D3 03 53 3E 3D 86 12 00 38 84 D3 02 53
+3C 00 86 12 00 34 4A D3 03 30 3E 3D 86 12 00 30
+98 D3 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
+86 12 00 2C AC D3 03 55 3E 3D 86 12 00 28 A2 D3
+03 30 3C 3E 86 12 00 24 C0 D3 02 30 3D 00 86 12
+00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00
+A2 53 C6 1D 0E 4A 30 4D B6 D3 04 54 48 45 4E 00
+1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
+3A 90 00 02 B1 2F 88 DA 00 00 30 4D 46 D2 04 45
+4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53
+C6 1D 2F 83 8F 4A 00 00 E3 3F 5A D3 05 42 45 47
+49 4E 30 40 28 C2 EA D3 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90
+00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C6 1D 30 4D CA D2 05 41 47 41 49 4E 0A 4E 38 40
+00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
+D8 D3 A8 C5 4E C6 8E D3 06 52 45 50 45 41 54 00
+0D 12 84 12 6C D4 F0 D3 4E C6 9C D4 3D 41 08 4E
+3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00
+30 4D 2C D4 03 42 57 31 85 12 9A D4 00 00 B4 D4
+03 42 57 32 85 12 9A D4 00 00 C0 D4 03 42 57 33
+85 12 9A D4 00 00 D8 D4 3D 41 1A 42 C6 1D 28 4E
+B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A
+00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 D6 D4
+00 00 F8 D4 03 46 57 32 85 12 D6 D4 00 00 04 D5
+03 46 57 33 85 12 D6 D4 00 00 10 D5 04 47 4F 54
+4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
+80 CA DC C9 4E C6 00 00 05 3F 47 4F 54 4F 3E 90
+00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
+00 08 EC 3F 02 CA A0 CF 5A D5 92 53 C4 1D 3E 40
+2C 00 84 12 1A C7 3E C8 34 C2 00 CA 36 D1 70 D5
+0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
+59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
+AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 88 D4 04 52
+52 43 4D 00 85 12 54 D5 50 00 9E D5 04 52 52 41
+4D 00 85 12 54 D5 50 01 AC D5 04 52 4C 41 4D 00
+85 12 54 D5 50 02 BA D5 04 52 52 55 4D 00 85 12
+54 D5 50 03 CA D3 05 50 55 53 48 4D 85 12 54 D5
+00 15 D6 D5 04 50 4F 50 4D 00 85 12 54 D5 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 80 C4
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 20 CF
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 82 C4
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 08 CF
 q
index edacf4b..720a181 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 0E D6 0A CE 33 01
-10 00 81 B6 94 C3 AA C2 C6 C3 9C C3 94 C4 0E D6
-0A CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 28 CA 42 CA 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF 06 D6 02 CE 34 01
+10 00 41 B3 94 C3 AA C2 DA C3 9C C3 94 C4 06 D6
+02 CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 C6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
 B2 49 78 C4 B2 49 90 C5 B2 49 22 C5 B2 49 FC C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C3 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 CE CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C3 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 C2 0A C2 DE 1D E0 C5 32 C5
 14 C2 04 1B 5B 37 6D 00 5C C5 A8 C5 34 C2 86 C3
@@ -52,7 +52,7 @@ C2 48 CE 05 30 4D C8 C4 2D 83 92 B3 DC 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 DC 05
 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53 DE 1D
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C4 2F 83
-8F 4E 00 00 B0 12 C6 C3 92 B3 DC 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C3 92 B3 DC 05 FD 27 1E 42
 CC 05 B0 12 C8 C3 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C5 08 4E 3E 4F C8 3F 1A C5 04 45 43 48
 4F 00 B2 40 C2 48 C0 C4 82 43 DE 1D 30 4D 00 00
@@ -80,7 +80,7 @@ B2 1D C8 4A 00 00 30 4D 1A C6 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C5 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 24 CA 20 C5
+10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 14 CA 20 C5
 60 C6 40 C5 01 2E 0E 93 E3 37 38 43 E2 3F 88 C6
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2
 5A C9 0A C2 22 00 2C C7 FA C6 B2 40 20 00 B4 1D
@@ -122,34 +122,34 @@ B0 12 66 C2 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 C5 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A C6 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C2 24 CA 2C C7 E0 C9
-94 26 3D 40 E8 C9 D6 3E EA C9 0A 4E 3E 4F 3D 40
-04 CA 2E 27 3D 40 DA C9 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 CA 3E 4F 3D 40 DA C9 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC C2 14 CA 2C C7 D0 C9
+9C 26 3D 40 D8 C9 DE 3E DA C9 0A 4E 3E 4F 3D 40
+F4 C9 36 27 3D 40 CA C9 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 F6 C9 3E 4F 3D 40 CA C9 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CB
-CC 3F 0E CA 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F FE C9 86 12 20 00 E6 C5 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E C5
-BC C2 D4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
+BC C2 C4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2
 FE C5 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C3 3A C2 3E CA 6C C9 86 41 42 4F 52 54 22 00
+2A C3 3A C2 3E CA 1A CA 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 C6 0A C2 2A C3 5A C9 60 C6 8E C7
-01 27 0D 12 84 12 24 CA 2C C7 94 C7 34 C2 22 CA
+01 27 0D 12 84 12 14 CA 2C C7 94 C7 34 C2 12 CA
 60 C6 00 00 83 5B 27 5D 0D 12 84 12 92 CA 0A C2
 0A C2 5A C9 5A C9 60 C6 A4 CA 81 5B 82 43 BE 1D
 30 4D 0C C6 01 5D B2 43 BE 1D 30 4D C4 CA 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CA 2C C7 94 C7 A8 C5
-34 C2 22 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
+4F 4E 45 00 0D 12 84 12 14 CA 2C C7 94 C7 A8 C5
+34 C2 12 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
 5A C9 0A C2 5A C9 5A C9 60 C6 BA CA 01 3A 30 12
-56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 CA
+56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 14 CA
 2C C7 24 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -159,172 +159,172 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 C3 0C CB 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A C2 60 C6 5A C9 68 CB BC CA
-60 C6 CA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 C9 06 43 52 45
+60 C6 BA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D A4 C9 06 43 52 45
 41 54 45 00 B0 12 12 CB BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC CB 04 43 4F 44 45 00 B0 12 12 CB
-A2 82 C6 1D 0D 12 84 12 0C CE E6 CD 60 C6 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB 26 CE
-60 C6 78 CA 03 41 53 4D B2 40 EA CD DA 1D E6 3F
-00 CC 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CC
-44 CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 CE 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 CB 85 48 49 32
-4C 4F 0D 12 84 12 28 C2 B4 CD 5A C9 BC CA F4 CB
-60 C6 9A CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CC 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2
-00 00 D8 C5 24 CA 2C C7 BA C9 A0 C5 34 C2 1C CD
-AE C5 14 C2 06 5B 54 48 45 4E 5D 00 8E CC F6 CC
-B2 CC D4 CC 60 C6 AE C5 14 C2 06 5B 45 4C 53 45
-5D 00 8E CC 0C CD B2 CC D2 CC 60 C6 14 C2 04 5B
-49 46 5D 00 8E CC D4 CC 3A C2 D2 CC 82 C5 14 C2
-05 0D 0A 6B 6F 20 5C C5 BC C2 AC C2 3A C2 D4 CC
-C2 CC 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CD 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CA 2C C7 94 C7 40 CD 60 C6 46 CD
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CD F0 C5 60 C6 78 CD B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C C9 06 4D 41 52 4B 45 52 00
-B0 12 12 CB BA 40 85 12 FC FF BA 40 76 CD FE FF
-28 83 8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A C2 CA 1D E8 C5 60 C6
-85 12 B8 CD 14 CC E6 CB 2C C5 90 CA 6C CC F6 C4
-88 CD 12 C7 B0 CE C4 CE 9C C6 26 C7 00 00 60 CD
-CE CA F4 C7 00 00 85 12 B8 CD 84 D4 EA D4 2C D4
-3A D5 F2 D3 00 00 BE D1 00 00 02 D6 E6 D5 56 D4
-94 D4 CE D2 00 00 00 00 56 D5 E4 CD 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 CD 3E CE
-44 CE 54 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CA 09 50 57 52 5F 53
-54 41 54 45 85 12 4C CE 0E D6 E0 C6 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 CE F3 3F 8A CE
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 CE
-30 4D 9C CE 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 CF FE FF 29 83 B9 40 02 C4 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 C4 B2 49 FA C2
-B2 49 02 C2 B2 49 20 C4 B2 49 F0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04
-29 83 89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02
-B2 40 EF 7F 02 02 E2 D2 05 02 B2 43 26 02 B2 D0
-08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03 F2 40
-A5 00 61 01 B2 40 80 00 62 01 82 43 66 01 B2 40
-33 00 64 01 D2 43 61 01 39 40 40 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3 B0 01
-F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42 08 18
-82 43 08 18 1E D2 9E 01 B0 12 F8 C2 1E C4 38 40
-C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
-03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
-30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2C C7 94 C7
-F0 C5 34 C2 FE CF 50 C8 34 C2 18 D0 12 D0 00 D0
-3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
-2E 83 21 52 1B 17 30 41 1A D0 B2 41 C4 1D 3E 41
-84 12 0A C2 2B 00 2C C7 94 C7 F0 C5 34 C2 36 D0
-50 C8 34 C2 22 CA BA C5 2C C7 50 C8 34 C2 22 CA
-42 D0 3E 5F E7 3F 3E 40 28 00 B0 12 E2 CF 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92
-C0 1D C4 1D 02 20 30 40 80 CB 1C 15 12 12 C4 1D
-92 53 C4 1D 84 12 2C C7 50 C8 34 C2 8A D0 80 D0
-21 53 3E 90 10 00 C6 2B 7F 2D 8C D0 B2 41 C4 1D
-C1 3F 0D 12 84 12 24 CA BE CF 9C D0 0C 43 1B 42
-C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20
-92 53 C4 1D B0 12 E2 CF 3C 40 00 03 0E 93 1C 24
-3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
-3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
-3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D
-A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
-26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12 E2 CF
-ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
-B0 12 6A D0 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
-6A D0 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
-0C 5E DA 3F B0 12 6A D0 FA 23 3C 50 10 00 B0 12
-46 D0 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
-84 12 24 CA BE CF 68 D1 FE 90 26 00 00 00 3E 40
-20 00 03 20 3C 50 82 00 C7 3F B0 12 6A D0 E0 23
-3C 50 80 00 B0 12 46 D0 DB 3F 00 00 04 52 45 54
-49 00 0D 12 84 12 0A C2 00 13 5A C9 60 C6 0A C2
-2C 00 92 D0 5E D1 A8 D1 09 4B 2E 4E 0E DC A2 3F
-5A CC 03 4D 4F 56 85 12 9E D1 00 40 B2 D1 05 4D
-4F 56 2E 42 85 12 9E D1 40 40 00 00 03 41 44 44
-85 12 9E D1 00 50 CC D1 05 41 44 44 2E 42 85 12
-9E D1 40 50 D8 D1 04 41 44 44 43 00 85 12 9E D1
-00 60 E6 D1 06 41 44 44 43 2E 42 00 85 12 9E D1
-40 60 8C D1 04 53 55 42 43 00 85 12 9E D1 00 70
-04 D2 06 53 55 42 43 2E 42 00 85 12 9E D1 40 70
-12 D2 03 53 55 42 85 12 9E D1 00 80 22 D2 05 53
-55 42 2E 42 85 12 9E D1 40 80 36 CC 03 43 4D 50
-85 12 9E D1 00 90 3C D2 05 43 4D 50 2E 42 85 12
-9E D1 40 90 22 CC 04 44 41 44 44 00 85 12 9E D1
-00 A0 56 D2 06 44 41 44 44 2E 42 00 85 12 9E D1
-40 A0 48 D2 03 42 49 54 85 12 9E D1 00 B0 74 D2
-05 42 49 54 2E 42 85 12 9E D1 40 B0 80 D2 03 42
-49 43 85 12 9E D1 00 C0 8E D2 05 42 49 43 2E 42
-85 12 9E D1 40 C0 9A D2 03 42 49 53 85 12 9E D1
-00 D0 A8 D2 05 42 49 53 2E 42 85 12 9E D1 40 D0
-00 00 03 58 4F 52 85 12 9E D1 00 E0 C2 D2 05 58
-4F 52 2E 42 85 12 9E D1 40 E0 F4 D1 03 41 4E 44
-85 12 9E D1 00 F0 DC D2 05 41 4E 44 2E 42 85 12
-9E D1 40 F0 24 CA 92 D0 FA D2 0A 4C 3C F0 70 00
-8A 10 3A F0 0F 00 0C DA 4F 3F 2E D2 03 52 52 43
-85 12 F4 D2 00 10 0C D3 05 52 52 43 2E 42 85 12
-F4 D2 40 10 18 D3 04 53 57 50 42 00 85 12 F4 D2
-80 10 26 D3 03 52 52 41 85 12 F4 D2 00 11 34 D3
-05 52 52 41 2E 42 85 12 F4 D2 40 11 40 D3 03 53
-58 54 85 12 F4 D2 80 11 00 00 04 50 55 53 48 00
-85 12 F4 D2 00 12 5A D3 06 50 55 53 48 2E 42 00
-85 12 F4 D2 40 12 B4 D2 04 43 41 4C 4C 00 85 12
-F4 D2 80 12 1A 53 0E 4A 0D 12 84 12 D6 C6 14 C2
-0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C3
-4E D3 03 53 3E 3D 86 12 00 38 A2 D3 02 53 3C 00
-86 12 00 34 68 D3 03 30 3E 3D 86 12 00 30 B6 D3
-02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
-00 2C CA D3 03 55 3E 3D 86 12 00 28 C0 D3 03 30
-3C 3E 86 12 00 24 DE D3 02 30 3D 00 86 12 00 20
-00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
-C6 1D 0E 4A 30 4D D4 D3 04 54 48 45 4E 00 1A 42
-C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 B1 2F 88 DA 00 00 30 4D 64 D2 04 45 4C 53
-45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
-2F 83 8F 4A 00 00 E3 3F 78 D3 05 42 45 47 49 4E
-30 40 28 C2 08 D4 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
-8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
-30 4D E8 D2 05 41 47 41 49 4E 0A 4E 38 40 00 3C
-E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 F6 D3
-BA C5 60 C6 AC D3 06 52 45 50 45 41 54 00 0D 12
-84 12 8A D4 0E D4 60 C6 BA D4 3D 41 08 4E 3E 4F
-2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
-4A D4 03 42 57 31 85 12 B8 D4 00 00 D2 D4 03 42
-57 32 85 12 B8 D4 00 00 DE D4 03 42 57 33 85 12
-B8 D4 00 00 F6 D4 3D 41 1A 42 C6 1D 28 4E B2 92
-C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
-3E 4F 30 4D 00 00 03 46 57 31 85 12 F4 D4 00 00
-16 D5 03 46 57 32 85 12 F4 D4 00 00 22 D5 03 46
-57 33 85 12 F4 D4 00 00 2E D5 04 47 4F 54 4F 00
-2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 CA
-FE C9 60 C6 00 00 05 3F 47 4F 54 4F 3E 90 00 30
-F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
-EC 3F 24 CA BE CF 78 D5 92 53 C4 1D 3E 40 2C 00
-84 12 2C C7 50 C8 34 C2 22 CA 54 D1 8E D5 0A 4E
-3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
-01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
-2A 92 E8 2E 8A 10 5A 06 A6 3E A6 D4 04 52 52 43
-4D 00 85 12 72 D5 50 00 BC D5 04 52 52 41 4D 00
-85 12 72 D5 50 01 CA D5 04 52 4C 41 4D 00 85 12
-72 D5 50 02 D8 D5 04 52 52 55 4D 00 85 12 72 D5
-50 03 E8 D3 05 50 55 53 48 4D 85 12 72 D5 00 15
-F4 D5 04 50 4F 50 4D 00 85 12 72 D5 00 17
+A2 82 C6 1D 0D 12 84 12 04 CE DE CD 60 C6 B4 CB
+07 48 44 4E 43 4F 44 45 B2 40 E2 CD DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB
+1E CE 3C CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 1E CE 3C CE 60 C6
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F 00 CC 85 48 49 32 4C 4F 0D 12 84 12 28 C2
+AC CD 5A C9 BC CA F4 CB 60 C6 9A CB 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C CC 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C2 00 00 D8 C5 14 CA 2C C7
+AA C9 A0 C5 34 C2 14 CD AE C5 14 C2 06 5B 54 48
+45 4E 5D 00 86 CC EE CC AA CC CC CC 60 C6 AE C5
+14 C2 06 5B 45 4C 53 45 5D 00 86 CC 04 CD AA CC
+CA CC 60 C6 14 C2 04 5B 49 46 5D 00 86 CC CC CC
+3A C2 CA CC 82 C5 14 C2 05 0D 0A 6B 6F 20 5C C5
+BC C2 AC C2 3A C2 CC CC BA CC 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A CD 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 CA 2C C7
+94 C7 38 CD 60 C6 3E CD 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 CD F0 C5 60 C6 70 CD
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C C9
+06 4D 41 52 4B 45 52 00 B0 12 12 CB BA 40 85 12
+FC FF BA 40 6E CD FE FF 28 83 8A 48 00 00 BA 40
+AA C2 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A C2 CA 1D E8 C5 60 C6 85 12 B0 CD 78 CA E6 CB
+2C C5 90 CA 64 CC F6 C4 80 CD 12 C7 A8 CE BC CE
+9C C6 26 C7 00 00 58 CD CE CA F4 C7 00 00 85 12
+B0 CD 7C D4 E2 D4 24 D4 32 D5 EA D3 00 00 B6 D1
+00 00 FA D5 DE D5 4E D4 8C D4 C6 D2 00 00 00 00
+4E D5 DC CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D B8 CD 36 CE 3C CE 4C CE 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA CA 09 50 57 52 5F 53 54 41 54 45 85 12 44 CE
+06 D6 E0 C6 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 CE F3 3F 82 CE 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 90 CE 30 4D 94 CE 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A CF FE FF 29 83
+B9 40 02 C4 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 C4 B2 49 FA C2 B2 49 02 C2 B2 49 20 C4
+B2 49 F0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 04 29 83 89 43 00 1C FC 23
+92 D3 30 01 B2 43 06 02 B2 40 EF 7F 02 02 E2 D2
+05 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3 26 03
+F2 40 F0 00 22 03 F2 40 A5 00 61 01 B2 40 80 00
+62 01 82 43 66 01 B2 40 33 00 64 01 D2 43 61 01
+39 40 40 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0
+40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2 9E 01
+B0 12 F8 C2 1E C4 38 40 C0 1D 0A 4E 39 48 2E 48
+09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
+0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
+C4 1D 84 12 2C C7 94 C7 F0 C5 34 C2 F6 CF 50 C8
+34 C2 10 D0 0A D0 F8 CF 3C 4E 3C 80 87 12 05 24
+1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
+12 D0 B2 41 C4 1D 3E 41 84 12 0A C2 2B 00 2C C7
+94 C7 F0 C5 34 C2 2E D0 50 C8 34 C2 12 CA BA C5
+2C C7 50 C8 34 C2 12 CA 3A D0 3E 5F E7 3F 3E 40
+28 00 B0 12 DA CF 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40
+80 CB 1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2C C7
+50 C8 34 C2 82 D0 78 D0 21 53 3E 90 10 00 C6 2B
+7F 2D 84 D0 B2 41 C4 1D C1 3F 0D 12 84 12 14 CA
+B6 CF 94 D0 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
+3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12 DA CF
+3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
+3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
+3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
+3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
+3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
+92 53 C4 1D B0 12 DA CF ED 3F 7A 90 40 00 16 20
+3C 40 20 00 92 53 C4 1D B0 12 62 D0 0C 20 3C 50
+10 00 3E 40 2B 00 B0 12 62 D0 92 92 C0 1D C4 1D
+02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 62 D0
+FA 23 3C 50 10 00 B0 12 3E D0 EF 3F 0C 43 1B 42
+C6 1D A2 53 C6 1D 0D 12 84 12 14 CA B6 CF 60 D1
+FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
+C7 3F B0 12 62 D0 E0 23 3C 50 80 00 B0 12 3E D0
+DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A C2
+00 13 5A C9 60 C6 0A C2 2C 00 8A D0 56 D1 A0 D1
+09 4B 2E 4E 0E DC A2 3F 52 CC 03 4D 4F 56 85 12
+96 D1 00 40 AA D1 05 4D 4F 56 2E 42 85 12 96 D1
+40 40 00 00 03 41 44 44 85 12 96 D1 00 50 C4 D1
+05 41 44 44 2E 42 85 12 96 D1 40 50 D0 D1 04 41
+44 44 43 00 85 12 96 D1 00 60 DE D1 06 41 44 44
+43 2E 42 00 85 12 96 D1 40 60 84 D1 04 53 55 42
+43 00 85 12 96 D1 00 70 FC D1 06 53 55 42 43 2E
+42 00 85 12 96 D1 40 70 0A D2 03 53 55 42 85 12
+96 D1 00 80 1A D2 05 53 55 42 2E 42 85 12 96 D1
+40 80 28 CC 03 43 4D 50 85 12 96 D1 00 90 34 D2
+05 43 4D 50 2E 42 85 12 96 D1 40 90 12 CC 04 44
+41 44 44 00 85 12 96 D1 00 A0 4E D2 06 44 41 44
+44 2E 42 00 85 12 96 D1 40 A0 40 D2 03 42 49 54
+85 12 96 D1 00 B0 6C D2 05 42 49 54 2E 42 85 12
+96 D1 40 B0 78 D2 03 42 49 43 85 12 96 D1 00 C0
+86 D2 05 42 49 43 2E 42 85 12 96 D1 40 C0 92 D2
+03 42 49 53 85 12 96 D1 00 D0 A0 D2 05 42 49 53
+2E 42 85 12 96 D1 40 D0 00 00 03 58 4F 52 85 12
+96 D1 00 E0 BA D2 05 58 4F 52 2E 42 85 12 96 D1
+40 E0 EC D1 03 41 4E 44 85 12 96 D1 00 F0 D4 D2
+05 41 4E 44 2E 42 85 12 96 D1 40 F0 14 CA 8A D0
+F2 D2 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
+4F 3F 26 D2 03 52 52 43 85 12 EC D2 00 10 04 D3
+05 52 52 43 2E 42 85 12 EC D2 40 10 10 D3 04 53
+57 50 42 00 85 12 EC D2 80 10 1E D3 03 52 52 41
+85 12 EC D2 00 11 2C D3 05 52 52 41 2E 42 85 12
+EC D2 40 11 38 D3 03 53 58 54 85 12 EC D2 80 11
+00 00 04 50 55 53 48 00 85 12 EC D2 00 12 52 D3
+06 50 55 53 48 2E 42 00 85 12 EC D2 40 12 AC D2
+04 43 41 4C 4C 00 85 12 EC D2 80 12 1A 53 0E 4A
+0D 12 84 12 D6 C6 14 C2 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 36 C3 46 D3 03 53 3E 3D 86 12
+00 38 9A D3 02 53 3C 00 86 12 00 34 60 D3 03 30
+3E 3D 86 12 00 30 AE D3 02 30 3C 00 86 12 00 30
+00 00 02 55 3C 00 86 12 00 2C C2 D3 03 55 3E 3D
+86 12 00 28 B8 D3 03 30 3C 3E 86 12 00 24 D6 D3
+02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
+C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D CC D3
+04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
+30 4D 5C D2 04 45 4C 53 45 00 1A 42 C6 1D BA 40
+00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
+70 D3 05 42 45 47 49 4E 30 40 28 C2 00 D4 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 C6 1D 30 4D E0 D2 05 41 47 41
+49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
+4C 45 0D 12 84 12 EE D3 BA C5 60 C6 A4 D3 06 52
+45 50 45 41 54 00 0D 12 84 12 82 D4 06 D4 60 C6
+B2 D4 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
+98 42 C6 1D 00 00 30 4D 42 D4 03 42 57 31 85 12
+B0 D4 00 00 CA D4 03 42 57 32 85 12 B0 D4 00 00
+D6 D4 03 42 57 33 85 12 B0 D4 00 00 EE D4 3D 41
+1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
+A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
+57 31 85 12 EC D4 00 00 0E D5 03 46 57 32 85 12
+EC D4 00 00 1A D5 03 46 57 33 85 12 EC D4 00 00
+26 D5 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
+00 3C 0D 12 84 12 92 CA EE C9 60 C6 00 00 05 3F
+47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
+00 10 EF 27 3E E0 00 08 EC 3F 14 CA B6 CF 70 D5
+92 53 C4 1D 3E 40 2C 00 84 12 2C C7 50 C8 34 C2
+12 CA 4C D1 86 D5 0A 4E 3E 4F 1A 83 F7 32 29 4E
+59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
+10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
+A6 3E 9E D4 04 52 52 43 4D 00 85 12 6A D5 50 00
+B4 D5 04 52 52 41 4D 00 85 12 6A D5 50 01 C2 D5
+04 52 4C 41 4D 00 85 12 6A D5 50 02 D0 D5 04 52
+52 55 4D 00 85 12 6A D5 50 03 E0 D3 05 50 55 53
+48 4D 85 12 6A D5 00 15 EC D5 04 50 4F 50 4D 00
+85 12 6A D5 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
-94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 22 CF
+94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 1A CF
 q
index 9b417bc..32ff7d0 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF 04 D6 08 CE 33 01
-10 00 81 86 B4 C3 AA C2 B6 C3 8A C3 80 C4 04 D6
-08 CE 6E C4 90 C5 FC C4 D8 C4 3C 1D 5E C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 26 CA 40 CA 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF EC D5 F0 CD 34 01
+10 00 41 87 B6 C3 AA C2 B8 C3 8C C3 82 C4 EC D5
+F0 CD 70 C4 80 C5 FE C4 DA C4 3C 1D 4E C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 B6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
-B2 49 6C C4 B2 49 8E C5 B2 49 FA C4 B2 49 D6 C4
+B2 49 6E C4 B2 49 7E C5 B2 49 FC C4 B2 49 D8 C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D4 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C3 B0 12 F8 C2
-0A C2 DE 1D DE C5 14 C5 48 C5 34 C2 26 CA 14 C2
-05 1B 5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6
-A6 C5 34 C2 7C C3 14 C2 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C5 9E C6 5A C5 14 C2
-04 1B 5B 30 6D 00 5A C5 26 CA 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C3 D2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C3 04 57 41 52 4D 00 B0 12 8A C3
-78 40 03 00 B0 12 B8 C3 84 12 14 C2 07 0D 0A 1B
-5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6 0A C2
-23 00 F8 C4 D4 C6 14 C2 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C5 0A C2 40 FF 28 C2 D2 C5 9E C6 14 C2
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C2 7C C3
-00 00 06 41 43 43 45 50 54 00 30 40 6E C4 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B9 22 3A 17
-92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C3
-82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C4 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C3 92 B3 6C 06 FD 27 1E 42 4C 06 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C4 08 4E 3E 4F
-A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F2 C4 04 45
-43 48 4F 00 B2 40 C2 48 06 C5 82 43 DE 1D 38 40
-05 00 B0 12 B8 C3 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C5 92 43 DE 1D 28 42 F1 3F
-2A C5 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C4 78 C5 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C3 02 43 52 00 30 40 90 C5
-0D 12 84 12 14 C2 02 0D 0A 00 5A C5 5E C6 2F 83
+B2 49 DC 1D 3D 41 30 40 BC CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C3 0E 12 B0 12
+F8 C2 0A C2 DE 1D CE C5 16 C5 EE C2 34 C2 8A C3
+14 C2 05 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5
+C4 C6 96 C5 34 C2 7E C3 14 C2 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C5 8E C6 4A C5
+14 C2 04 1B 5B 30 6D 00 4A C5 16 CA 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C3 D2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C3 04 57 41 52 4D 00 B0 12
+8C C3 78 40 03 00 B0 12 BA C3 84 12 14 C2 07 0D
+0A 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5 C4 C6
+0A C2 23 00 FA C4 C4 C6 14 C2 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C5 0A C2 40 FF 28 C2 C2 C5 8E C6
+14 C2 0A 62 79 74 65 73 20 66 72 65 65 00 3A C2
+7E C3 00 00 06 41 43 43 45 50 54 00 30 40 70 C4
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
+3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C3 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C4 2F 83 8F 4E 00 00
+58 43 B0 12 BA C3 92 B3 6C 06 FD 27 1E 42 4C 06
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C4 08 4E
+3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 C4
+04 45 43 48 4F 00 B2 40 C2 48 08 C5 82 43 DE 1D
+38 40 05 00 B0 12 BA C3 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C5 92 43 DE 1D 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C4 68 C5 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C3 02 43 52 00 30 40 80 C5
+0D 12 84 12 14 C2 02 0D 0A 00 4A C5 4E C6 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C4 01 40 2E 4E
-30 4D DC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C4 01 40 2E 4E
+30 4D CC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D 88 C5 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D 78 C5 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E C2 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 18 C6 02 23 53 00 0D 12 84 12
-1A C6 54 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 08 C6 02 23 53 00 0D 12 84 12
+0A C6 44 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C5 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C5 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C6
-4C C6 EE C2 8C C6 68 C6 5A C5 22 CA F8 C4 5E C6
-42 C5 01 2E 0E 93 E3 37 38 43 E2 3F 86 C6 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 58 C9
-0A C2 22 00 2A C7 F8 C6 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D D2 C6
-82 2E 22 00 0D 12 84 12 E2 C6 0A C2 5A C5 58 C9
-5E C6 F6 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C5
+3C C6 EE C2 7C C6 58 C6 4A C5 02 CA FA C4 4E C6
+2C C5 01 2E 0E 93 E3 37 38 43 E2 3F 76 C6 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 48 C9
+0A C2 22 00 1A C7 E8 C6 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D C2 C6
+82 2E 22 00 0D 12 84 12 D2 C6 0A C2 4A C5 48 C9
+4E C6 F8 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AC C8 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9C C8 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE C8 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E C8 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D E4 C5 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D 56 C9 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D 46 C9 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 C6 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC C2 22 CA 2A C7 DE C9 94 26
-3D 40 E6 C9 D6 3E E8 C9 0A 4E 3E 4F 3D 40 02 CA
-2E 27 3D 40 D8 C9 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 04 CA 3E 4F 3D 40 D8 C9 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 CB CC 3F
-0C CA 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8C C5 BC C2
-D2 C9 C2 C5 F4 C5 14 C2 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 FC C5
+54 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 C6 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC C2 02 CA 1A C7 BE C9 9C 26
+3D 40 C6 C9 DE 3E C8 C9 0A 4E 3E 4F 3D 40 E2 C9
+36 27 3D 40 B8 C9 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 E4 C9 3E 4F 3D 40 B8 C9 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 CB CC 3F
+EC C9 86 12 20 00 D4 C5 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7C C5 BC C2
+B2 C9 B2 C5 E4 C5 14 C2 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 EC C5
 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A C3
-3A C2 3C CA 6A C9 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 C6 0A C2 2A C3 58 C9 5E C6 8C C7 01 27
-0D 12 84 12 22 CA 2A C7 92 C7 34 C2 20 CA 5E C6
-00 00 83 5B 27 5D 0D 12 84 12 90 CA 0A C2 0A C2
-58 C9 58 C9 5E C6 A2 CA 81 5B 82 43 BE 1D 30 4D
-0A C6 01 5D B2 43 BE 1D 30 4D C2 CA 81 5C 92 42
+3A C2 2C CA 08 CA 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 C6 0A C2 2A C3 48 C9 4E C6 7C C7 01 27
+0D 12 84 12 02 CA 1A C7 82 C7 34 C2 00 CA 4E C6
+00 00 83 5B 27 5D 0D 12 84 12 80 CA 0A C2 0A C2
+48 C9 48 C9 4E C6 92 CA 81 5B 82 43 BE 1D 30 4D
+FA C5 01 5D B2 43 BE 1D 30 4D B2 CA 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 CA 2A C7 92 C7 A6 C5 34 C2
-20 CA F4 C5 34 C2 04 CB 0A C2 0A C2 58 C9 58 C9
-0A C2 58 C9 58 C9 5E C6 B8 CA 01 3A 30 12 54 CB
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 22 CA 2A C7
-22 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 CA 1A C7 82 C7 96 C5 34 C2
+00 CA E4 C5 34 C2 F4 CA 0A C2 0A C2 48 C9 48 C9
+0A C2 48 C9 48 C9 4E C6 A8 CA 01 3A 30 12 44 CB
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 02 CA 1A C7
+12 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 C3 0A CB 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A C2 5E C6 58 C9 66 CB BA CA 5E C6
-C8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D B2 C9 06 43 52 45 41 54
-45 00 B0 12 10 CB BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA CB 04 43 4F 44 45 00 B0 12 10 CB A2 82
-C6 1D 0D 12 84 12 0A CE E4 CD 5E C6 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 CB 24 CE 5E C6
-76 CA 03 41 53 4D B2 40 E8 CD DA 1D E6 3F FE CB
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 CC 42 CE
-5E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 24 CE 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F B2 CB 85 48 49 32 4C 4F
-0D 12 84 12 28 C2 B2 CD 58 C9 BA CA F2 CB 5E C6
-98 CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 CC
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2 00 00
-D6 C5 22 CA 2A C7 B8 C9 9E C5 34 C2 1A CD AC C5
-14 C2 06 5B 54 48 45 4E 5D 00 8C CC F4 CC B0 CC
-D2 CC 5E C6 AC C5 14 C2 06 5B 45 4C 53 45 5D 00
-8C CC 0A CD B0 CC D0 CC 5E C6 14 C2 04 5B 49 46
-5D 00 8C CC D2 CC 3A C2 D0 CC 80 C5 14 C2 05 0D
-0A 6B 6F 20 5A C5 BC C2 AC C2 3A C2 D2 CC C0 CC
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 CD 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 CA 2A C7 92 C7 3E CD 5E C6 44 CD 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E CD
-EE C5 5E C6 76 CD B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A C9 06 4D 41 52 4B 45 52 00 B0 12
-10 CB BA 40 85 12 FC FF BA 40 74 CD FE FF 28 83
-8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00 C6 1D
-DD 3E 2E 53 30 4D 0A C2 CA 1D E6 C5 5E C6 85 12
-B6 CD 12 CC E4 CB 0E C5 8E CA 6A CC D0 C4 86 CD
-10 C7 AE CE C2 CE 9A C6 24 C7 00 00 5E CD CC CA
-F2 C7 00 00 85 12 B6 CD 7A D4 E0 D4 22 D4 30 D5
-E8 D3 00 00 B4 D1 00 00 F8 D5 DC D5 4C D4 8A D4
-C4 D2 00 00 00 00 4C D5 E2 CD 3A 40 0C 00 39 40
-D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 1D
-30 4D 92 42 CA 1D DA 1D 30 4D BE CD 3C CE 42 CE
-52 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E C6 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 CA 09 50 57 52 5F 53 54 41
-54 45 85 12 4A CE 04 D6 DE C6 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 CE F3 3F 88 CE 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 96 CE 30 4D
-9A CE 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 CF FE FF 29 83 B9 40 E0 C3 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 C3 B2 49 FA C2 B2 49
-02 C2 B2 49 FE C3 B2 49 EE FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04 29 83
-89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02 B2 40
-EF 7F 02 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3
-26 03 F2 40 F0 00 22 03 F2 40 A5 00 61 01 B2 40
-33 00 66 01 B2 40 33 00 64 01 D2 43 61 01 39 40
-40 00 18 42 00 18 18 83 FE 23 19 83 FA 23 B2 D2
-B0 01 92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00
-A1 04 1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12
-F8 C2 FC C3 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E
-1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
-2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D
-84 12 2A C7 92 C7 EE C5 34 C2 F4 CF 4E C8 34 C2
-0E D0 08 D0 F6 CF 3C 4E 3C 80 87 12 05 24 1C 53
-02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 10 D0
-B2 41 C4 1D 3E 41 84 12 0A C2 2B 00 2A C7 92 C7
-EE C5 34 C2 2C D0 4E C8 34 C2 20 CA B8 C5 2A C7
-4E C8 34 C2 20 CA 38 D0 3E 5F E7 3F 3E 40 28 00
-B0 12 D8 CF 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40 7E CB
-1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2A C7 4E C8
-34 C2 80 D0 76 D0 21 53 3E 90 10 00 C6 2B 7F 2D
-82 D0 B2 41 C4 1D C1 3F 0D 12 84 12 22 CA B4 CF
-92 D0 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F
-7A 90 23 00 27 20 92 53 C4 1D B0 12 D8 CF 3C 40
-00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
-20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
-30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
-30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F
-3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
-C4 1D B0 12 D8 CF ED 3F 7A 90 40 00 16 20 3C 40
-20 00 92 53 C4 1D B0 12 60 D0 0C 20 3C 50 10 00
-3E 40 2B 00 B0 12 60 D0 92 92 C0 1D C4 1D 02 24
-92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 60 D0 FA 23
-3C 50 10 00 B0 12 3C D0 EF 3F 0C 43 1B 42 C6 1D
-A2 53 C6 1D 0D 12 84 12 22 CA B4 CF 5E D1 FE 90
-26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
-B0 12 60 D0 E0 23 3C 50 80 00 B0 12 3C D0 DB 3F
-00 00 04 52 45 54 49 00 0D 12 84 12 0A C2 00 13
-58 C9 5E C6 0A C2 2C 00 88 D0 54 D1 9E D1 09 4B
-2E 4E 0E DC A2 3F 58 CC 03 4D 4F 56 85 12 94 D1
-00 40 A8 D1 05 4D 4F 56 2E 42 85 12 94 D1 40 40
-00 00 03 41 44 44 85 12 94 D1 00 50 C2 D1 05 41
-44 44 2E 42 85 12 94 D1 40 50 CE D1 04 41 44 44
-43 00 85 12 94 D1 00 60 DC D1 06 41 44 44 43 2E
-42 00 85 12 94 D1 40 60 82 D1 04 53 55 42 43 00
-85 12 94 D1 00 70 FA D1 06 53 55 42 43 2E 42 00
-85 12 94 D1 40 70 08 D2 03 53 55 42 85 12 94 D1
-00 80 18 D2 05 53 55 42 2E 42 85 12 94 D1 40 80
-34 CC 03 43 4D 50 85 12 94 D1 00 90 32 D2 05 43
-4D 50 2E 42 85 12 94 D1 40 90 20 CC 04 44 41 44
-44 00 85 12 94 D1 00 A0 4C D2 06 44 41 44 44 2E
-42 00 85 12 94 D1 40 A0 3E D2 03 42 49 54 85 12
-94 D1 00 B0 6A D2 05 42 49 54 2E 42 85 12 94 D1
-40 B0 76 D2 03 42 49 43 85 12 94 D1 00 C0 84 D2
-05 42 49 43 2E 42 85 12 94 D1 40 C0 90 D2 03 42
-49 53 85 12 94 D1 00 D0 9E D2 05 42 49 53 2E 42
-85 12 94 D1 40 D0 00 00 03 58 4F 52 85 12 94 D1
-00 E0 B8 D2 05 58 4F 52 2E 42 85 12 94 D1 40 E0
-EA D1 03 41 4E 44 85 12 94 D1 00 F0 D2 D2 05 41
-4E 44 2E 42 85 12 94 D1 40 F0 22 CA 88 D0 F0 D2
-0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
-24 D2 03 52 52 43 85 12 EA D2 00 10 02 D3 05 52
-52 43 2E 42 85 12 EA D2 40 10 0E D3 04 53 57 50
-42 00 85 12 EA D2 80 10 1C D3 03 52 52 41 85 12
-EA D2 00 11 2A D3 05 52 52 41 2E 42 85 12 EA D2
-40 11 36 D3 03 53 58 54 85 12 EA D2 80 11 00 00
-04 50 55 53 48 00 85 12 EA D2 00 12 50 D3 06 50
-55 53 48 2E 42 00 85 12 EA D2 40 12 AA D2 04 43
-41 4C 4C 00 85 12 EA D2 80 12 1A 53 0E 4A 0D 12
-84 12 D4 C6 14 C2 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 36 C3 44 D3 03 53 3E 3D 86 12 00 38
-98 D3 02 53 3C 00 86 12 00 34 5E D3 03 30 3E 3D
-86 12 00 30 AC D3 02 30 3C 00 86 12 00 30 00 00
-02 55 3C 00 86 12 00 2C C0 D3 03 55 3E 3D 86 12
-00 28 B6 D3 03 30 3C 3E 86 12 00 24 D4 D3 02 30
-3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D
-8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D CA D3 04 54
-48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
-5A D2 04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C
-00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 6E D3
-05 42 45 47 49 4E 30 40 28 C2 FE D3 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 C6 1D 30 4D DE D2 05 41 47 41 49 4E
-0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
-0D 12 84 12 EC D3 B8 C5 5E C6 A2 D3 06 52 45 50
-45 41 54 00 0D 12 84 12 80 D4 04 D4 5E C6 B0 D4
-3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42
-C6 1D 00 00 30 4D 40 D4 03 42 57 31 85 12 AE D4
-00 00 C8 D4 03 42 57 32 85 12 AE D4 00 00 D4 D4
-03 42 57 33 85 12 AE D4 00 00 EC D4 3D 41 1A 42
-C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53
-C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
-85 12 EA D4 00 00 0C D5 03 46 57 32 85 12 EA D4
-00 00 18 D5 03 46 57 33 85 12 EA D4 00 00 24 D5
-04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
-0D 12 84 12 90 CA FC C9 5E C6 00 00 05 3F 47 4F
-54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
-EF 27 3E E0 00 08 EC 3F 22 CA B4 CF 6E D5 92 53
-C4 1D 3E 40 2C 00 84 12 2A C7 4E C8 34 C2 20 CA
-4A D1 84 D5 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
-0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
-EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
-9C D4 04 52 52 43 4D 00 85 12 68 D5 50 00 B2 D5
-04 52 52 41 4D 00 85 12 68 D5 50 01 C0 D5 04 52
-4C 41 4D 00 85 12 68 D5 50 02 CE D5 04 52 52 55
-4D 00 85 12 68 D5 50 03 DE D3 05 50 55 53 48 4D
-85 12 68 D5 00 15 EA D5 04 50 4F 50 4D 00 85 12
-68 D5 00 17
+74 63 68 21 36 C3 FA CA 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A C2 4E C6 48 C9 56 CB AA CA 4E C6
+A8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D 92 C9 06 43 52 45 41 54
+45 00 B0 12 00 CB BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA CB 04 43 4F 44 45 00 B0 12 00 CB A2 82
+C6 1D 0D 12 84 12 F2 CD CC CD 4E C6 A2 CB 07 48
+44 4E 43 4F 44 45 B2 40 D0 CD DA 1D EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 CB 0C CE
+2A CE 4E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 0C CE 2A CE 4E C6 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+EE CB 85 48 49 32 4C 4F 0D 12 84 12 28 C2 9A CD
+48 C9 AA CA E2 CB 4E C6 88 CB 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A CC 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A C2 00 00 C6 C5 02 CA 1A C7 98 C9
+8E C5 34 C2 02 CD 9C C5 14 C2 06 5B 54 48 45 4E
+5D 00 74 CC DC CC 98 CC BA CC 4E C6 9C C5 14 C2
+06 5B 45 4C 53 45 5D 00 74 CC F2 CC 98 CC B8 CC
+4E C6 14 C2 04 5B 49 46 5D 00 74 CC BA CC 3A C2
+B8 CC 70 C5 14 C2 05 0D 0A 6B 6F 20 4A C5 BC C2
+AC C2 3A C2 BA CC A8 CC 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 CD 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 CA 1A C7 82 C7
+26 CD 4E C6 2C CD 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 CD DE C5 4E C6 5E CD B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A C9 06 4D
+41 52 4B 45 52 00 B0 12 00 CB BA 40 85 12 FC FF
+BA 40 5C CD FE FF 28 83 8A 48 00 00 BA 40 AA C2
+04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D 0A C2
+CA 1D D6 C5 4E C6 85 12 9E CD 66 CA D4 CB 10 C5
+7E CA 52 CC D2 C4 6E CD 00 C7 96 CE AA CE 8A C6
+14 C7 00 00 46 CD BC CA E2 C7 00 00 85 12 9E CD
+62 D4 C8 D4 0A D4 18 D5 D0 D3 00 00 9C D1 00 00
+E0 D5 C4 D5 34 D4 72 D4 AC D2 00 00 00 00 34 D5
+CA CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D DA 1D
+30 4D A6 CD 24 CE 2A CE 3A CE 1A 42 20 18 82 4A
+C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 CA
+09 50 57 52 5F 53 54 41 54 45 85 12 32 CE EC D5
+CE C6 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E CE F3 3F 70 CE 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 7E CE 30 4D 82 CE 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 CF FE FF 29 83 B9 40
+E2 C3 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 C3 B2 49 FA C2 B2 49 02 C2 B2 49 00 C4 B2 49
+EE FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 04 29 83 89 43 00 1C FC 23 92 D3
+30 01 B2 43 06 02 B2 40 EF 7F 02 02 B2 43 26 02
+B2 D0 08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03
+F2 40 A5 00 61 01 B2 40 33 00 66 01 B2 40 33 00
+64 01 D2 43 61 01 39 40 40 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0
+10 00 2A 03 F2 C0 40 00 A1 04 1E 42 08 18 82 43
+08 18 1E D2 9E 01 B0 12 F8 C2 FE C3 38 40 C0 1D
+0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24
+7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D
+1C 15 0E 12 12 12 C4 1D 84 12 1A C7 82 C7 DE C5
+34 C2 DC CF 3E C8 34 C2 F6 CF F0 CF DE CF 3C 4E
+3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
+21 52 1B 17 30 41 F8 CF B2 41 C4 1D 3E 41 84 12
+0A C2 2B 00 1A C7 82 C7 DE C5 34 C2 14 D0 3E C8
+34 C2 00 CA A8 C5 1A C7 3E C8 34 C2 00 CA 20 D0
+3E 5F E7 3F 3E 40 28 00 B0 12 C0 CF 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D
+C4 1D 02 20 30 40 6E CB 1C 15 12 12 C4 1D 92 53
+C4 1D 84 12 1A C7 3E C8 34 C2 68 D0 5E D0 21 53
+3E 90 10 00 C6 2B 7F 2D 6A D0 B2 41 C4 1D C1 3F
+0D 12 84 12 02 CA 9C CF 7A D0 0C 43 1B 42 C6 1D
+A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
+C4 1D B0 12 C0 CF 3C 40 00 03 0E 93 1C 24 3C 40
+10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
+20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
+30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53
+C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
+07 20 3C 40 10 02 92 53 C4 1D B0 12 C0 CF ED 3F
+7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12
+48 D0 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 48 D0
+92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E
+DA 3F B0 12 48 D0 FA 23 3C 50 10 00 B0 12 24 D0
+EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12
+02 CA 9C CF 46 D1 FE 90 26 00 00 00 3E 40 20 00
+03 20 3C 50 82 00 C7 3F B0 12 48 D0 E0 23 3C 50
+80 00 B0 12 24 D0 DB 3F 00 00 04 52 45 54 49 00
+0D 12 84 12 0A C2 00 13 48 C9 4E C6 0A C2 2C 00
+70 D0 3C D1 86 D1 09 4B 2E 4E 0E DC A2 3F 40 CC
+03 4D 4F 56 85 12 7C D1 00 40 90 D1 05 4D 4F 56
+2E 42 85 12 7C D1 40 40 00 00 03 41 44 44 85 12
+7C D1 00 50 AA D1 05 41 44 44 2E 42 85 12 7C D1
+40 50 B6 D1 04 41 44 44 43 00 85 12 7C D1 00 60
+C4 D1 06 41 44 44 43 2E 42 00 85 12 7C D1 40 60
+6A D1 04 53 55 42 43 00 85 12 7C D1 00 70 E2 D1
+06 53 55 42 43 2E 42 00 85 12 7C D1 40 70 F0 D1
+03 53 55 42 85 12 7C D1 00 80 00 D2 05 53 55 42
+2E 42 85 12 7C D1 40 80 16 CC 03 43 4D 50 85 12
+7C D1 00 90 1A D2 05 43 4D 50 2E 42 85 12 7C D1
+40 90 00 CC 04 44 41 44 44 00 85 12 7C D1 00 A0
+34 D2 06 44 41 44 44 2E 42 00 85 12 7C D1 40 A0
+26 D2 03 42 49 54 85 12 7C D1 00 B0 52 D2 05 42
+49 54 2E 42 85 12 7C D1 40 B0 5E D2 03 42 49 43
+85 12 7C D1 00 C0 6C D2 05 42 49 43 2E 42 85 12
+7C D1 40 C0 78 D2 03 42 49 53 85 12 7C D1 00 D0
+86 D2 05 42 49 53 2E 42 85 12 7C D1 40 D0 00 00
+03 58 4F 52 85 12 7C D1 00 E0 A0 D2 05 58 4F 52
+2E 42 85 12 7C D1 40 E0 D2 D1 03 41 4E 44 85 12
+7C D1 00 F0 BA D2 05 41 4E 44 2E 42 85 12 7C D1
+40 F0 02 CA 70 D0 D8 D2 0A 4C 3C F0 70 00 8A 10
+3A F0 0F 00 0C DA 4F 3F 0C D2 03 52 52 43 85 12
+D2 D2 00 10 EA D2 05 52 52 43 2E 42 85 12 D2 D2
+40 10 F6 D2 04 53 57 50 42 00 85 12 D2 D2 80 10
+04 D3 03 52 52 41 85 12 D2 D2 00 11 12 D3 05 52
+52 41 2E 42 85 12 D2 D2 40 11 1E D3 03 53 58 54
+85 12 D2 D2 80 11 00 00 04 50 55 53 48 00 85 12
+D2 D2 00 12 38 D3 06 50 55 53 48 2E 42 00 85 12
+D2 D2 40 12 92 D2 04 43 41 4C 4C 00 85 12 D2 D2
+80 12 1A 53 0E 4A 0D 12 84 12 C4 C6 14 C2 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C3 2C D3
+03 53 3E 3D 86 12 00 38 80 D3 02 53 3C 00 86 12
+00 34 46 D3 03 30 3E 3D 86 12 00 30 94 D3 02 30
+3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
+A8 D3 03 55 3E 3D 86 12 00 28 9E D3 03 30 3C 3E
+86 12 00 24 BC D3 02 30 3D 00 86 12 00 20 00 00
+02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D
+0E 4A 30 4D B2 D3 04 54 48 45 4E 00 1A 42 C6 1D
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+B1 2F 88 DA 00 00 30 4D 42 D2 04 45 4C 53 45 00
+1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83
+8F 4A 00 00 E3 3F 56 D3 05 42 45 47 49 4E 30 40
+28 C2 E6 D3 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D
+C6 D2 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
+00 00 05 57 48 49 4C 45 0D 12 84 12 D4 D3 A8 C5
+4E C6 8A D3 06 52 45 50 45 41 54 00 0D 12 84 12
+68 D4 EC D3 4E C6 98 D4 3D 41 08 4E 3E 4F 2A 48
+B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 28 D4
+03 42 57 31 85 12 96 D4 00 00 B0 D4 03 42 57 32
+85 12 96 D4 00 00 BC D4 03 42 57 33 85 12 96 D4
+00 00 D4 D4 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D
+88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F
+30 4D 00 00 03 46 57 31 85 12 D2 D4 00 00 F4 D4
+03 46 57 32 85 12 D2 D4 00 00 00 D5 03 46 57 33
+85 12 D2 D4 00 00 0C D5 04 47 4F 54 4F 00 2F 83
+8F 4E 00 00 3E 40 00 3C 0D 12 84 12 80 CA DC C9
+4E C6 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
+3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
+02 CA 9C CF 56 D5 92 53 C4 1D 3E 40 2C 00 84 12
+1A C7 3E C8 34 C2 00 CA 32 D1 6C D5 0A 4E 3E 4F
+1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
+0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
+E8 2E 8A 10 5A 06 A6 3E 84 D4 04 52 52 43 4D 00
+85 12 50 D5 50 00 9A D5 04 52 52 41 4D 00 85 12
+50 D5 50 01 A8 D5 04 52 4C 41 4D 00 85 12 50 D5
+50 02 B6 D5 04 52 52 55 4D 00 85 12 50 D5 50 03
+C6 D3 05 50 55 53 48 4D 85 12 50 D5 00 15 D2 D5
+04 50 4F 50 4D 00 85 12 50 D5 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 80 C4
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 20 CF
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 82 C4
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 08 CF
 q
index 52d4efb..24d8651 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF 0A D6 0A CE 33 01
-10 00 81 B6 94 C3 AA C2 C6 C3 9C C3 94 C4 0A D6
-0A CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 28 CA 42 CA 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF 02 D6 02 CE 34 01
+10 00 41 B3 94 C3 AA C2 DA C3 9C C3 94 C4 02 D6
+02 CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 C6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
 B2 49 78 C4 B2 49 90 C5 B2 49 22 C5 B2 49 FC C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C3 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 CE CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C3 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 C2 0A C2 DE 1D E0 C5 32 C5
 14 C2 04 1B 5B 37 6D 00 5C C5 A8 C5 34 C2 86 C3
@@ -52,7 +52,7 @@ C2 48 CE 05 30 4D C8 C4 2D 83 92 B3 DC 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 DC 05
 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53 DE 1D
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C4 2F 83
-8F 4E 00 00 B0 12 C6 C3 92 B3 DC 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C3 92 B3 DC 05 FD 27 1E 42
 CC 05 B0 12 C8 C3 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C5 08 4E 3E 4F C8 3F 1A C5 04 45 43 48
 4F 00 B2 40 C2 48 C0 C4 82 43 DE 1D 30 4D 00 00
@@ -80,7 +80,7 @@ B2 1D C8 4A 00 00 30 4D 1A C6 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C5 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 24 CA 20 C5
+10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 14 CA 20 C5
 60 C6 40 C5 01 2E 0E 93 E3 37 38 43 E2 3F 88 C6
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2
 5A C9 0A C2 22 00 2C C7 FA C6 B2 40 20 00 B4 1D
@@ -122,34 +122,34 @@ B0 12 66 C2 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 C5 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A C6 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C2 24 CA 2C C7 E0 C9
-94 26 3D 40 E8 C9 D6 3E EA C9 0A 4E 3E 4F 3D 40
-04 CA 2E 27 3D 40 DA C9 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 CA 3E 4F 3D 40 DA C9 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC C2 14 CA 2C C7 D0 C9
+9C 26 3D 40 D8 C9 DE 3E DA C9 0A 4E 3E 4F 3D 40
+F4 C9 36 27 3D 40 CA C9 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 F6 C9 3E 4F 3D 40 CA C9 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CB
-CC 3F 0E CA 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F FE C9 86 12 20 00 E6 C5 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E C5
-BC C2 D4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
+BC C2 C4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2
 FE C5 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C3 3A C2 3E CA 6C C9 86 41 42 4F 52 54 22 00
+2A C3 3A C2 3E CA 1A CA 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 C6 0A C2 2A C3 5A C9 60 C6 8E C7
-01 27 0D 12 84 12 24 CA 2C C7 94 C7 34 C2 22 CA
+01 27 0D 12 84 12 14 CA 2C C7 94 C7 34 C2 12 CA
 60 C6 00 00 83 5B 27 5D 0D 12 84 12 92 CA 0A C2
 0A C2 5A C9 5A C9 60 C6 A4 CA 81 5B 82 43 BE 1D
 30 4D 0C C6 01 5D B2 43 BE 1D 30 4D C4 CA 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CA 2C C7 94 C7 A8 C5
-34 C2 22 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
+4F 4E 45 00 0D 12 84 12 14 CA 2C C7 94 C7 A8 C5
+34 C2 12 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
 5A C9 0A C2 5A C9 5A C9 60 C6 BA CA 01 3A 30 12
-56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 CA
+56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 14 CA
 2C C7 24 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -159,172 +159,172 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 C3 0C CB 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A C2 60 C6 5A C9 68 CB BC CA
-60 C6 CA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 C9 06 43 52 45
+60 C6 BA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D A4 C9 06 43 52 45
 41 54 45 00 B0 12 12 CB BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC CB 04 43 4F 44 45 00 B0 12 12 CB
-A2 82 C6 1D 0D 12 84 12 0C CE E6 CD 60 C6 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB 26 CE
-60 C6 78 CA 03 41 53 4D B2 40 EA CD DA 1D E6 3F
-00 CC 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CC
-44 CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 CE 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 CB 85 48 49 32
-4C 4F 0D 12 84 12 28 C2 B4 CD 5A C9 BC CA F4 CB
-60 C6 9A CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CC 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2
-00 00 D8 C5 24 CA 2C C7 BA C9 A0 C5 34 C2 1C CD
-AE C5 14 C2 06 5B 54 48 45 4E 5D 00 8E CC F6 CC
-B2 CC D4 CC 60 C6 AE C5 14 C2 06 5B 45 4C 53 45
-5D 00 8E CC 0C CD B2 CC D2 CC 60 C6 14 C2 04 5B
-49 46 5D 00 8E CC D4 CC 3A C2 D2 CC 82 C5 14 C2
-05 0D 0A 6B 6F 20 5C C5 BC C2 AC C2 3A C2 D4 CC
-C2 CC 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CD 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CA 2C C7 94 C7 40 CD 60 C6 46 CD
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CD F0 C5 60 C6 78 CD B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C C9 06 4D 41 52 4B 45 52 00
-B0 12 12 CB BA 40 85 12 FC FF BA 40 76 CD FE FF
-28 83 8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A C2 CA 1D E8 C5 60 C6
-85 12 B8 CD 14 CC E6 CB 2C C5 90 CA 6C CC F6 C4
-88 CD 12 C7 B0 CE C4 CE 9C C6 26 C7 00 00 60 CD
-CE CA F4 C7 00 00 85 12 B8 CD 80 D4 E6 D4 28 D4
-36 D5 EE D3 00 00 BA D1 00 00 FE D5 E2 D5 52 D4
-90 D4 CA D2 00 00 00 00 52 D5 E4 CD 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 CD 3E CE
-44 CE 54 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CA 09 50 57 52 5F 53
-54 41 54 45 85 12 4C CE 0A D6 E0 C6 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 CE F3 3F 8A CE
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 CE
-30 4D 9C CE 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 CF FE FF 29 83 B9 40 02 C4 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 C4 B2 49 FA C2
-B2 49 02 C2 B2 49 20 C4 B2 49 F0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04
-29 83 89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02
-B2 40 EF 7F 02 02 E2 D2 05 02 B2 43 26 02 B2 D0
-08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03 F2 40
-A5 00 61 01 B2 40 33 00 66 01 B2 40 33 00 64 01
-D2 43 61 01 39 40 40 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00
-2A 03 F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18
-1E D2 9E 01 B0 12 F8 C2 1E C4 38 40 C0 1D 0A 4E
-39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E
-FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15
-0E 12 12 12 C4 1D 84 12 2C C7 94 C7 F0 C5 34 C2
-FA CF 50 C8 34 C2 14 D0 0E D0 FC CF 3C 4E 3C 80
-87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
-1B 17 30 41 16 D0 B2 41 C4 1D 3E 41 84 12 0A C2
-2B 00 2C C7 94 C7 F0 C5 34 C2 32 D0 50 C8 34 C2
-22 CA BA C5 2C C7 50 C8 34 C2 22 CA 3E D0 3E 5F
-E7 3F 3E 40 28 00 B0 12 DE CF 19 42 C6 1D A2 53
-C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D
-02 20 30 40 80 CB 1C 15 12 12 C4 1D 92 53 C4 1D
-84 12 2C C7 50 C8 34 C2 86 D0 7C D0 21 53 3E 90
-10 00 C6 2B 7F 2D 88 D0 B2 41 C4 1D C1 3F 0D 12
-84 12 24 CA BA CF 98 D0 0C 43 1B 42 C6 1D A2 53
-C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D
-B0 12 DE CF 3C 40 00 03 0E 93 1C 24 3C 40 10 03
-1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
-2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
-3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D
-89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
-3C 40 10 02 92 53 C4 1D B0 12 DE CF ED 3F 7A 90
-40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12 66 D0
-0C 20 3C 50 10 00 3E 40 2B 00 B0 12 66 D0 92 92
-C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F
-B0 12 66 D0 FA 23 3C 50 10 00 B0 12 42 D0 EF 3F
-0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 24 CA
-BA CF 64 D1 FE 90 26 00 00 00 3E 40 20 00 03 20
-3C 50 82 00 C7 3F B0 12 66 D0 E0 23 3C 50 80 00
-B0 12 42 D0 DB 3F 00 00 04 52 45 54 49 00 0D 12
-84 12 0A C2 00 13 5A C9 60 C6 0A C2 2C 00 8E D0
-5A D1 A4 D1 09 4B 2E 4E 0E DC A2 3F 5A CC 03 4D
-4F 56 85 12 9A D1 00 40 AE D1 05 4D 4F 56 2E 42
-85 12 9A D1 40 40 00 00 03 41 44 44 85 12 9A D1
-00 50 C8 D1 05 41 44 44 2E 42 85 12 9A D1 40 50
-D4 D1 04 41 44 44 43 00 85 12 9A D1 00 60 E2 D1
-06 41 44 44 43 2E 42 00 85 12 9A D1 40 60 88 D1
-04 53 55 42 43 00 85 12 9A D1 00 70 00 D2 06 53
-55 42 43 2E 42 00 85 12 9A D1 40 70 0E D2 03 53
-55 42 85 12 9A D1 00 80 1E D2 05 53 55 42 2E 42
-85 12 9A D1 40 80 36 CC 03 43 4D 50 85 12 9A D1
-00 90 38 D2 05 43 4D 50 2E 42 85 12 9A D1 40 90
-22 CC 04 44 41 44 44 00 85 12 9A D1 00 A0 52 D2
-06 44 41 44 44 2E 42 00 85 12 9A D1 40 A0 44 D2
-03 42 49 54 85 12 9A D1 00 B0 70 D2 05 42 49 54
-2E 42 85 12 9A D1 40 B0 7C D2 03 42 49 43 85 12
-9A D1 00 C0 8A D2 05 42 49 43 2E 42 85 12 9A D1
-40 C0 96 D2 03 42 49 53 85 12 9A D1 00 D0 A4 D2
-05 42 49 53 2E 42 85 12 9A D1 40 D0 00 00 03 58
-4F 52 85 12 9A D1 00 E0 BE D2 05 58 4F 52 2E 42
-85 12 9A D1 40 E0 F0 D1 03 41 4E 44 85 12 9A D1
-00 F0 D8 D2 05 41 4E 44 2E 42 85 12 9A D1 40 F0
-24 CA 8E D0 F6 D2 0A 4C 3C F0 70 00 8A 10 3A F0
-0F 00 0C DA 4F 3F 2A D2 03 52 52 43 85 12 F0 D2
-00 10 08 D3 05 52 52 43 2E 42 85 12 F0 D2 40 10
-14 D3 04 53 57 50 42 00 85 12 F0 D2 80 10 22 D3
-03 52 52 41 85 12 F0 D2 00 11 30 D3 05 52 52 41
-2E 42 85 12 F0 D2 40 11 3C D3 03 53 58 54 85 12
-F0 D2 80 11 00 00 04 50 55 53 48 00 85 12 F0 D2
-00 12 56 D3 06 50 55 53 48 2E 42 00 85 12 F0 D2
-40 12 B0 D2 04 43 41 4C 4C 00 85 12 F0 D2 80 12
-1A 53 0E 4A 0D 12 84 12 D6 C6 14 C2 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 36 C3 4A D3 03 53
-3E 3D 86 12 00 38 9E D3 02 53 3C 00 86 12 00 34
-64 D3 03 30 3E 3D 86 12 00 30 B2 D3 02 30 3C 00
-86 12 00 30 00 00 02 55 3C 00 86 12 00 2C C6 D3
-03 55 3E 3D 86 12 00 28 BC D3 03 30 3C 3E 86 12
-00 24 DA D3 02 30 3D 00 86 12 00 20 00 00 02 49
-46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A
-30 4D D0 D3 04 54 48 45 4E 00 1A 42 C6 1D 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
-88 DA 00 00 30 4D 60 D2 04 45 4C 53 45 00 1A 42
-C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A
-00 00 E3 3F 74 D3 05 42 45 47 49 4E 30 40 28 C2
-04 D4 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D E4 D2
-05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
-05 57 48 49 4C 45 0D 12 84 12 F2 D3 BA C5 60 C6
-A8 D3 06 52 45 50 45 41 54 00 0D 12 84 12 86 D4
-0A D4 60 C6 B6 D4 3D 41 08 4E 3E 4F 2A 48 B2 92
-C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 46 D4 03 42
-57 31 85 12 B4 D4 00 00 CE D4 03 42 57 32 85 12
-B4 D4 00 00 DA D4 03 42 57 33 85 12 B4 D4 00 00
-F2 D4 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B
-BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D
-00 00 03 46 57 31 85 12 F0 D4 00 00 12 D5 03 46
-57 32 85 12 F0 D4 00 00 1E D5 03 46 57 33 85 12
-F0 D4 00 00 2A D5 04 47 4F 54 4F 00 2F 83 8F 4E
-00 00 3E 40 00 3C 0D 12 84 12 92 CA FE C9 60 C6
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
-00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 24 CA
-BA CF 74 D5 92 53 C4 1D 3E 40 2C 00 84 12 2C C7
-50 C8 34 C2 22 CA 50 D1 8A D5 0A 4E 3E 4F 1A 83
-F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
-08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
-8A 10 5A 06 A6 3E A2 D4 04 52 52 43 4D 00 85 12
-6E D5 50 00 B8 D5 04 52 52 41 4D 00 85 12 6E D5
-50 01 C6 D5 04 52 4C 41 4D 00 85 12 6E D5 50 02
-D4 D5 04 52 52 55 4D 00 85 12 6E D5 50 03 E4 D3
-05 50 55 53 48 4D 85 12 6E D5 00 15 F0 D5 04 50
-4F 50 4D 00 85 12 6E D5 00 17
+A2 82 C6 1D 0D 12 84 12 04 CE DE CD 60 C6 B4 CB
+07 48 44 4E 43 4F 44 45 B2 40 E2 CD DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB
+1E CE 3C CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 1E CE 3C CE 60 C6
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F 00 CC 85 48 49 32 4C 4F 0D 12 84 12 28 C2
+AC CD 5A C9 BC CA F4 CB 60 C6 9A CB 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C CC 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C2 00 00 D8 C5 14 CA 2C C7
+AA C9 A0 C5 34 C2 14 CD AE C5 14 C2 06 5B 54 48
+45 4E 5D 00 86 CC EE CC AA CC CC CC 60 C6 AE C5
+14 C2 06 5B 45 4C 53 45 5D 00 86 CC 04 CD AA CC
+CA CC 60 C6 14 C2 04 5B 49 46 5D 00 86 CC CC CC
+3A C2 CA CC 82 C5 14 C2 05 0D 0A 6B 6F 20 5C C5
+BC C2 AC C2 3A C2 CC CC BA CC 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A CD 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 CA 2C C7
+94 C7 38 CD 60 C6 3E CD 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 CD F0 C5 60 C6 70 CD
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C C9
+06 4D 41 52 4B 45 52 00 B0 12 12 CB BA 40 85 12
+FC FF BA 40 6E CD FE FF 28 83 8A 48 00 00 BA 40
+AA C2 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A C2 CA 1D E8 C5 60 C6 85 12 B0 CD 78 CA E6 CB
+2C C5 90 CA 64 CC F6 C4 80 CD 12 C7 A8 CE BC CE
+9C C6 26 C7 00 00 58 CD CE CA F4 C7 00 00 85 12
+B0 CD 78 D4 DE D4 20 D4 2E D5 E6 D3 00 00 B2 D1
+00 00 F6 D5 DA D5 4A D4 88 D4 C2 D2 00 00 00 00
+4A D5 DC CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D B8 CD 36 CE 3C CE 4C CE 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA CA 09 50 57 52 5F 53 54 41 54 45 85 12 44 CE
+02 D6 E0 C6 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 CE F3 3F 82 CE 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 90 CE 30 4D 94 CE 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A CF FE FF 29 83
+B9 40 02 C4 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 C4 B2 49 FA C2 B2 49 02 C2 B2 49 20 C4
+B2 49 F0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 04 29 83 89 43 00 1C FC 23
+92 D3 30 01 B2 43 06 02 B2 40 EF 7F 02 02 E2 D2
+05 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3 26 03
+F2 40 F0 00 22 03 F2 40 A5 00 61 01 B2 40 33 00
+66 01 B2 40 33 00 64 01 D2 43 61 01 39 40 40 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
+92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04
+1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 C2
+1E C4 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52
+C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
+82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12
+2C C7 94 C7 F0 C5 34 C2 F2 CF 50 C8 34 C2 0C D0
+06 D0 F4 CF 3C 4E 3C 80 87 12 05 24 1C 53 02 20
+2E 4E 01 3C 2E 83 21 52 1B 17 30 41 0E D0 B2 41
+C4 1D 3E 41 84 12 0A C2 2B 00 2C C7 94 C7 F0 C5
+34 C2 2A D0 50 C8 34 C2 12 CA BA C5 2C C7 50 C8
+34 C2 12 CA 36 D0 3E 5F E7 3F 3E 40 28 00 B0 12
+D6 CF 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40
+29 00 92 92 C0 1D C4 1D 02 20 30 40 80 CB 1C 15
+12 12 C4 1D 92 53 C4 1D 84 12 2C C7 50 C8 34 C2
+7E D0 74 D0 21 53 3E 90 10 00 C6 2B 7F 2D 80 D0
+B2 41 C4 1D C1 3F 0D 12 84 12 14 CA B2 CF 90 D0
+0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90
+23 00 27 20 92 53 C4 1D B0 12 D6 CF 3C 40 00 03
+0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
+2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
+3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
+19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41
+30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D
+B0 12 D6 CF ED 3F 7A 90 40 00 16 20 3C 40 20 00
+92 53 C4 1D B0 12 5E D0 0C 20 3C 50 10 00 3E 40
+2B 00 B0 12 5E D0 92 92 C0 1D C4 1D 02 24 92 53
+C4 1D 8E 10 0C 5E DA 3F B0 12 5E D0 FA 23 3C 50
+10 00 B0 12 3A D0 EF 3F 0C 43 1B 42 C6 1D A2 53
+C6 1D 0D 12 84 12 14 CA B2 CF 5C D1 FE 90 26 00
+00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
+5E D0 E0 23 3C 50 80 00 B0 12 3A D0 DB 3F 00 00
+04 52 45 54 49 00 0D 12 84 12 0A C2 00 13 5A C9
+60 C6 0A C2 2C 00 86 D0 52 D1 9C D1 09 4B 2E 4E
+0E DC A2 3F 52 CC 03 4D 4F 56 85 12 92 D1 00 40
+A6 D1 05 4D 4F 56 2E 42 85 12 92 D1 40 40 00 00
+03 41 44 44 85 12 92 D1 00 50 C0 D1 05 41 44 44
+2E 42 85 12 92 D1 40 50 CC D1 04 41 44 44 43 00
+85 12 92 D1 00 60 DA D1 06 41 44 44 43 2E 42 00
+85 12 92 D1 40 60 80 D1 04 53 55 42 43 00 85 12
+92 D1 00 70 F8 D1 06 53 55 42 43 2E 42 00 85 12
+92 D1 40 70 06 D2 03 53 55 42 85 12 92 D1 00 80
+16 D2 05 53 55 42 2E 42 85 12 92 D1 40 80 28 CC
+03 43 4D 50 85 12 92 D1 00 90 30 D2 05 43 4D 50
+2E 42 85 12 92 D1 40 90 12 CC 04 44 41 44 44 00
+85 12 92 D1 00 A0 4A D2 06 44 41 44 44 2E 42 00
+85 12 92 D1 40 A0 3C D2 03 42 49 54 85 12 92 D1
+00 B0 68 D2 05 42 49 54 2E 42 85 12 92 D1 40 B0
+74 D2 03 42 49 43 85 12 92 D1 00 C0 82 D2 05 42
+49 43 2E 42 85 12 92 D1 40 C0 8E D2 03 42 49 53
+85 12 92 D1 00 D0 9C D2 05 42 49 53 2E 42 85 12
+92 D1 40 D0 00 00 03 58 4F 52 85 12 92 D1 00 E0
+B6 D2 05 58 4F 52 2E 42 85 12 92 D1 40 E0 E8 D1
+03 41 4E 44 85 12 92 D1 00 F0 D0 D2 05 41 4E 44
+2E 42 85 12 92 D1 40 F0 14 CA 86 D0 EE D2 0A 4C
+3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 22 D2
+03 52 52 43 85 12 E8 D2 00 10 00 D3 05 52 52 43
+2E 42 85 12 E8 D2 40 10 0C D3 04 53 57 50 42 00
+85 12 E8 D2 80 10 1A D3 03 52 52 41 85 12 E8 D2
+00 11 28 D3 05 52 52 41 2E 42 85 12 E8 D2 40 11
+34 D3 03 53 58 54 85 12 E8 D2 80 11 00 00 04 50
+55 53 48 00 85 12 E8 D2 00 12 4E D3 06 50 55 53
+48 2E 42 00 85 12 E8 D2 40 12 A8 D2 04 43 41 4C
+4C 00 85 12 E8 D2 80 12 1A 53 0E 4A 0D 12 84 12
+D6 C6 14 C2 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 36 C3 42 D3 03 53 3E 3D 86 12 00 38 96 D3
+02 53 3C 00 86 12 00 34 5C D3 03 30 3E 3D 86 12
+00 30 AA D3 02 30 3C 00 86 12 00 30 00 00 02 55
+3C 00 86 12 00 2C BE D3 03 55 3E 3D 86 12 00 28
+B4 D3 03 30 3C 3E 86 12 00 24 D2 D3 02 30 3D 00
+86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E
+00 00 A2 53 C6 1D 0E 4A 30 4D C8 D3 04 54 48 45
+4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 58 D2
+04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00
+A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 6C D3 05 42
+45 47 49 4E 30 40 28 C2 FC D3 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11
+3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C6 1D 30 4D DC D2 05 41 47 41 49 4E 0A 4E
+38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
+84 12 EA D3 BA C5 60 C6 A0 D3 06 52 45 50 45 41
+54 00 0D 12 84 12 7E D4 02 D4 60 C6 AE D4 3D 41
+08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D
+00 00 30 4D 3E D4 03 42 57 31 85 12 AC D4 00 00
+C6 D4 03 42 57 32 85 12 AC D4 00 00 D2 D4 03 42
+57 33 85 12 AC D4 00 00 EA D4 3D 41 1A 42 C6 1D
+28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D
+8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
+E8 D4 00 00 0A D5 03 46 57 32 85 12 E8 D4 00 00
+16 D5 03 46 57 33 85 12 E8 D4 00 00 22 D5 04 47
+4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
+84 12 92 CA EE C9 60 C6 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
+3E E0 00 08 EC 3F 14 CA B2 CF 6C D5 92 53 C4 1D
+3E 40 2C 00 84 12 2C C7 50 C8 34 C2 12 CA 48 D1
+82 D5 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
+08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
+5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 9A D4
+04 52 52 43 4D 00 85 12 66 D5 50 00 B0 D5 04 52
+52 41 4D 00 85 12 66 D5 50 01 BE D5 04 52 4C 41
+4D 00 85 12 66 D5 50 02 CC D5 04 52 52 55 4D 00
+85 12 66 D5 50 03 DC D3 05 50 55 53 48 4D 85 12
+66 D5 00 15 E8 D5 04 50 4F 50 4D 00 85 12 66 D5
+00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
-94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 22 CF
+94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 1A CF
 q
index 18963df..7c67a8a 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-C0 5D 12 00 00 00 F8 00 F9 FF 08 D6 08 CE 33 01
-10 00 81 86 B4 C3 AA C2 B6 C3 8A C3 80 C4 08 D6
-08 CE 6E C4 90 C5 FC C4 D8 C4 3C 1D 5E C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 26 CA 40 CA 00 00 00 00
+C0 5D 12 00 00 00 F8 00 F9 FF F0 D5 F0 CD 34 01
+10 00 41 87 B6 C3 AA C2 B8 C3 8C C3 82 C4 F0 D5
+F0 CD 70 C4 80 C5 FE C4 DA C4 3C 1D 4E C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 B6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
-B2 49 6C C4 B2 49 8E C5 B2 49 FA C4 B2 49 D6 C4
+B2 49 6E C4 B2 49 7E C5 B2 49 FC C4 B2 49 D8 C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D4 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C3 B0 12 F8 C2
-0A C2 DE 1D DE C5 14 C5 48 C5 34 C2 26 CA 14 C2
-05 1B 5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6
-A6 C5 34 C2 7C C3 14 C2 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C5 9E C6 5A C5 14 C2
-04 1B 5B 30 6D 00 5A C5 26 CA 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C3 D2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C3 04 57 41 52 4D 00 B0 12 8A C3
-78 40 03 00 B0 12 B8 C3 84 12 14 C2 07 0D 0A 1B
-5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6 0A C2
-23 00 F8 C4 D4 C6 14 C2 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C5 0A C2 40 FF 28 C2 D2 C5 9E C6 14 C2
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C2 7C C3
-00 00 06 41 43 43 45 50 54 00 30 40 6E C4 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B9 22 3A 17
-92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C3
-82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C4 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C3 92 B3 6C 06 FD 27 1E 42 4C 06 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C4 08 4E 3E 4F
-A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F2 C4 04 45
-43 48 4F 00 B2 40 C2 48 06 C5 82 43 DE 1D 38 40
-05 00 B0 12 B8 C3 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C5 92 43 DE 1D 28 42 F1 3F
-2A C5 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C4 78 C5 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C3 02 43 52 00 30 40 90 C5
-0D 12 84 12 14 C2 02 0D 0A 00 5A C5 5E C6 2F 83
+B2 49 DC 1D 3D 41 30 40 BC CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C3 0E 12 B0 12
+F8 C2 0A C2 DE 1D CE C5 16 C5 EE C2 34 C2 8A C3
+14 C2 05 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5
+C4 C6 96 C5 34 C2 7E C3 14 C2 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C5 8E C6 4A C5
+14 C2 04 1B 5B 30 6D 00 4A C5 16 CA 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C3 D2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C3 04 57 41 52 4D 00 B0 12
+8C C3 78 40 03 00 B0 12 BA C3 84 12 14 C2 07 0D
+0A 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5 C4 C6
+0A C2 23 00 FA C4 C4 C6 14 C2 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C5 0A C2 40 FF 28 C2 C2 C5 8E C6
+14 C2 0A 62 79 74 65 73 20 66 72 65 65 00 3A C2
+7E C3 00 00 06 41 43 43 45 50 54 00 30 40 70 C4
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
+3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C3 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C4 2F 83 8F 4E 00 00
+58 43 B0 12 BA C3 92 B3 6C 06 FD 27 1E 42 4C 06
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C4 08 4E
+3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 C4
+04 45 43 48 4F 00 B2 40 C2 48 08 C5 82 43 DE 1D
+38 40 05 00 B0 12 BA C3 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C5 92 43 DE 1D 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C4 68 C5 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C3 02 43 52 00 30 40 80 C5
+0D 12 84 12 14 C2 02 0D 0A 00 4A C5 4E C6 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C4 01 40 2E 4E
-30 4D DC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C4 01 40 2E 4E
+30 4D CC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D 88 C5 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D 78 C5 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E C2 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 18 C6 02 23 53 00 0D 12 84 12
-1A C6 54 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 08 C6 02 23 53 00 0D 12 84 12
+0A C6 44 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C5 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C5 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C6
-4C C6 EE C2 8C C6 68 C6 5A C5 22 CA F8 C4 5E C6
-42 C5 01 2E 0E 93 E3 37 38 43 E2 3F 86 C6 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 58 C9
-0A C2 22 00 2A C7 F8 C6 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D D2 C6
-82 2E 22 00 0D 12 84 12 E2 C6 0A C2 5A C5 58 C9
-5E C6 F6 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C5
+3C C6 EE C2 7C C6 58 C6 4A C5 02 CA FA C4 4E C6
+2C C5 01 2E 0E 93 E3 37 38 43 E2 3F 76 C6 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 48 C9
+0A C2 22 00 1A C7 E8 C6 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D C2 C6
+82 2E 22 00 0D 12 84 12 D2 C6 0A C2 4A C5 48 C9
+4E C6 F8 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AC C8 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9C C8 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE C8 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E C8 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D E4 C5 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D 56 C9 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D 46 C9 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 C6 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC C2 22 CA 2A C7 DE C9 94 26
-3D 40 E6 C9 D6 3E E8 C9 0A 4E 3E 4F 3D 40 02 CA
-2E 27 3D 40 D8 C9 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 04 CA 3E 4F 3D 40 D8 C9 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 CB CC 3F
-0C CA 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8C C5 BC C2
-D2 C9 C2 C5 F4 C5 14 C2 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 FC C5
+54 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 C6 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC C2 02 CA 1A C7 BE C9 9C 26
+3D 40 C6 C9 DE 3E C8 C9 0A 4E 3E 4F 3D 40 E2 C9
+36 27 3D 40 B8 C9 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 E4 C9 3E 4F 3D 40 B8 C9 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 CB CC 3F
+EC C9 86 12 20 00 D4 C5 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7C C5 BC C2
+B2 C9 B2 C5 E4 C5 14 C2 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 EC C5
 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A C3
-3A C2 3C CA 6A C9 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 C6 0A C2 2A C3 58 C9 5E C6 8C C7 01 27
-0D 12 84 12 22 CA 2A C7 92 C7 34 C2 20 CA 5E C6
-00 00 83 5B 27 5D 0D 12 84 12 90 CA 0A C2 0A C2
-58 C9 58 C9 5E C6 A2 CA 81 5B 82 43 BE 1D 30 4D
-0A C6 01 5D B2 43 BE 1D 30 4D C2 CA 81 5C 92 42
+3A C2 2C CA 08 CA 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 C6 0A C2 2A C3 48 C9 4E C6 7C C7 01 27
+0D 12 84 12 02 CA 1A C7 82 C7 34 C2 00 CA 4E C6
+00 00 83 5B 27 5D 0D 12 84 12 80 CA 0A C2 0A C2
+48 C9 48 C9 4E C6 92 CA 81 5B 82 43 BE 1D 30 4D
+FA C5 01 5D B2 43 BE 1D 30 4D B2 CA 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 CA 2A C7 92 C7 A6 C5 34 C2
-20 CA F4 C5 34 C2 04 CB 0A C2 0A C2 58 C9 58 C9
-0A C2 58 C9 58 C9 5E C6 B8 CA 01 3A 30 12 54 CB
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 22 CA 2A C7
-22 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 CA 1A C7 82 C7 96 C5 34 C2
+00 CA E4 C5 34 C2 F4 CA 0A C2 0A C2 48 C9 48 C9
+0A C2 48 C9 48 C9 4E C6 A8 CA 01 3A 30 12 44 CB
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 02 CA 1A C7
+12 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 C3 0A CB 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A C2 5E C6 58 C9 66 CB BA CA 5E C6
-C8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D B2 C9 06 43 52 45 41 54
-45 00 B0 12 10 CB BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA CB 04 43 4F 44 45 00 B0 12 10 CB A2 82
-C6 1D 0D 12 84 12 0A CE E4 CD 5E C6 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 CB 24 CE 5E C6
-76 CA 03 41 53 4D B2 40 E8 CD DA 1D E6 3F FE CB
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 CC 42 CE
-5E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 24 CE 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F B2 CB 85 48 49 32 4C 4F
-0D 12 84 12 28 C2 B2 CD 58 C9 BA CA F2 CB 5E C6
-98 CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 CC
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2 00 00
-D6 C5 22 CA 2A C7 B8 C9 9E C5 34 C2 1A CD AC C5
-14 C2 06 5B 54 48 45 4E 5D 00 8C CC F4 CC B0 CC
-D2 CC 5E C6 AC C5 14 C2 06 5B 45 4C 53 45 5D 00
-8C CC 0A CD B0 CC D0 CC 5E C6 14 C2 04 5B 49 46
-5D 00 8C CC D2 CC 3A C2 D0 CC 80 C5 14 C2 05 0D
-0A 6B 6F 20 5A C5 BC C2 AC C2 3A C2 D2 CC C0 CC
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 CD 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 CA 2A C7 92 C7 3E CD 5E C6 44 CD 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E CD
-EE C5 5E C6 76 CD B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A C9 06 4D 41 52 4B 45 52 00 B0 12
-10 CB BA 40 85 12 FC FF BA 40 74 CD FE FF 28 83
-8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00 C6 1D
-DD 3E 2E 53 30 4D 0A C2 CA 1D E6 C5 5E C6 85 12
-B6 CD 12 CC E4 CB 0E C5 8E CA 6A CC D0 C4 86 CD
-10 C7 AE CE C2 CE 9A C6 24 C7 00 00 5E CD CC CA
-F2 C7 00 00 85 12 B6 CD 7E D4 E4 D4 26 D4 34 D5
-EC D3 00 00 B8 D1 00 00 FC D5 E0 D5 50 D4 8E D4
-C8 D2 00 00 00 00 50 D5 E2 CD 3A 40 0C 00 39 40
-D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 1D
-30 4D 92 42 CA 1D DA 1D 30 4D BE CD 3C CE 42 CE
-52 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E C6 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 CA 09 50 57 52 5F 53 54 41
-54 45 85 12 4A CE 08 D6 DE C6 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 CE F3 3F 88 CE 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 96 CE 30 4D
-9A CE 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 CF FE FF 29 83 B9 40 E0 C3 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 C3 B2 49 FA C2 B2 49
-02 C2 B2 49 FE C3 B2 49 EE FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04 29 83
-89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02 B2 40
-EF 7F 02 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3
-26 03 F2 40 F0 00 22 03 F2 40 A5 00 61 01 B2 40
-86 00 62 01 82 43 66 01 B2 40 33 00 64 01 D2 43
-61 01 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
-FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03
-F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2
-9E 01 B0 12 F8 C2 FC C3 38 40 C0 1D 0A 4E 39 48
-2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
-1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
-12 12 C4 1D 84 12 2A C7 92 C7 EE C5 34 C2 F8 CF
-4E C8 34 C2 12 D0 0C D0 FA CF 3C 4E 3C 80 87 12
-05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
-30 41 14 D0 B2 41 C4 1D 3E 41 84 12 0A C2 2B 00
-2A C7 92 C7 EE C5 34 C2 30 D0 4E C8 34 C2 20 CA
-B8 C5 2A C7 4E C8 34 C2 20 CA 3C D0 3E 5F E7 3F
-3E 40 28 00 B0 12 DC CF 19 42 C6 1D A2 53 C6 1D
-89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20
-30 40 7E CB 1C 15 12 12 C4 1D 92 53 C4 1D 84 12
-2A C7 4E C8 34 C2 84 D0 7A D0 21 53 3E 90 10 00
-C6 2B 7F 2D 86 D0 B2 41 C4 1D C1 3F 0D 12 84 12
-22 CA B8 CF 96 D0 0C 43 1B 42 C6 1D A2 53 C6 1D
-6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12
-DC CF 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
-18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
-10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
-08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
-00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
-10 02 92 53 C4 1D B0 12 DC CF ED 3F 7A 90 40 00
-16 20 3C 40 20 00 92 53 C4 1D B0 12 64 D0 0C 20
-3C 50 10 00 3E 40 2B 00 B0 12 64 D0 92 92 C0 1D
-C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12
-64 D0 FA 23 3C 50 10 00 B0 12 40 D0 EF 3F 0C 43
-1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 22 CA B8 CF
-62 D1 FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
-82 00 C7 3F B0 12 64 D0 E0 23 3C 50 80 00 B0 12
-40 D0 DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
-0A C2 00 13 58 C9 5E C6 0A C2 2C 00 8C D0 58 D1
-A2 D1 09 4B 2E 4E 0E DC A2 3F 58 CC 03 4D 4F 56
-85 12 98 D1 00 40 AC D1 05 4D 4F 56 2E 42 85 12
-98 D1 40 40 00 00 03 41 44 44 85 12 98 D1 00 50
-C6 D1 05 41 44 44 2E 42 85 12 98 D1 40 50 D2 D1
-04 41 44 44 43 00 85 12 98 D1 00 60 E0 D1 06 41
-44 44 43 2E 42 00 85 12 98 D1 40 60 86 D1 04 53
-55 42 43 00 85 12 98 D1 00 70 FE D1 06 53 55 42
-43 2E 42 00 85 12 98 D1 40 70 0C D2 03 53 55 42
-85 12 98 D1 00 80 1C D2 05 53 55 42 2E 42 85 12
-98 D1 40 80 34 CC 03 43 4D 50 85 12 98 D1 00 90
-36 D2 05 43 4D 50 2E 42 85 12 98 D1 40 90 20 CC
-04 44 41 44 44 00 85 12 98 D1 00 A0 50 D2 06 44
-41 44 44 2E 42 00 85 12 98 D1 40 A0 42 D2 03 42
-49 54 85 12 98 D1 00 B0 6E D2 05 42 49 54 2E 42
-85 12 98 D1 40 B0 7A D2 03 42 49 43 85 12 98 D1
-00 C0 88 D2 05 42 49 43 2E 42 85 12 98 D1 40 C0
-94 D2 03 42 49 53 85 12 98 D1 00 D0 A2 D2 05 42
-49 53 2E 42 85 12 98 D1 40 D0 00 00 03 58 4F 52
-85 12 98 D1 00 E0 BC D2 05 58 4F 52 2E 42 85 12
-98 D1 40 E0 EE D1 03 41 4E 44 85 12 98 D1 00 F0
-D6 D2 05 41 4E 44 2E 42 85 12 98 D1 40 F0 22 CA
-8C D0 F4 D2 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
-0C DA 4F 3F 28 D2 03 52 52 43 85 12 EE D2 00 10
-06 D3 05 52 52 43 2E 42 85 12 EE D2 40 10 12 D3
-04 53 57 50 42 00 85 12 EE D2 80 10 20 D3 03 52
-52 41 85 12 EE D2 00 11 2E D3 05 52 52 41 2E 42
-85 12 EE D2 40 11 3A D3 03 53 58 54 85 12 EE D2
-80 11 00 00 04 50 55 53 48 00 85 12 EE D2 00 12
-54 D3 06 50 55 53 48 2E 42 00 85 12 EE D2 40 12
-AE D2 04 43 41 4C 4C 00 85 12 EE D2 80 12 1A 53
-0E 4A 0D 12 84 12 D4 C6 14 C2 0D 6F 75 74 20 6F
-66 20 62 6F 75 6E 64 73 36 C3 48 D3 03 53 3E 3D
-86 12 00 38 9C D3 02 53 3C 00 86 12 00 34 62 D3
-03 30 3E 3D 86 12 00 30 B0 D3 02 30 3C 00 86 12
-00 30 00 00 02 55 3C 00 86 12 00 2C C4 D3 03 55
-3E 3D 86 12 00 28 BA D3 03 30 3C 3E 86 12 00 24
-D8 D3 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
-1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D
-CE D3 04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
-00 00 30 4D 5E D2 04 45 4C 53 45 00 1A 42 C6 1D
-BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00
-E3 3F 72 D3 05 42 45 47 49 4E 30 40 28 C2 02 D4
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D
-2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 C6 1D 30 4D E2 D2 05 41
-47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
-48 49 4C 45 0D 12 84 12 F0 D3 B8 C5 5E C6 A6 D3
-06 52 45 50 45 41 54 00 0D 12 84 12 84 D4 08 D4
-5E C6 B4 D4 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D
-CB 2F 98 42 C6 1D 00 00 30 4D 44 D4 03 42 57 31
-85 12 B2 D4 00 00 CC D4 03 42 57 32 85 12 B2 D4
-00 00 D8 D4 03 42 57 33 85 12 B2 D4 00 00 F0 D4
-3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F
-00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00
-03 46 57 31 85 12 EE D4 00 00 10 D5 03 46 57 32
-85 12 EE D4 00 00 1C D5 03 46 57 33 85 12 EE D4
-00 00 28 D5 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
-3E 40 00 3C 0D 12 84 12 90 CA FC C9 5E C6 00 00
-05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
-3E B0 00 10 EF 27 3E E0 00 08 EC 3F 22 CA B8 CF
-72 D5 92 53 C4 1D 3E 40 2C 00 84 12 2A C7 4E C8
-34 C2 20 CA 4E D1 88 D5 0A 4E 3E 4F 1A 83 F7 32
-29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
-38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
-5A 06 A6 3E A0 D4 04 52 52 43 4D 00 85 12 6C D5
-50 00 B6 D5 04 52 52 41 4D 00 85 12 6C D5 50 01
-C4 D5 04 52 4C 41 4D 00 85 12 6C D5 50 02 D2 D5
-04 52 52 55 4D 00 85 12 6C D5 50 03 E2 D3 05 50
-55 53 48 4D 85 12 6C D5 00 15 EE D5 04 50 4F 50
-4D 00 85 12 6C D5 00 17
+74 63 68 21 36 C3 FA CA 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A C2 4E C6 48 C9 56 CB AA CA 4E C6
+A8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D 92 C9 06 43 52 45 41 54
+45 00 B0 12 00 CB BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA CB 04 43 4F 44 45 00 B0 12 00 CB A2 82
+C6 1D 0D 12 84 12 F2 CD CC CD 4E C6 A2 CB 07 48
+44 4E 43 4F 44 45 B2 40 D0 CD DA 1D EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 CB 0C CE
+2A CE 4E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 0C CE 2A CE 4E C6 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+EE CB 85 48 49 32 4C 4F 0D 12 84 12 28 C2 9A CD
+48 C9 AA CA E2 CB 4E C6 88 CB 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A CC 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A C2 00 00 C6 C5 02 CA 1A C7 98 C9
+8E C5 34 C2 02 CD 9C C5 14 C2 06 5B 54 48 45 4E
+5D 00 74 CC DC CC 98 CC BA CC 4E C6 9C C5 14 C2
+06 5B 45 4C 53 45 5D 00 74 CC F2 CC 98 CC B8 CC
+4E C6 14 C2 04 5B 49 46 5D 00 74 CC BA CC 3A C2
+B8 CC 70 C5 14 C2 05 0D 0A 6B 6F 20 4A C5 BC C2
+AC C2 3A C2 BA CC A8 CC 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 CD 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 CA 1A C7 82 C7
+26 CD 4E C6 2C CD 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 CD DE C5 4E C6 5E CD B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A C9 06 4D
+41 52 4B 45 52 00 B0 12 00 CB BA 40 85 12 FC FF
+BA 40 5C CD FE FF 28 83 8A 48 00 00 BA 40 AA C2
+04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D 0A C2
+CA 1D D6 C5 4E C6 85 12 9E CD 66 CA D4 CB 10 C5
+7E CA 52 CC D2 C4 6E CD 00 C7 96 CE AA CE 8A C6
+14 C7 00 00 46 CD BC CA E2 C7 00 00 85 12 9E CD
+66 D4 CC D4 0E D4 1C D5 D4 D3 00 00 A0 D1 00 00
+E4 D5 C8 D5 38 D4 76 D4 B0 D2 00 00 00 00 38 D5
+CA CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D DA 1D
+30 4D A6 CD 24 CE 2A CE 3A CE 1A 42 20 18 82 4A
+C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 CA
+09 50 57 52 5F 53 54 41 54 45 85 12 32 CE F0 D5
+CE C6 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E CE F3 3F 70 CE 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 7E CE 30 4D 82 CE 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 CF FE FF 29 83 B9 40
+E2 C3 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 C3 B2 49 FA C2 B2 49 02 C2 B2 49 00 C4 B2 49
+EE FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 04 29 83 89 43 00 1C FC 23 92 D3
+30 01 B2 43 06 02 B2 40 EF 7F 02 02 B2 43 26 02
+B2 D0 08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03
+F2 40 A5 00 61 01 B2 40 86 00 62 01 82 43 66 01
+B2 40 33 00 64 01 D2 43 61 01 39 40 40 00 18 42
+00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3
+B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42
+08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 C2 FE C3
+38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
+09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
+C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 1A C7
+82 C7 DE C5 34 C2 E0 CF 3E C8 34 C2 FA CF F4 CF
+E2 CF 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
+01 3C 2E 83 21 52 1B 17 30 41 FC CF B2 41 C4 1D
+3E 41 84 12 0A C2 2B 00 1A C7 82 C7 DE C5 34 C2
+18 D0 3E C8 34 C2 00 CA A8 C5 1A C7 3E C8 34 C2
+00 CA 24 D0 3E 5F E7 3F 3E 40 28 00 B0 12 C4 CF
+19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00
+92 92 C0 1D C4 1D 02 20 30 40 6E CB 1C 15 12 12
+C4 1D 92 53 C4 1D 84 12 1A C7 3E C8 34 C2 6C D0
+62 D0 21 53 3E 90 10 00 C6 2B 7F 2D 6E D0 B2 41
+C4 1D C1 3F 0D 12 84 12 02 CA A0 CF 7E D0 0C 43
+1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00
+27 20 92 53 C4 1D B0 12 C4 CF 3C 40 00 03 0E 93
+1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
+14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
+0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
+C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
+7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12
+C4 CF ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
+C4 1D B0 12 4C D0 0C 20 3C 50 10 00 3E 40 2B 00
+B0 12 4C D0 92 92 C0 1D C4 1D 02 24 92 53 C4 1D
+8E 10 0C 5E DA 3F B0 12 4C D0 FA 23 3C 50 10 00
+B0 12 28 D0 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D
+0D 12 84 12 02 CA A0 CF 4A D1 FE 90 26 00 00 00
+3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 4C D0
+E0 23 3C 50 80 00 B0 12 28 D0 DB 3F 00 00 04 52
+45 54 49 00 0D 12 84 12 0A C2 00 13 48 C9 4E C6
+0A C2 2C 00 74 D0 40 D1 8A D1 09 4B 2E 4E 0E DC
+A2 3F 40 CC 03 4D 4F 56 85 12 80 D1 00 40 94 D1
+05 4D 4F 56 2E 42 85 12 80 D1 40 40 00 00 03 41
+44 44 85 12 80 D1 00 50 AE D1 05 41 44 44 2E 42
+85 12 80 D1 40 50 BA D1 04 41 44 44 43 00 85 12
+80 D1 00 60 C8 D1 06 41 44 44 43 2E 42 00 85 12
+80 D1 40 60 6E D1 04 53 55 42 43 00 85 12 80 D1
+00 70 E6 D1 06 53 55 42 43 2E 42 00 85 12 80 D1
+40 70 F4 D1 03 53 55 42 85 12 80 D1 00 80 04 D2
+05 53 55 42 2E 42 85 12 80 D1 40 80 16 CC 03 43
+4D 50 85 12 80 D1 00 90 1E D2 05 43 4D 50 2E 42
+85 12 80 D1 40 90 00 CC 04 44 41 44 44 00 85 12
+80 D1 00 A0 38 D2 06 44 41 44 44 2E 42 00 85 12
+80 D1 40 A0 2A D2 03 42 49 54 85 12 80 D1 00 B0
+56 D2 05 42 49 54 2E 42 85 12 80 D1 40 B0 62 D2
+03 42 49 43 85 12 80 D1 00 C0 70 D2 05 42 49 43
+2E 42 85 12 80 D1 40 C0 7C D2 03 42 49 53 85 12
+80 D1 00 D0 8A D2 05 42 49 53 2E 42 85 12 80 D1
+40 D0 00 00 03 58 4F 52 85 12 80 D1 00 E0 A4 D2
+05 58 4F 52 2E 42 85 12 80 D1 40 E0 D6 D1 03 41
+4E 44 85 12 80 D1 00 F0 BE D2 05 41 4E 44 2E 42
+85 12 80 D1 40 F0 02 CA 74 D0 DC D2 0A 4C 3C F0
+70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 10 D2 03 52
+52 43 85 12 D6 D2 00 10 EE D2 05 52 52 43 2E 42
+85 12 D6 D2 40 10 FA D2 04 53 57 50 42 00 85 12
+D6 D2 80 10 08 D3 03 52 52 41 85 12 D6 D2 00 11
+16 D3 05 52 52 41 2E 42 85 12 D6 D2 40 11 22 D3
+03 53 58 54 85 12 D6 D2 80 11 00 00 04 50 55 53
+48 00 85 12 D6 D2 00 12 3C D3 06 50 55 53 48 2E
+42 00 85 12 D6 D2 40 12 96 D2 04 43 41 4C 4C 00
+85 12 D6 D2 80 12 1A 53 0E 4A 0D 12 84 12 C4 C6
+14 C2 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
+36 C3 30 D3 03 53 3E 3D 86 12 00 38 84 D3 02 53
+3C 00 86 12 00 34 4A D3 03 30 3E 3D 86 12 00 30
+98 D3 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
+86 12 00 2C AC D3 03 55 3E 3D 86 12 00 28 A2 D3
+03 30 3C 3E 86 12 00 24 C0 D3 02 30 3D 00 86 12
+00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00
+A2 53 C6 1D 0E 4A 30 4D B6 D3 04 54 48 45 4E 00
+1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
+3A 90 00 02 B1 2F 88 DA 00 00 30 4D 46 D2 04 45
+4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53
+C6 1D 2F 83 8F 4A 00 00 E3 3F 5A D3 05 42 45 47
+49 4E 30 40 28 C2 EA D3 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90
+00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C6 1D 30 4D CA D2 05 41 47 41 49 4E 0A 4E 38 40
+00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
+D8 D3 A8 C5 4E C6 8E D3 06 52 45 50 45 41 54 00
+0D 12 84 12 6C D4 F0 D3 4E C6 9C D4 3D 41 08 4E
+3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00
+30 4D 2C D4 03 42 57 31 85 12 9A D4 00 00 B4 D4
+03 42 57 32 85 12 9A D4 00 00 C0 D4 03 42 57 33
+85 12 9A D4 00 00 D8 D4 3D 41 1A 42 C6 1D 28 4E
+B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A
+00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 D6 D4
+00 00 F8 D4 03 46 57 32 85 12 D6 D4 00 00 04 D5
+03 46 57 33 85 12 D6 D4 00 00 10 D5 04 47 4F 54
+4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
+80 CA DC C9 4E C6 00 00 05 3F 47 4F 54 4F 3E 90
+00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
+00 08 EC 3F 02 CA A0 CF 5A D5 92 53 C4 1D 3E 40
+2C 00 84 12 1A C7 3E C8 34 C2 00 CA 36 D1 70 D5
+0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
+59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
+AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 88 D4 04 52
+52 43 4D 00 85 12 54 D5 50 00 9E D5 04 52 52 41
+4D 00 85 12 54 D5 50 01 AC D5 04 52 4C 41 4D 00
+85 12 54 D5 50 02 BA D5 04 52 52 55 4D 00 85 12
+54 D5 50 03 CA D3 05 50 55 53 48 4D 85 12 54 D5
+00 15 D6 D5 04 50 4F 50 4D 00 85 12 54 D5 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 80 C4
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 20 CF
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 82 C4
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 08 CF
 q
index 90daa3c..59902a7 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-C0 5D 0D 00 01 49 18 00 F9 FF 0E D6 0A CE 33 01
-10 00 81 B6 94 C3 AA C2 C6 C3 9C C3 94 C4 0E D6
-0A CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 28 CA 42 CA 00 00 00 00
+C0 5D 0D 00 01 49 18 00 F9 FF 06 D6 02 CE 34 01
+10 00 41 B3 94 C3 AA C2 DA C3 9C C3 94 C4 06 D6
+02 CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 C6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
 B2 49 78 C4 B2 49 90 C5 B2 49 22 C5 B2 49 FC C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C3 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 CE CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C3 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 C2 0A C2 DE 1D E0 C5 32 C5
 14 C2 04 1B 5B 37 6D 00 5C C5 A8 C5 34 C2 86 C3
@@ -52,7 +52,7 @@ C2 48 CE 05 30 4D C8 C4 2D 83 92 B3 DC 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 DC 05
 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53 DE 1D
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C4 2F 83
-8F 4E 00 00 B0 12 C6 C3 92 B3 DC 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C3 92 B3 DC 05 FD 27 1E 42
 CC 05 B0 12 C8 C3 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C5 08 4E 3E 4F C8 3F 1A C5 04 45 43 48
 4F 00 B2 40 C2 48 C0 C4 82 43 DE 1D 30 4D 00 00
@@ -80,7 +80,7 @@ B2 1D C8 4A 00 00 30 4D 1A C6 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C5 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 24 CA 20 C5
+10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 14 CA 20 C5
 60 C6 40 C5 01 2E 0E 93 E3 37 38 43 E2 3F 88 C6
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2
 5A C9 0A C2 22 00 2C C7 FA C6 B2 40 20 00 B4 1D
@@ -122,34 +122,34 @@ B0 12 66 C2 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 C5 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A C6 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C2 24 CA 2C C7 E0 C9
-94 26 3D 40 E8 C9 D6 3E EA C9 0A 4E 3E 4F 3D 40
-04 CA 2E 27 3D 40 DA C9 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 CA 3E 4F 3D 40 DA C9 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC C2 14 CA 2C C7 D0 C9
+9C 26 3D 40 D8 C9 DE 3E DA C9 0A 4E 3E 4F 3D 40
+F4 C9 36 27 3D 40 CA C9 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 F6 C9 3E 4F 3D 40 CA C9 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CB
-CC 3F 0E CA 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F FE C9 86 12 20 00 E6 C5 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E C5
-BC C2 D4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
+BC C2 C4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2
 FE C5 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C3 3A C2 3E CA 6C C9 86 41 42 4F 52 54 22 00
+2A C3 3A C2 3E CA 1A CA 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 C6 0A C2 2A C3 5A C9 60 C6 8E C7
-01 27 0D 12 84 12 24 CA 2C C7 94 C7 34 C2 22 CA
+01 27 0D 12 84 12 14 CA 2C C7 94 C7 34 C2 12 CA
 60 C6 00 00 83 5B 27 5D 0D 12 84 12 92 CA 0A C2
 0A C2 5A C9 5A C9 60 C6 A4 CA 81 5B 82 43 BE 1D
 30 4D 0C C6 01 5D B2 43 BE 1D 30 4D C4 CA 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CA 2C C7 94 C7 A8 C5
-34 C2 22 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
+4F 4E 45 00 0D 12 84 12 14 CA 2C C7 94 C7 A8 C5
+34 C2 12 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
 5A C9 0A C2 5A C9 5A C9 60 C6 BA CA 01 3A 30 12
-56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 CA
+56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 14 CA
 2C C7 24 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -159,172 +159,172 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 C3 0C CB 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A C2 60 C6 5A C9 68 CB BC CA
-60 C6 CA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 C9 06 43 52 45
+60 C6 BA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D A4 C9 06 43 52 45
 41 54 45 00 B0 12 12 CB BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC CB 04 43 4F 44 45 00 B0 12 12 CB
-A2 82 C6 1D 0D 12 84 12 0C CE E6 CD 60 C6 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB 26 CE
-60 C6 78 CA 03 41 53 4D B2 40 EA CD DA 1D E6 3F
-00 CC 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CC
-44 CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 CE 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 CB 85 48 49 32
-4C 4F 0D 12 84 12 28 C2 B4 CD 5A C9 BC CA F4 CB
-60 C6 9A CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CC 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2
-00 00 D8 C5 24 CA 2C C7 BA C9 A0 C5 34 C2 1C CD
-AE C5 14 C2 06 5B 54 48 45 4E 5D 00 8E CC F6 CC
-B2 CC D4 CC 60 C6 AE C5 14 C2 06 5B 45 4C 53 45
-5D 00 8E CC 0C CD B2 CC D2 CC 60 C6 14 C2 04 5B
-49 46 5D 00 8E CC D4 CC 3A C2 D2 CC 82 C5 14 C2
-05 0D 0A 6B 6F 20 5C C5 BC C2 AC C2 3A C2 D4 CC
-C2 CC 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CD 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CA 2C C7 94 C7 40 CD 60 C6 46 CD
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CD F0 C5 60 C6 78 CD B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C C9 06 4D 41 52 4B 45 52 00
-B0 12 12 CB BA 40 85 12 FC FF BA 40 76 CD FE FF
-28 83 8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A C2 CA 1D E8 C5 60 C6
-85 12 B8 CD 14 CC E6 CB 2C C5 90 CA 6C CC F6 C4
-88 CD 12 C7 B0 CE C4 CE 9C C6 26 C7 00 00 60 CD
-CE CA F4 C7 00 00 85 12 B8 CD 84 D4 EA D4 2C D4
-3A D5 F2 D3 00 00 BE D1 00 00 02 D6 E6 D5 56 D4
-94 D4 CE D2 00 00 00 00 56 D5 E4 CD 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 CD 3E CE
-44 CE 54 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CA 09 50 57 52 5F 53
-54 41 54 45 85 12 4C CE 0E D6 E0 C6 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 CE F3 3F 8A CE
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 CE
-30 4D 9C CE 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 CF FE FF 29 83 B9 40 02 C4 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 C4 B2 49 FA C2
-B2 49 02 C2 B2 49 20 C4 B2 49 F0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04
-29 83 89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02
-B2 40 EF 7F 02 02 E2 D2 05 02 B2 43 26 02 B2 D0
-08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03 F2 40
-A5 00 61 01 B2 40 86 00 62 01 82 43 66 01 B2 40
-33 00 64 01 D2 43 61 01 39 40 40 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3 B0 01
-F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42 08 18
-82 43 08 18 1E D2 9E 01 B0 12 F8 C2 1E C4 38 40
-C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
-03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
-30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2C C7 94 C7
-F0 C5 34 C2 FE CF 50 C8 34 C2 18 D0 12 D0 00 D0
-3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
-2E 83 21 52 1B 17 30 41 1A D0 B2 41 C4 1D 3E 41
-84 12 0A C2 2B 00 2C C7 94 C7 F0 C5 34 C2 36 D0
-50 C8 34 C2 22 CA BA C5 2C C7 50 C8 34 C2 22 CA
-42 D0 3E 5F E7 3F 3E 40 28 00 B0 12 E2 CF 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92
-C0 1D C4 1D 02 20 30 40 80 CB 1C 15 12 12 C4 1D
-92 53 C4 1D 84 12 2C C7 50 C8 34 C2 8A D0 80 D0
-21 53 3E 90 10 00 C6 2B 7F 2D 8C D0 B2 41 C4 1D
-C1 3F 0D 12 84 12 24 CA BE CF 9C D0 0C 43 1B 42
-C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20
-92 53 C4 1D B0 12 E2 CF 3C 40 00 03 0E 93 1C 24
-3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
-3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
-3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D
-A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
-26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12 E2 CF
-ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
-B0 12 6A D0 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
-6A D0 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
-0C 5E DA 3F B0 12 6A D0 FA 23 3C 50 10 00 B0 12
-46 D0 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
-84 12 24 CA BE CF 68 D1 FE 90 26 00 00 00 3E 40
-20 00 03 20 3C 50 82 00 C7 3F B0 12 6A D0 E0 23
-3C 50 80 00 B0 12 46 D0 DB 3F 00 00 04 52 45 54
-49 00 0D 12 84 12 0A C2 00 13 5A C9 60 C6 0A C2
-2C 00 92 D0 5E D1 A8 D1 09 4B 2E 4E 0E DC A2 3F
-5A CC 03 4D 4F 56 85 12 9E D1 00 40 B2 D1 05 4D
-4F 56 2E 42 85 12 9E D1 40 40 00 00 03 41 44 44
-85 12 9E D1 00 50 CC D1 05 41 44 44 2E 42 85 12
-9E D1 40 50 D8 D1 04 41 44 44 43 00 85 12 9E D1
-00 60 E6 D1 06 41 44 44 43 2E 42 00 85 12 9E D1
-40 60 8C D1 04 53 55 42 43 00 85 12 9E D1 00 70
-04 D2 06 53 55 42 43 2E 42 00 85 12 9E D1 40 70
-12 D2 03 53 55 42 85 12 9E D1 00 80 22 D2 05 53
-55 42 2E 42 85 12 9E D1 40 80 36 CC 03 43 4D 50
-85 12 9E D1 00 90 3C D2 05 43 4D 50 2E 42 85 12
-9E D1 40 90 22 CC 04 44 41 44 44 00 85 12 9E D1
-00 A0 56 D2 06 44 41 44 44 2E 42 00 85 12 9E D1
-40 A0 48 D2 03 42 49 54 85 12 9E D1 00 B0 74 D2
-05 42 49 54 2E 42 85 12 9E D1 40 B0 80 D2 03 42
-49 43 85 12 9E D1 00 C0 8E D2 05 42 49 43 2E 42
-85 12 9E D1 40 C0 9A D2 03 42 49 53 85 12 9E D1
-00 D0 A8 D2 05 42 49 53 2E 42 85 12 9E D1 40 D0
-00 00 03 58 4F 52 85 12 9E D1 00 E0 C2 D2 05 58
-4F 52 2E 42 85 12 9E D1 40 E0 F4 D1 03 41 4E 44
-85 12 9E D1 00 F0 DC D2 05 41 4E 44 2E 42 85 12
-9E D1 40 F0 24 CA 92 D0 FA D2 0A 4C 3C F0 70 00
-8A 10 3A F0 0F 00 0C DA 4F 3F 2E D2 03 52 52 43
-85 12 F4 D2 00 10 0C D3 05 52 52 43 2E 42 85 12
-F4 D2 40 10 18 D3 04 53 57 50 42 00 85 12 F4 D2
-80 10 26 D3 03 52 52 41 85 12 F4 D2 00 11 34 D3
-05 52 52 41 2E 42 85 12 F4 D2 40 11 40 D3 03 53
-58 54 85 12 F4 D2 80 11 00 00 04 50 55 53 48 00
-85 12 F4 D2 00 12 5A D3 06 50 55 53 48 2E 42 00
-85 12 F4 D2 40 12 B4 D2 04 43 41 4C 4C 00 85 12
-F4 D2 80 12 1A 53 0E 4A 0D 12 84 12 D6 C6 14 C2
-0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 C3
-4E D3 03 53 3E 3D 86 12 00 38 A2 D3 02 53 3C 00
-86 12 00 34 68 D3 03 30 3E 3D 86 12 00 30 B6 D3
-02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
-00 2C CA D3 03 55 3E 3D 86 12 00 28 C0 D3 03 30
-3C 3E 86 12 00 24 DE D3 02 30 3D 00 86 12 00 20
-00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
-C6 1D 0E 4A 30 4D D4 D3 04 54 48 45 4E 00 1A 42
-C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 B1 2F 88 DA 00 00 30 4D 64 D2 04 45 4C 53
-45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
-2F 83 8F 4A 00 00 E3 3F 78 D3 05 42 45 47 49 4E
-30 40 28 C2 08 D4 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
-8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
-30 4D E8 D2 05 41 47 41 49 4E 0A 4E 38 40 00 3C
-E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 F6 D3
-BA C5 60 C6 AC D3 06 52 45 50 45 41 54 00 0D 12
-84 12 8A D4 0E D4 60 C6 BA D4 3D 41 08 4E 3E 4F
-2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
-4A D4 03 42 57 31 85 12 B8 D4 00 00 D2 D4 03 42
-57 32 85 12 B8 D4 00 00 DE D4 03 42 57 33 85 12
-B8 D4 00 00 F6 D4 3D 41 1A 42 C6 1D 28 4E B2 92
-C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
-3E 4F 30 4D 00 00 03 46 57 31 85 12 F4 D4 00 00
-16 D5 03 46 57 32 85 12 F4 D4 00 00 22 D5 03 46
-57 33 85 12 F4 D4 00 00 2E D5 04 47 4F 54 4F 00
-2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 CA
-FE C9 60 C6 00 00 05 3F 47 4F 54 4F 3E 90 00 30
-F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
-EC 3F 24 CA BE CF 78 D5 92 53 C4 1D 3E 40 2C 00
-84 12 2C C7 50 C8 34 C2 22 CA 54 D1 8E D5 0A 4E
-3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
-01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
-2A 92 E8 2E 8A 10 5A 06 A6 3E A6 D4 04 52 52 43
-4D 00 85 12 72 D5 50 00 BC D5 04 52 52 41 4D 00
-85 12 72 D5 50 01 CA D5 04 52 4C 41 4D 00 85 12
-72 D5 50 02 D8 D5 04 52 52 55 4D 00 85 12 72 D5
-50 03 E8 D3 05 50 55 53 48 4D 85 12 72 D5 00 15
-F4 D5 04 50 4F 50 4D 00 85 12 72 D5 00 17
+A2 82 C6 1D 0D 12 84 12 04 CE DE CD 60 C6 B4 CB
+07 48 44 4E 43 4F 44 45 B2 40 E2 CD DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB
+1E CE 3C CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 1E CE 3C CE 60 C6
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F 00 CC 85 48 49 32 4C 4F 0D 12 84 12 28 C2
+AC CD 5A C9 BC CA F4 CB 60 C6 9A CB 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C CC 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C2 00 00 D8 C5 14 CA 2C C7
+AA C9 A0 C5 34 C2 14 CD AE C5 14 C2 06 5B 54 48
+45 4E 5D 00 86 CC EE CC AA CC CC CC 60 C6 AE C5
+14 C2 06 5B 45 4C 53 45 5D 00 86 CC 04 CD AA CC
+CA CC 60 C6 14 C2 04 5B 49 46 5D 00 86 CC CC CC
+3A C2 CA CC 82 C5 14 C2 05 0D 0A 6B 6F 20 5C C5
+BC C2 AC C2 3A C2 CC CC BA CC 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A CD 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 CA 2C C7
+94 C7 38 CD 60 C6 3E CD 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 CD F0 C5 60 C6 70 CD
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C C9
+06 4D 41 52 4B 45 52 00 B0 12 12 CB BA 40 85 12
+FC FF BA 40 6E CD FE FF 28 83 8A 48 00 00 BA 40
+AA C2 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A C2 CA 1D E8 C5 60 C6 85 12 B0 CD 78 CA E6 CB
+2C C5 90 CA 64 CC F6 C4 80 CD 12 C7 A8 CE BC CE
+9C C6 26 C7 00 00 58 CD CE CA F4 C7 00 00 85 12
+B0 CD 7C D4 E2 D4 24 D4 32 D5 EA D3 00 00 B6 D1
+00 00 FA D5 DE D5 4E D4 8C D4 C6 D2 00 00 00 00
+4E D5 DC CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D B8 CD 36 CE 3C CE 4C CE 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA CA 09 50 57 52 5F 53 54 41 54 45 85 12 44 CE
+06 D6 E0 C6 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 CE F3 3F 82 CE 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 90 CE 30 4D 94 CE 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A CF FE FF 29 83
+B9 40 02 C4 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 C4 B2 49 FA C2 B2 49 02 C2 B2 49 20 C4
+B2 49 F0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 04 29 83 89 43 00 1C FC 23
+92 D3 30 01 B2 43 06 02 B2 40 EF 7F 02 02 E2 D2
+05 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3 26 03
+F2 40 F0 00 22 03 F2 40 A5 00 61 01 B2 40 86 00
+62 01 82 43 66 01 B2 40 33 00 64 01 D2 43 61 01
+39 40 40 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0
+40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2 9E 01
+B0 12 F8 C2 1E C4 38 40 C0 1D 0A 4E 39 48 2E 48
+09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
+0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
+C4 1D 84 12 2C C7 94 C7 F0 C5 34 C2 F6 CF 50 C8
+34 C2 10 D0 0A D0 F8 CF 3C 4E 3C 80 87 12 05 24
+1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
+12 D0 B2 41 C4 1D 3E 41 84 12 0A C2 2B 00 2C C7
+94 C7 F0 C5 34 C2 2E D0 50 C8 34 C2 12 CA BA C5
+2C C7 50 C8 34 C2 12 CA 3A D0 3E 5F E7 3F 3E 40
+28 00 B0 12 DA CF 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40
+80 CB 1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2C C7
+50 C8 34 C2 82 D0 78 D0 21 53 3E 90 10 00 C6 2B
+7F 2D 84 D0 B2 41 C4 1D C1 3F 0D 12 84 12 14 CA
+B6 CF 94 D0 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
+3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12 DA CF
+3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
+3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
+3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
+3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
+3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
+92 53 C4 1D B0 12 DA CF ED 3F 7A 90 40 00 16 20
+3C 40 20 00 92 53 C4 1D B0 12 62 D0 0C 20 3C 50
+10 00 3E 40 2B 00 B0 12 62 D0 92 92 C0 1D C4 1D
+02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 62 D0
+FA 23 3C 50 10 00 B0 12 3E D0 EF 3F 0C 43 1B 42
+C6 1D A2 53 C6 1D 0D 12 84 12 14 CA B6 CF 60 D1
+FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
+C7 3F B0 12 62 D0 E0 23 3C 50 80 00 B0 12 3E D0
+DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A C2
+00 13 5A C9 60 C6 0A C2 2C 00 8A D0 56 D1 A0 D1
+09 4B 2E 4E 0E DC A2 3F 52 CC 03 4D 4F 56 85 12
+96 D1 00 40 AA D1 05 4D 4F 56 2E 42 85 12 96 D1
+40 40 00 00 03 41 44 44 85 12 96 D1 00 50 C4 D1
+05 41 44 44 2E 42 85 12 96 D1 40 50 D0 D1 04 41
+44 44 43 00 85 12 96 D1 00 60 DE D1 06 41 44 44
+43 2E 42 00 85 12 96 D1 40 60 84 D1 04 53 55 42
+43 00 85 12 96 D1 00 70 FC D1 06 53 55 42 43 2E
+42 00 85 12 96 D1 40 70 0A D2 03 53 55 42 85 12
+96 D1 00 80 1A D2 05 53 55 42 2E 42 85 12 96 D1
+40 80 28 CC 03 43 4D 50 85 12 96 D1 00 90 34 D2
+05 43 4D 50 2E 42 85 12 96 D1 40 90 12 CC 04 44
+41 44 44 00 85 12 96 D1 00 A0 4E D2 06 44 41 44
+44 2E 42 00 85 12 96 D1 40 A0 40 D2 03 42 49 54
+85 12 96 D1 00 B0 6C D2 05 42 49 54 2E 42 85 12
+96 D1 40 B0 78 D2 03 42 49 43 85 12 96 D1 00 C0
+86 D2 05 42 49 43 2E 42 85 12 96 D1 40 C0 92 D2
+03 42 49 53 85 12 96 D1 00 D0 A0 D2 05 42 49 53
+2E 42 85 12 96 D1 40 D0 00 00 03 58 4F 52 85 12
+96 D1 00 E0 BA D2 05 58 4F 52 2E 42 85 12 96 D1
+40 E0 EC D1 03 41 4E 44 85 12 96 D1 00 F0 D4 D2
+05 41 4E 44 2E 42 85 12 96 D1 40 F0 14 CA 8A D0
+F2 D2 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
+4F 3F 26 D2 03 52 52 43 85 12 EC D2 00 10 04 D3
+05 52 52 43 2E 42 85 12 EC D2 40 10 10 D3 04 53
+57 50 42 00 85 12 EC D2 80 10 1E D3 03 52 52 41
+85 12 EC D2 00 11 2C D3 05 52 52 41 2E 42 85 12
+EC D2 40 11 38 D3 03 53 58 54 85 12 EC D2 80 11
+00 00 04 50 55 53 48 00 85 12 EC D2 00 12 52 D3
+06 50 55 53 48 2E 42 00 85 12 EC D2 40 12 AC D2
+04 43 41 4C 4C 00 85 12 EC D2 80 12 1A 53 0E 4A
+0D 12 84 12 D6 C6 14 C2 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 36 C3 46 D3 03 53 3E 3D 86 12
+00 38 9A D3 02 53 3C 00 86 12 00 34 60 D3 03 30
+3E 3D 86 12 00 30 AE D3 02 30 3C 00 86 12 00 30
+00 00 02 55 3C 00 86 12 00 2C C2 D3 03 55 3E 3D
+86 12 00 28 B8 D3 03 30 3C 3E 86 12 00 24 D6 D3
+02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
+C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D CC D3
+04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
+30 4D 5C D2 04 45 4C 53 45 00 1A 42 C6 1D BA 40
+00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
+70 D3 05 42 45 47 49 4E 30 40 28 C2 00 D4 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 C6 1D 30 4D E0 D2 05 41 47 41
+49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
+4C 45 0D 12 84 12 EE D3 BA C5 60 C6 A4 D3 06 52
+45 50 45 41 54 00 0D 12 84 12 82 D4 06 D4 60 C6
+B2 D4 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
+98 42 C6 1D 00 00 30 4D 42 D4 03 42 57 31 85 12
+B0 D4 00 00 CA D4 03 42 57 32 85 12 B0 D4 00 00
+D6 D4 03 42 57 33 85 12 B0 D4 00 00 EE D4 3D 41
+1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
+A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
+57 31 85 12 EC D4 00 00 0E D5 03 46 57 32 85 12
+EC D4 00 00 1A D5 03 46 57 33 85 12 EC D4 00 00
+26 D5 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
+00 3C 0D 12 84 12 92 CA EE C9 60 C6 00 00 05 3F
+47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
+00 10 EF 27 3E E0 00 08 EC 3F 14 CA B6 CF 70 D5
+92 53 C4 1D 3E 40 2C 00 84 12 2C C7 50 C8 34 C2
+12 CA 4C D1 86 D5 0A 4E 3E 4F 1A 83 F7 32 29 4E
+59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
+10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
+A6 3E 9E D4 04 52 52 43 4D 00 85 12 6A D5 50 00
+B4 D5 04 52 52 41 4D 00 85 12 6A D5 50 01 C2 D5
+04 52 4C 41 4D 00 85 12 6A D5 50 02 D0 D5 04 52
+52 55 4D 00 85 12 6A D5 50 03 E0 D3 05 50 55 53
+48 4D 85 12 6A D5 00 15 EC D5 04 50 4F 50 4D 00
+85 12 6A D5 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
-94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 22 CF
+94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 1A CF
 q
index e1b05ab..1504ea3 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF 02 D6 08 CE 33 01
-10 00 81 86 B4 C3 AA C2 B6 C3 8A C3 80 C4 02 D6
-08 CE 6E C4 90 C5 FC C4 D8 C4 3C 1D 5E C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 26 CA 40 CA 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF EA D5 F0 CD 34 01
+10 00 41 87 B6 C3 AA C2 B8 C3 8C C3 82 C4 EA D5
+F0 CD 70 C4 80 C5 FE C4 DA C4 3C 1D 4E C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 B6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
-B2 49 6C C4 B2 49 8E C5 B2 49 FA C4 B2 49 D6 C4
+B2 49 6E C4 B2 49 7E C5 B2 49 FC C4 B2 49 D8 C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D4 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 C3 B0 12 F8 C2
-0A C2 DE 1D DE C5 14 C5 48 C5 34 C2 26 CA 14 C2
-05 1B 5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6
-A6 C5 34 C2 7C C3 14 C2 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A C5 9E C6 5A C5 14 C2
-04 1B 5B 30 6D 00 5A C5 26 CA 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 C3 D2 B3 21 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC C3 04 57 41 52 4D 00 B0 12 8A C3
-78 40 03 00 B0 12 B8 C3 84 12 14 C2 07 0D 0A 1B
-5B 37 6D 40 5A C5 0A C2 02 18 DE C5 D4 C6 0A C2
-23 00 F8 C4 D4 C6 14 C2 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A C5 0A C2 40 FF 28 C2 D2 C5 9E C6 14 C2
-0A 62 79 74 65 73 20 66 72 65 65 00 3A C2 7C C3
-00 00 06 41 43 43 45 50 54 00 30 40 6E C4 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B9 22 3A 17
-92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 C3
-82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 C4 2F 83 8F 4E 00 00 58 43
-B0 12 B8 C3 92 B3 6C 06 FD 27 1E 42 4C 06 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC C4 08 4E 3E 4F
-A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F2 C4 04 45
-43 48 4F 00 B2 40 C2 48 06 C5 82 43 DE 1D 38 40
-05 00 B0 12 B8 C3 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 C5 92 43 DE 1D 28 42 F1 3F
-2A C5 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA C4 78 C5 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA C3 02 43 52 00 30 40 90 C5
-0D 12 84 12 14 C2 02 0D 0A 00 5A C5 5E C6 2F 83
+B2 49 DC 1D 3D 41 30 40 BC CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA C3 0E 12 B0 12
+F8 C2 0A C2 DE 1D CE C5 16 C5 EE C2 34 C2 8A C3
+14 C2 05 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5
+C4 C6 96 C5 34 C2 7E C3 14 C2 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A C5 8E C6 4A C5
+14 C2 04 1B 5B 30 6D 00 4A C5 16 CA 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 C3 D2 B3 21 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE C3 04 57 41 52 4D 00 B0 12
+8C C3 78 40 03 00 B0 12 BA C3 84 12 14 C2 07 0D
+0A 1B 5B 37 6D 40 4A C5 0A C2 02 18 CE C5 C4 C6
+0A C2 23 00 FA C4 C4 C6 14 C2 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A C5 0A C2 40 FF 28 C2 C2 C5 8E C6
+14 C2 0A 62 79 74 65 73 20 66 72 65 65 00 3A C2
+7E C3 00 00 06 41 43 43 45 50 54 00 30 40 70 C4
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
+3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 C3 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA C4 2F 83 8F 4E 00 00
+58 43 B0 12 BA C3 92 B3 6C 06 FD 27 1E 42 4C 06
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE C4 08 4E
+3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 C4
+04 45 43 48 4F 00 B2 40 C2 48 08 C5 82 43 DE 1D
+38 40 05 00 B0 12 BA C3 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 C5 92 43 DE 1D 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 C5 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC C4 68 C5 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC C3 02 43 52 00 30 40 80 C5
+0D 12 84 12 14 C2 02 0D 0A 00 4A C5 4E C6 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 C4 01 40 2E 4E
-30 4D DC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 C4 01 40 2E 4E
+30 4D CC C5 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D 88 C5 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D 78 C5 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E C2 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 18 C6 02 23 53 00 0D 12 84 12
-1A C6 54 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 08 C6 02 23 53 00 0D 12 84 12
+0A C6 44 C6 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 C6 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 C5 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 C5 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E C6
-4C C6 EE C2 8C C6 68 C6 5A C5 22 CA F8 C4 5E C6
-42 C5 01 2E 0E 93 E3 37 38 43 E2 3F 86 C6 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 58 C9
-0A C2 22 00 2A C7 F8 C6 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D D2 C6
-82 2E 22 00 0D 12 84 12 E2 C6 0A C2 5A C5 58 C9
-5E C6 F6 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE C5
+3C C6 EE C2 7C C6 58 C6 4A C5 02 CA FA C4 4E C6
+2C C5 01 2E 0E 93 E3 37 38 43 E2 3F 76 C6 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2 48 C9
+0A C2 22 00 1A C7 E8 C6 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D C2 C6
+82 2E 22 00 0D 12 84 12 D2 C6 0A C2 4A C5 48 C9
+4E C6 F8 C3 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AC C8 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9C C8 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE C8 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E C8 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,215 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D E4 C5 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D 56 C9 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D 46 C9 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 C6 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC C2 22 CA 2A C7 DE C9 94 26
-3D 40 E6 C9 D6 3E E8 C9 0A 4E 3E 4F 3D 40 02 CA
-2E 27 3D 40 D8 C9 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 04 CA 3E 4F 3D 40 D8 C9 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 CB CC 3F
-0C CA 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8C C5 BC C2
-D2 C9 C2 C5 F4 C5 14 C2 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 FC C5
+54 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 C6 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC C2 02 CA 1A C7 BE C9 9C 26
+3D 40 C6 C9 DE 3E C8 C9 0A 4E 3E 4F 3D 40 E2 C9
+36 27 3D 40 B8 C9 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 E4 C9 3E 4F 3D 40 B8 C9 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 CB CC 3F
+EC C9 86 12 20 00 D4 C5 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7C C5 BC C2
+B2 C9 B2 C5 E4 C5 14 C2 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2 EC C5
 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A C3
-3A C2 3C CA 6A C9 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 C6 0A C2 2A C3 58 C9 5E C6 8C C7 01 27
-0D 12 84 12 22 CA 2A C7 92 C7 34 C2 20 CA 5E C6
-00 00 83 5B 27 5D 0D 12 84 12 90 CA 0A C2 0A C2
-58 C9 58 C9 5E C6 A2 CA 81 5B 82 43 BE 1D 30 4D
-0A C6 01 5D B2 43 BE 1D 30 4D C2 CA 81 5C 92 42
+3A C2 2C CA 08 CA 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 C6 0A C2 2A C3 48 C9 4E C6 7C C7 01 27
+0D 12 84 12 02 CA 1A C7 82 C7 34 C2 00 CA 4E C6
+00 00 83 5B 27 5D 0D 12 84 12 80 CA 0A C2 0A C2
+48 C9 48 C9 4E C6 92 CA 81 5B 82 43 BE 1D 30 4D
+FA C5 01 5D B2 43 BE 1D 30 4D B2 CA 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 CA 2A C7 92 C7 A6 C5 34 C2
-20 CA F4 C5 34 C2 04 CB 0A C2 0A C2 58 C9 58 C9
-0A C2 58 C9 58 C9 5E C6 B8 CA 01 3A 30 12 54 CB
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 22 CA 2A C7
-22 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 CA 1A C7 82 C7 96 C5 34 C2
+00 CA E4 C5 34 C2 F4 CA 0A C2 0A C2 48 C9 48 C9
+0A C2 48 C9 48 C9 4E C6 A8 CA 01 3A 30 12 44 CB
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 02 CA 1A C7
+12 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 C3 0A CB 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A C2 5E C6 58 C9 66 CB BA CA 5E C6
-C8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D B2 C9 06 43 52 45 41 54
-45 00 B0 12 10 CB BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA CB 04 43 4F 44 45 00 B0 12 10 CB A2 82
-C6 1D 0D 12 84 12 0A CE E4 CD 5E C6 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 CB 24 CE 5E C6
-76 CA 03 41 53 4D B2 40 E8 CD DA 1D E6 3F FE CB
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 CC 42 CE
-5E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 24 CE 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F B2 CB 85 48 49 32 4C 4F
-0D 12 84 12 28 C2 B2 CD 58 C9 BA CA F2 CB 5E C6
-98 CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 CC
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2 00 00
-D6 C5 22 CA 2A C7 B8 C9 9E C5 34 C2 1A CD AC C5
-14 C2 06 5B 54 48 45 4E 5D 00 8C CC F4 CC B0 CC
-D2 CC 5E C6 AC C5 14 C2 06 5B 45 4C 53 45 5D 00
-8C CC 0A CD B0 CC D0 CC 5E C6 14 C2 04 5B 49 46
-5D 00 8C CC D2 CC 3A C2 D0 CC 80 C5 14 C2 05 0D
-0A 6B 6F 20 5A C5 BC C2 AC C2 3A C2 D2 CC C0 CC
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 CD 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 CA 2A C7 92 C7 3E CD 5E C6 44 CD 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E CD
-EE C5 5E C6 76 CD B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A C9 06 4D 41 52 4B 45 52 00 B0 12
-10 CB BA 40 85 12 FC FF BA 40 74 CD FE FF 28 83
-8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00 C6 1D
-DD 3E 2E 53 30 4D 0A C2 CA 1D E6 C5 5E C6 85 12
-B6 CD 12 CC E4 CB 0E C5 8E CA 6A CC D0 C4 86 CD
-10 C7 AE CE C2 CE 9A C6 24 C7 00 00 5E CD CC CA
-F2 C7 00 00 85 12 B6 CD 78 D4 DE D4 20 D4 2E D5
-E6 D3 00 00 B2 D1 00 00 F6 D5 DA D5 4A D4 88 D4
-C2 D2 00 00 00 00 4A D5 E2 CD 3A 40 0C 00 39 40
-D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 1D
-30 4D 92 42 CA 1D DA 1D 30 4D BE CD 3C CE 42 CE
-52 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E C6 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 CA 09 50 57 52 5F 53 54 41
-54 45 85 12 4A CE 02 D6 DE C6 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 CE F3 3F 88 CE 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 96 CE 30 4D
-9A CE 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 CF FE FF 29 83 B9 40 E0 C3 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 C3 B2 49 FA C2 B2 49
-02 C2 B2 49 FE C3 B2 49 EE FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04 29 83
-89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02 B2 40
-EF 7F 02 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3
-26 03 F2 40 F0 00 22 03 F2 40 A5 00 61 01 82 43
-66 01 B2 40 33 00 64 01 D2 43 61 01 39 40 40 00
-18 42 00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
-92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04
-1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 C2
-FC C3 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52
-C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
-82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12
-2A C7 92 C7 EE C5 34 C2 F2 CF 4E C8 34 C2 0C D0
-06 D0 F4 CF 3C 4E 3C 80 87 12 05 24 1C 53 02 20
-2E 4E 01 3C 2E 83 21 52 1B 17 30 41 0E D0 B2 41
-C4 1D 3E 41 84 12 0A C2 2B 00 2A C7 92 C7 EE C5
-34 C2 2A D0 4E C8 34 C2 20 CA B8 C5 2A C7 4E C8
-34 C2 20 CA 36 D0 3E 5F E7 3F 3E 40 28 00 B0 12
-D6 CF 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40
-29 00 92 92 C0 1D C4 1D 02 20 30 40 7E CB 1C 15
-12 12 C4 1D 92 53 C4 1D 84 12 2A C7 4E C8 34 C2
-7E D0 74 D0 21 53 3E 90 10 00 C6 2B 7F 2D 80 D0
-B2 41 C4 1D C1 3F 0D 12 84 12 22 CA B2 CF 90 D0
-0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90
-23 00 27 20 92 53 C4 1D B0 12 D6 CF 3C 40 00 03
-0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
-2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
-3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
-19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41
-30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D
-B0 12 D6 CF ED 3F 7A 90 40 00 16 20 3C 40 20 00
-92 53 C4 1D B0 12 5E D0 0C 20 3C 50 10 00 3E 40
-2B 00 B0 12 5E D0 92 92 C0 1D C4 1D 02 24 92 53
-C4 1D 8E 10 0C 5E DA 3F B0 12 5E D0 FA 23 3C 50
-10 00 B0 12 3A D0 EF 3F 0C 43 1B 42 C6 1D A2 53
-C6 1D 0D 12 84 12 22 CA B2 CF 5C D1 FE 90 26 00
-00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
-5E D0 E0 23 3C 50 80 00 B0 12 3A D0 DB 3F 00 00
-04 52 45 54 49 00 0D 12 84 12 0A C2 00 13 58 C9
-5E C6 0A C2 2C 00 86 D0 52 D1 9C D1 09 4B 2E 4E
-0E DC A2 3F 58 CC 03 4D 4F 56 85 12 92 D1 00 40
-A6 D1 05 4D 4F 56 2E 42 85 12 92 D1 40 40 00 00
-03 41 44 44 85 12 92 D1 00 50 C0 D1 05 41 44 44
-2E 42 85 12 92 D1 40 50 CC D1 04 41 44 44 43 00
-85 12 92 D1 00 60 DA D1 06 41 44 44 43 2E 42 00
-85 12 92 D1 40 60 80 D1 04 53 55 42 43 00 85 12
-92 D1 00 70 F8 D1 06 53 55 42 43 2E 42 00 85 12
-92 D1 40 70 06 D2 03 53 55 42 85 12 92 D1 00 80
-16 D2 05 53 55 42 2E 42 85 12 92 D1 40 80 34 CC
-03 43 4D 50 85 12 92 D1 00 90 30 D2 05 43 4D 50
-2E 42 85 12 92 D1 40 90 20 CC 04 44 41 44 44 00
-85 12 92 D1 00 A0 4A D2 06 44 41 44 44 2E 42 00
-85 12 92 D1 40 A0 3C D2 03 42 49 54 85 12 92 D1
-00 B0 68 D2 05 42 49 54 2E 42 85 12 92 D1 40 B0
-74 D2 03 42 49 43 85 12 92 D1 00 C0 82 D2 05 42
-49 43 2E 42 85 12 92 D1 40 C0 8E D2 03 42 49 53
-85 12 92 D1 00 D0 9C D2 05 42 49 53 2E 42 85 12
-92 D1 40 D0 00 00 03 58 4F 52 85 12 92 D1 00 E0
-B6 D2 05 58 4F 52 2E 42 85 12 92 D1 40 E0 E8 D1
-03 41 4E 44 85 12 92 D1 00 F0 D0 D2 05 41 4E 44
-2E 42 85 12 92 D1 40 F0 22 CA 86 D0 EE D2 0A 4C
-3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 22 D2
-03 52 52 43 85 12 E8 D2 00 10 00 D3 05 52 52 43
-2E 42 85 12 E8 D2 40 10 0C D3 04 53 57 50 42 00
-85 12 E8 D2 80 10 1A D3 03 52 52 41 85 12 E8 D2
-00 11 28 D3 05 52 52 41 2E 42 85 12 E8 D2 40 11
-34 D3 03 53 58 54 85 12 E8 D2 80 11 00 00 04 50
-55 53 48 00 85 12 E8 D2 00 12 4E D3 06 50 55 53
-48 2E 42 00 85 12 E8 D2 40 12 A8 D2 04 43 41 4C
-4C 00 85 12 E8 D2 80 12 1A 53 0E 4A 0D 12 84 12
-D4 C6 14 C2 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 36 C3 42 D3 03 53 3E 3D 86 12 00 38 96 D3
-02 53 3C 00 86 12 00 34 5C D3 03 30 3E 3D 86 12
-00 30 AA D3 02 30 3C 00 86 12 00 30 00 00 02 55
-3C 00 86 12 00 2C BE D3 03 55 3E 3D 86 12 00 28
-B4 D3 03 30 3C 3E 86 12 00 24 D2 D3 02 30 3D 00
-86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 0E 4A 30 4D C8 D3 04 54 48 45
-4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89
-0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 58 D2
-04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00
-A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 6C D3 05 42
-45 47 49 4E 30 40 28 C2 FC D3 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 C6 1D 30 4D DC D2 05 41 47 41 49 4E 0A 4E
-38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
-84 12 EA D3 B8 C5 5E C6 A0 D3 06 52 45 50 45 41
-54 00 0D 12 84 12 7E D4 02 D4 5E C6 AE D4 3D 41
-08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D
-00 00 30 4D 3E D4 03 42 57 31 85 12 AC D4 00 00
-C6 D4 03 42 57 32 85 12 AC D4 00 00 D2 D4 03 42
-57 33 85 12 AC D4 00 00 EA D4 3D 41 1A 42 C6 1D
-28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D
-8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
-E8 D4 00 00 0A D5 03 46 57 32 85 12 E8 D4 00 00
-16 D5 03 46 57 33 85 12 E8 D4 00 00 22 D5 04 47
-4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
-84 12 90 CA FC C9 5E C6 00 00 05 3F 47 4F 54 4F
-3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
-3E E0 00 08 EC 3F 22 CA B2 CF 6C D5 92 53 C4 1D
-3E 40 2C 00 84 12 2A C7 4E C8 34 C2 20 CA 48 D1
-82 D5 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
-08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
-5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 9A D4
-04 52 52 43 4D 00 85 12 66 D5 50 00 B0 D5 04 52
-52 41 4D 00 85 12 66 D5 50 01 BE D5 04 52 4C 41
-4D 00 85 12 66 D5 50 02 CC D5 04 52 52 55 4D 00
-85 12 66 D5 50 03 DC D3 05 50 55 53 48 4D 85 12
-66 D5 00 15 E8 D5 04 50 4F 50 4D 00 85 12 66 D5
-00 17
+74 63 68 21 36 C3 FA CA 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A C2 4E C6 48 C9 56 CB AA CA 4E C6
+A8 C9 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D 92 C9 06 43 52 45 41 54
+45 00 B0 12 00 CB BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA CB 04 43 4F 44 45 00 B0 12 00 CB A2 82
+C6 1D 0D 12 84 12 F2 CD CC CD 4E C6 A2 CB 07 48
+44 4E 43 4F 44 45 B2 40 D0 CD DA 1D EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 CB 0C CE
+2A CE 4E C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 0C CE 2A CE 4E C6 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+EE CB 85 48 49 32 4C 4F 0D 12 84 12 28 C2 9A CD
+48 C9 AA CA E2 CB 4E C6 88 CB 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A CC 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A C2 00 00 C6 C5 02 CA 1A C7 98 C9
+8E C5 34 C2 02 CD 9C C5 14 C2 06 5B 54 48 45 4E
+5D 00 74 CC DC CC 98 CC BA CC 4E C6 9C C5 14 C2
+06 5B 45 4C 53 45 5D 00 74 CC F2 CC 98 CC B8 CC
+4E C6 14 C2 04 5B 49 46 5D 00 74 CC BA CC 3A C2
+B8 CC 70 C5 14 C2 05 0D 0A 6B 6F 20 4A C5 BC C2
+AC C2 3A C2 BA CC A8 CC 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 CD 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 CA 1A C7 82 C7
+26 CD 4E C6 2C CD 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 CD DE C5 4E C6 5E CD B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A C9 06 4D
+41 52 4B 45 52 00 B0 12 00 CB BA 40 85 12 FC FF
+BA 40 5C CD FE FF 28 83 8A 48 00 00 BA 40 AA C2
+04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D 0A C2
+CA 1D D6 C5 4E C6 85 12 9E CD 66 CA D4 CB 10 C5
+7E CA 52 CC D2 C4 6E CD 00 C7 96 CE AA CE 8A C6
+14 C7 00 00 46 CD BC CA E2 C7 00 00 85 12 9E CD
+60 D4 C6 D4 08 D4 16 D5 CE D3 00 00 9A D1 00 00
+DE D5 C2 D5 32 D4 70 D4 AA D2 00 00 00 00 32 D5
+CA CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D DA 1D
+30 4D A6 CD 24 CE 2A CE 3A CE 1A 42 20 18 82 4A
+C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 CA
+09 50 57 52 5F 53 54 41 54 45 85 12 32 CE EA D5
+CE C6 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E CE F3 3F 70 CE 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 7E CE 30 4D 82 CE 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 CF FE FF 29 83 B9 40
+E2 C3 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 C3 B2 49 FA C2 B2 49 02 C2 B2 49 00 C4 B2 49
+EE FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 04 29 83 89 43 00 1C FC 23 92 D3
+30 01 B2 43 06 02 B2 40 EF 7F 02 02 B2 43 26 02
+B2 D0 08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03
+F2 40 A5 00 61 01 82 43 66 01 B2 40 33 00 64 01
+D2 43 61 01 39 40 40 00 18 42 00 18 18 83 FE 23
+19 83 FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00
+2A 03 F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18
+1E D2 9E 01 B0 12 F8 C2 FE C3 38 40 C0 1D 0A 4E
+39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E
+FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15
+0E 12 12 12 C4 1D 84 12 1A C7 82 C7 DE C5 34 C2
+DA CF 3E C8 34 C2 F4 CF EE CF DC CF 3C 4E 3C 80
+87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
+1B 17 30 41 F6 CF B2 41 C4 1D 3E 41 84 12 0A C2
+2B 00 1A C7 82 C7 DE C5 34 C2 12 D0 3E C8 34 C2
+00 CA A8 C5 1A C7 3E C8 34 C2 00 CA 1E D0 3E 5F
+E7 3F 3E 40 28 00 B0 12 BE CF 19 42 C6 1D A2 53
+C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D
+02 20 30 40 6E CB 1C 15 12 12 C4 1D 92 53 C4 1D
+84 12 1A C7 3E C8 34 C2 66 D0 5C D0 21 53 3E 90
+10 00 C6 2B 7F 2D 68 D0 B2 41 C4 1D C1 3F 0D 12
+84 12 02 CA 9A CF 78 D0 0C 43 1B 42 C6 1D A2 53
+C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D
+B0 12 BE CF 3C 40 00 03 0E 93 1C 24 3C 40 10 03
+1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
+2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
+3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D
+89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
+3C 40 10 02 92 53 C4 1D B0 12 BE CF ED 3F 7A 90
+40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12 46 D0
+0C 20 3C 50 10 00 3E 40 2B 00 B0 12 46 D0 92 92
+C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F
+B0 12 46 D0 FA 23 3C 50 10 00 B0 12 22 D0 EF 3F
+0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 02 CA
+9A CF 44 D1 FE 90 26 00 00 00 3E 40 20 00 03 20
+3C 50 82 00 C7 3F B0 12 46 D0 E0 23 3C 50 80 00
+B0 12 22 D0 DB 3F 00 00 04 52 45 54 49 00 0D 12
+84 12 0A C2 00 13 48 C9 4E C6 0A C2 2C 00 6E D0
+3A D1 84 D1 09 4B 2E 4E 0E DC A2 3F 40 CC 03 4D
+4F 56 85 12 7A D1 00 40 8E D1 05 4D 4F 56 2E 42
+85 12 7A D1 40 40 00 00 03 41 44 44 85 12 7A D1
+00 50 A8 D1 05 41 44 44 2E 42 85 12 7A D1 40 50
+B4 D1 04 41 44 44 43 00 85 12 7A D1 00 60 C2 D1
+06 41 44 44 43 2E 42 00 85 12 7A D1 40 60 68 D1
+04 53 55 42 43 00 85 12 7A D1 00 70 E0 D1 06 53
+55 42 43 2E 42 00 85 12 7A D1 40 70 EE D1 03 53
+55 42 85 12 7A D1 00 80 FE D1 05 53 55 42 2E 42
+85 12 7A D1 40 80 16 CC 03 43 4D 50 85 12 7A D1
+00 90 18 D2 05 43 4D 50 2E 42 85 12 7A D1 40 90
+00 CC 04 44 41 44 44 00 85 12 7A D1 00 A0 32 D2
+06 44 41 44 44 2E 42 00 85 12 7A D1 40 A0 24 D2
+03 42 49 54 85 12 7A D1 00 B0 50 D2 05 42 49 54
+2E 42 85 12 7A D1 40 B0 5C D2 03 42 49 43 85 12
+7A D1 00 C0 6A D2 05 42 49 43 2E 42 85 12 7A D1
+40 C0 76 D2 03 42 49 53 85 12 7A D1 00 D0 84 D2
+05 42 49 53 2E 42 85 12 7A D1 40 D0 00 00 03 58
+4F 52 85 12 7A D1 00 E0 9E D2 05 58 4F 52 2E 42
+85 12 7A D1 40 E0 D0 D1 03 41 4E 44 85 12 7A D1
+00 F0 B8 D2 05 41 4E 44 2E 42 85 12 7A D1 40 F0
+02 CA 6E D0 D6 D2 0A 4C 3C F0 70 00 8A 10 3A F0
+0F 00 0C DA 4F 3F 0A D2 03 52 52 43 85 12 D0 D2
+00 10 E8 D2 05 52 52 43 2E 42 85 12 D0 D2 40 10
+F4 D2 04 53 57 50 42 00 85 12 D0 D2 80 10 02 D3
+03 52 52 41 85 12 D0 D2 00 11 10 D3 05 52 52 41
+2E 42 85 12 D0 D2 40 11 1C D3 03 53 58 54 85 12
+D0 D2 80 11 00 00 04 50 55 53 48 00 85 12 D0 D2
+00 12 36 D3 06 50 55 53 48 2E 42 00 85 12 D0 D2
+40 12 90 D2 04 43 41 4C 4C 00 85 12 D0 D2 80 12
+1A 53 0E 4A 0D 12 84 12 C4 C6 14 C2 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 36 C3 2A D3 03 53
+3E 3D 86 12 00 38 7E D3 02 53 3C 00 86 12 00 34
+44 D3 03 30 3E 3D 86 12 00 30 92 D3 02 30 3C 00
+86 12 00 30 00 00 02 55 3C 00 86 12 00 2C A6 D3
+03 55 3E 3D 86 12 00 28 9C D3 03 30 3C 3E 86 12
+00 24 BA D3 02 30 3D 00 86 12 00 20 00 00 02 49
+46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A
+30 4D B0 D3 04 54 48 45 4E 00 1A 42 C6 1D 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
+88 DA 00 00 30 4D 40 D2 04 45 4C 53 45 00 1A 42
+C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A
+00 00 E3 3F 54 D3 05 42 45 47 49 4E 30 40 28 C2
+E4 D3 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D C4 D2
+05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
+05 57 48 49 4C 45 0D 12 84 12 D2 D3 A8 C5 4E C6
+88 D3 06 52 45 50 45 41 54 00 0D 12 84 12 66 D4
+EA D3 4E C6 96 D4 3D 41 08 4E 3E 4F 2A 48 B2 92
+C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 26 D4 03 42
+57 31 85 12 94 D4 00 00 AE D4 03 42 57 32 85 12
+94 D4 00 00 BA D4 03 42 57 33 85 12 94 D4 00 00
+D2 D4 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B
+BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D
+00 00 03 46 57 31 85 12 D0 D4 00 00 F2 D4 03 46
+57 32 85 12 D0 D4 00 00 FE D4 03 46 57 33 85 12
+D0 D4 00 00 0A D5 04 47 4F 54 4F 00 2F 83 8F 4E
+00 00 3E 40 00 3C 0D 12 84 12 80 CA DC C9 4E C6
+00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
+00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 02 CA
+9A CF 54 D5 92 53 C4 1D 3E 40 2C 00 84 12 1A C7
+3E C8 34 C2 00 CA 30 D1 6A D5 0A 4E 3E 4F 1A 83
+F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
+08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
+8A 10 5A 06 A6 3E 82 D4 04 52 52 43 4D 00 85 12
+4E D5 50 00 98 D5 04 52 52 41 4D 00 85 12 4E D5
+50 01 A6 D5 04 52 4C 41 4D 00 85 12 4E D5 50 02
+B4 D5 04 52 52 55 4D 00 85 12 4E D5 50 03 C4 D3
+05 50 55 53 48 4D 85 12 4E D5 00 15 D0 D5 04 50
+4F 50 4D 00 85 12 4E D5 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 80 C4
-E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 E0 C3 20 CF
+77 00 10 00 12 00 14 00 16 00 00 00 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 82 C4
+E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 E2 C3 08 CF
 q
index b390fae..d16a623 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF 08 D6 0A CE 33 01
-10 00 81 B6 94 C3 AA C2 C6 C3 9C C3 94 C4 08 D6
-0A CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
-E2 C2 EE C2 20 00 0A 00 28 CA 42 CA 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF 00 D6 02 CE 34 01
+10 00 41 B3 94 C3 AA C2 DA C3 9C C3 94 C4 00 D6
+02 CE 7A C4 92 C5 24 C5 FE C4 3C 1D 60 C6 D4 C2
+E2 C2 EE C2 20 00 0A 00 00 00 00 00 00 00 00 00
 @C200
-B0 12 C6 C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA C3 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA C2 39 40 22 18
 B2 49 78 C4 B2 49 90 C5 B2 49 22 C5 B2 49 FC C4
 B2 49 CA C2 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 CE 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 C3 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 CE CE 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA C3 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 C2 0A C2 DE 1D E0 C5 32 C5
 14 C2 04 1B 5B 37 6D 00 5C C5 A8 C5 34 C2 86 C3
@@ -52,7 +52,7 @@ C2 48 CE 05 30 4D C8 C4 2D 83 92 B3 DC 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 DC 05
 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53 DE 1D
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE C4 2F 83
-8F 4E 00 00 B0 12 C6 C3 92 B3 DC 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA C3 92 B3 DC 05 FD 27 1E 42
 CC 05 B0 12 C8 C3 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 C5 08 4E 3E 4F C8 3F 1A C5 04 45 43 48
 4F 00 B2 40 C2 48 C0 C4 82 43 DE 1D 30 4D 00 00
@@ -80,7 +80,7 @@ B2 1D C8 4A 00 00 30 4D 1A C6 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 C5 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 24 CA 20 C5
+10 C6 4E C6 EE C2 8E C6 6A C6 5C C5 14 CA 20 C5
 60 C6 40 C5 01 2E 0E 93 E3 37 38 43 E2 3F 88 C6
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A C2 14 C2
 5A C9 0A C2 22 00 2C C7 FA C6 B2 40 20 00 B4 1D
@@ -122,34 +122,34 @@ B0 12 66 C2 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 C5 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 58 C9 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A C2 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 C6 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A C6 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC C2 24 CA 2C C7 E0 C9
-94 26 3D 40 E8 C9 D6 3E EA C9 0A 4E 3E 4F 3D 40
-04 CA 2E 27 3D 40 DA C9 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 CA 3E 4F 3D 40 DA C9 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC C2 14 CA 2C C7 D0 C9
+9C 26 3D 40 D8 C9 DE 3E DA C9 0A 4E 3E 4F 3D 40
+F4 C9 36 27 3D 40 CA C9 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 F6 C9 3E 4F 3D 40 CA C9 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 CB
-CC 3F 0E CA 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F FE C9 86 12 20 00 E6 C5 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E C5
-BC C2 D4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
+BC C2 C4 C9 C4 C5 F6 C5 14 C2 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A C3 0A C2 40 FF 28 C2
 FE C5 14 C2 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A C3 3A C2 3E CA 6C C9 86 41 42 4F 52 54 22 00
+2A C3 3A C2 3E CA 1A CA 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 C6 0A C2 2A C3 5A C9 60 C6 8E C7
-01 27 0D 12 84 12 24 CA 2C C7 94 C7 34 C2 22 CA
+01 27 0D 12 84 12 14 CA 2C C7 94 C7 34 C2 12 CA
 60 C6 00 00 83 5B 27 5D 0D 12 84 12 92 CA 0A C2
 0A C2 5A C9 5A C9 60 C6 A4 CA 81 5B 82 43 BE 1D
 30 4D 0C C6 01 5D B2 43 BE 1D 30 4D C4 CA 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 CA 2C C7 94 C7 A8 C5
-34 C2 22 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
+4F 4E 45 00 0D 12 84 12 14 CA 2C C7 94 C7 A8 C5
+34 C2 12 CA F6 C5 34 C2 06 CB 0A C2 0A C2 5A C9
 5A C9 0A C2 5A C9 5A C9 60 C6 BA CA 01 3A 30 12
-56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 CA
+56 CB 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 14 CA
 2C C7 24 CB 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -159,172 +159,171 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 C2 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 C3 0C CB 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A C2 60 C6 5A C9 68 CB BC CA
-60 C6 CA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 C9 06 43 52 45
+60 C6 BA C9 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D A4 C9 06 43 52 45
 41 54 45 00 B0 12 12 CB BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC CB 04 43 4F 44 45 00 B0 12 12 CB
-A2 82 C6 1D 0D 12 84 12 0C CE E6 CD 60 C6 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB 26 CE
-60 C6 78 CA 03 41 53 4D B2 40 EA CD DA 1D E6 3F
-00 CC 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 CC
-44 CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 CE 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 CB 85 48 49 32
-4C 4F 0D 12 84 12 28 C2 B4 CD 5A C9 BC CA F4 CB
-60 C6 9A CB 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 CC 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A C2
-00 00 D8 C5 24 CA 2C C7 BA C9 A0 C5 34 C2 1C CD
-AE C5 14 C2 06 5B 54 48 45 4E 5D 00 8E CC F6 CC
-B2 CC D4 CC 60 C6 AE C5 14 C2 06 5B 45 4C 53 45
-5D 00 8E CC 0C CD B2 CC D2 CC 60 C6 14 C2 04 5B
-49 46 5D 00 8E CC D4 CC 3A C2 D2 CC 82 C5 14 C2
-05 0D 0A 6B 6F 20 5C C5 BC C2 AC C2 3A C2 D4 CC
-C2 CC 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 CD 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 CA 2C C7 94 C7 40 CD 60 C6 46 CD
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 CD F0 C5 60 C6 78 CD B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C C9 06 4D 41 52 4B 45 52 00
-B0 12 12 CB BA 40 85 12 FC FF BA 40 76 CD FE FF
-28 83 8A 48 00 00 BA 40 AA C2 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A C2 CA 1D E8 C5 60 C6
-85 12 B8 CD 14 CC E6 CB 2C C5 90 CA 6C CC F6 C4
-88 CD 12 C7 B0 CE C4 CE 9C C6 26 C7 00 00 60 CD
-CE CA F4 C7 00 00 85 12 B8 CD 7E D4 E4 D4 26 D4
-34 D5 EC D3 00 00 B8 D1 00 00 FC D5 E0 D5 50 D4
-8E D4 C8 D2 00 00 00 00 50 D5 E4 CD 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 CD 3E CE
-44 CE 54 CE 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA CA 09 50 57 52 5F 53
-54 41 54 45 85 12 4C CE 08 D6 E0 C6 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 CE F3 3F 8A CE
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 CE
-30 4D 9C CE 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 CF FE FF 29 83 B9 40 02 C4 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 C4 B2 49 FA C2
-B2 49 02 C2 B2 49 20 C4 B2 49 F0 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 04
-29 83 89 43 00 1C FC 23 92 D3 30 01 B2 43 06 02
-B2 40 EF 7F 02 02 E2 D2 05 02 B2 43 26 02 B2 D0
-08 FF 22 02 F2 D3 26 03 F2 40 F0 00 22 03 F2 40
-A5 00 61 01 82 43 66 01 B2 40 33 00 64 01 D2 43
-61 01 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
-FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03
-F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2
-9E 01 B0 12 F8 C2 1E C4 38 40 C0 1D 0A 4E 39 48
-2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
-1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
-12 12 C4 1D 84 12 2C C7 94 C7 F0 C5 34 C2 F8 CF
-50 C8 34 C2 12 D0 0C D0 FA CF 3C 4E 3C 80 87 12
-05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
-30 41 14 D0 B2 41 C4 1D 3E 41 84 12 0A C2 2B 00
-2C C7 94 C7 F0 C5 34 C2 30 D0 50 C8 34 C2 22 CA
-BA C5 2C C7 50 C8 34 C2 22 CA 3C D0 3E 5F E7 3F
-3E 40 28 00 B0 12 DC CF 19 42 C6 1D A2 53 C6 1D
-89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20
-30 40 80 CB 1C 15 12 12 C4 1D 92 53 C4 1D 84 12
-2C C7 50 C8 34 C2 84 D0 7A D0 21 53 3E 90 10 00
-C6 2B 7F 2D 86 D0 B2 41 C4 1D C1 3F 0D 12 84 12
-24 CA B8 CF 96 D0 0C 43 1B 42 C6 1D A2 53 C6 1D
-6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12
-DC CF 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
-18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
-10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
-08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
-00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
-10 02 92 53 C4 1D B0 12 DC CF ED 3F 7A 90 40 00
-16 20 3C 40 20 00 92 53 C4 1D B0 12 64 D0 0C 20
-3C 50 10 00 3E 40 2B 00 B0 12 64 D0 92 92 C0 1D
-C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12
-64 D0 FA 23 3C 50 10 00 B0 12 40 D0 EF 3F 0C 43
-1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 24 CA B8 CF
-62 D1 FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
-82 00 C7 3F B0 12 64 D0 E0 23 3C 50 80 00 B0 12
-40 D0 DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
-0A C2 00 13 5A C9 60 C6 0A C2 2C 00 8C D0 58 D1
-A2 D1 09 4B 2E 4E 0E DC A2 3F 5A CC 03 4D 4F 56
-85 12 98 D1 00 40 AC D1 05 4D 4F 56 2E 42 85 12
-98 D1 40 40 00 00 03 41 44 44 85 12 98 D1 00 50
-C6 D1 05 41 44 44 2E 42 85 12 98 D1 40 50 D2 D1
-04 41 44 44 43 00 85 12 98 D1 00 60 E0 D1 06 41
-44 44 43 2E 42 00 85 12 98 D1 40 60 86 D1 04 53
-55 42 43 00 85 12 98 D1 00 70 FE D1 06 53 55 42
-43 2E 42 00 85 12 98 D1 40 70 0C D2 03 53 55 42
-85 12 98 D1 00 80 1C D2 05 53 55 42 2E 42 85 12
-98 D1 40 80 36 CC 03 43 4D 50 85 12 98 D1 00 90
-36 D2 05 43 4D 50 2E 42 85 12 98 D1 40 90 22 CC
-04 44 41 44 44 00 85 12 98 D1 00 A0 50 D2 06 44
-41 44 44 2E 42 00 85 12 98 D1 40 A0 42 D2 03 42
-49 54 85 12 98 D1 00 B0 6E D2 05 42 49 54 2E 42
-85 12 98 D1 40 B0 7A D2 03 42 49 43 85 12 98 D1
-00 C0 88 D2 05 42 49 43 2E 42 85 12 98 D1 40 C0
-94 D2 03 42 49 53 85 12 98 D1 00 D0 A2 D2 05 42
-49 53 2E 42 85 12 98 D1 40 D0 00 00 03 58 4F 52
-85 12 98 D1 00 E0 BC D2 05 58 4F 52 2E 42 85 12
-98 D1 40 E0 EE D1 03 41 4E 44 85 12 98 D1 00 F0
-D6 D2 05 41 4E 44 2E 42 85 12 98 D1 40 F0 24 CA
-8C D0 F4 D2 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
-0C DA 4F 3F 28 D2 03 52 52 43 85 12 EE D2 00 10
-06 D3 05 52 52 43 2E 42 85 12 EE D2 40 10 12 D3
-04 53 57 50 42 00 85 12 EE D2 80 10 20 D3 03 52
-52 41 85 12 EE D2 00 11 2E D3 05 52 52 41 2E 42
-85 12 EE D2 40 11 3A D3 03 53 58 54 85 12 EE D2
-80 11 00 00 04 50 55 53 48 00 85 12 EE D2 00 12
-54 D3 06 50 55 53 48 2E 42 00 85 12 EE D2 40 12
-AE D2 04 43 41 4C 4C 00 85 12 EE D2 80 12 1A 53
-0E 4A 0D 12 84 12 D6 C6 14 C2 0D 6F 75 74 20 6F
-66 20 62 6F 75 6E 64 73 36 C3 48 D3 03 53 3E 3D
-86 12 00 38 9C D3 02 53 3C 00 86 12 00 34 62 D3
-03 30 3E 3D 86 12 00 30 B0 D3 02 30 3C 00 86 12
-00 30 00 00 02 55 3C 00 86 12 00 2C C4 D3 03 55
-3E 3D 86 12 00 28 BA D3 03 30 3C 3E 86 12 00 24
-D8 D3 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
-1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D
-CE D3 04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
-00 00 30 4D 5E D2 04 45 4C 53 45 00 1A 42 C6 1D
-BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00
-E3 3F 72 D3 05 42 45 47 49 4E 30 40 28 C2 02 D4
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D
-2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 C6 1D 30 4D E2 D2 05 41
-47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
-48 49 4C 45 0D 12 84 12 F0 D3 BA C5 60 C6 A6 D3
-06 52 45 50 45 41 54 00 0D 12 84 12 84 D4 08 D4
-60 C6 B4 D4 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D
-CB 2F 98 42 C6 1D 00 00 30 4D 44 D4 03 42 57 31
-85 12 B2 D4 00 00 CC D4 03 42 57 32 85 12 B2 D4
-00 00 D8 D4 03 42 57 33 85 12 B2 D4 00 00 F0 D4
-3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F
-00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00
-03 46 57 31 85 12 EE D4 00 00 10 D5 03 46 57 32
-85 12 EE D4 00 00 1C D5 03 46 57 33 85 12 EE D4
-00 00 28 D5 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
-3E 40 00 3C 0D 12 84 12 92 CA FE C9 60 C6 00 00
-05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
-3E B0 00 10 EF 27 3E E0 00 08 EC 3F 24 CA B8 CF
-72 D5 92 53 C4 1D 3E 40 2C 00 84 12 2C C7 50 C8
-34 C2 22 CA 4E D1 88 D5 0A 4E 3E 4F 1A 83 F7 32
-29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
-38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
-5A 06 A6 3E A0 D4 04 52 52 43 4D 00 85 12 6C D5
-50 00 B6 D5 04 52 52 41 4D 00 85 12 6C D5 50 01
-C4 D5 04 52 4C 41 4D 00 85 12 6C D5 50 02 D2 D5
-04 52 52 55 4D 00 85 12 6C D5 50 03 E2 D3 05 50
-55 53 48 4D 85 12 6C D5 00 15 EE D5 04 50 4F 50
-4D 00 85 12 6C D5 00 17
+A2 82 C6 1D 0D 12 84 12 04 CE DE CD 60 C6 B4 CB
+07 48 44 4E 43 4F 44 45 B2 40 E2 CD DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 CB
+1E CE 3C CE 60 C6 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 1E CE 3C CE 60 C6
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F 00 CC 85 48 49 32 4C 4F 0D 12 84 12 28 C2
+AC CD 5A C9 BC CA F4 CB 60 C6 9A CB 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C CC 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A C2 00 00 D8 C5 14 CA 2C C7
+AA C9 A0 C5 34 C2 14 CD AE C5 14 C2 06 5B 54 48
+45 4E 5D 00 86 CC EE CC AA CC CC CC 60 C6 AE C5
+14 C2 06 5B 45 4C 53 45 5D 00 86 CC 04 CD AA CC
+CA CC 60 C6 14 C2 04 5B 49 46 5D 00 86 CC CC CC
+3A C2 CA CC 82 C5 14 C2 05 0D 0A 6B 6F 20 5C C5
+BC C2 AC C2 3A C2 CC CC BA CC 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A CD 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 CA 2C C7
+94 C7 38 CD 60 C6 3E CD 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 CD F0 C5 60 C6 70 CD
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C C9
+06 4D 41 52 4B 45 52 00 B0 12 12 CB BA 40 85 12
+FC FF BA 40 6E CD FE FF 28 83 8A 48 00 00 BA 40
+AA C2 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A C2 CA 1D E8 C5 60 C6 85 12 B0 CD 78 CA E6 CB
+2C C5 90 CA 64 CC F6 C4 80 CD 12 C7 A8 CE BC CE
+9C C6 26 C7 00 00 58 CD CE CA F4 C7 00 00 85 12
+B0 CD 76 D4 DC D4 1E D4 2C D5 E4 D3 00 00 B0 D1
+00 00 F4 D5 D8 D5 48 D4 86 D4 C0 D2 00 00 00 00
+48 D5 DC CD 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D B8 CD 36 CE 3C CE 4C CE 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA CA 09 50 57 52 5F 53 54 41 54 45 85 12 44 CE
+00 D6 E0 C6 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 CE F3 3F 82 CE 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 90 CE 30 4D 94 CE 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A CF FE FF 29 83
+B9 40 02 C4 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 C4 B2 49 FA C2 B2 49 02 C2 B2 49 20 C4
+B2 49 F0 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 04 29 83 89 43 00 1C FC 23
+92 D3 30 01 B2 43 06 02 B2 40 EF 7F 02 02 E2 D2
+05 02 B2 43 26 02 B2 D0 08 FF 22 02 F2 D3 26 03
+F2 40 F0 00 22 03 F2 40 A5 00 61 01 82 43 66 01
+B2 40 33 00 64 01 D2 43 61 01 39 40 40 00 18 42
+00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3
+B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42
+08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 C2 1E C4
+38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
+09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
+C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2C C7
+94 C7 F0 C5 34 C2 F0 CF 50 C8 34 C2 0A D0 04 D0
+F2 CF 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
+01 3C 2E 83 21 52 1B 17 30 41 0C D0 B2 41 C4 1D
+3E 41 84 12 0A C2 2B 00 2C C7 94 C7 F0 C5 34 C2
+28 D0 50 C8 34 C2 12 CA BA C5 2C C7 50 C8 34 C2
+12 CA 34 D0 3E 5F E7 3F 3E 40 28 00 B0 12 D4 CF
+19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00
+92 92 C0 1D C4 1D 02 20 30 40 80 CB 1C 15 12 12
+C4 1D 92 53 C4 1D 84 12 2C C7 50 C8 34 C2 7C D0
+72 D0 21 53 3E 90 10 00 C6 2B 7F 2D 7E D0 B2 41
+C4 1D C1 3F 0D 12 84 12 14 CA B0 CF 8E D0 0C 43
+1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00
+27 20 92 53 C4 1D B0 12 D4 CF 3C 40 00 03 0E 93
+1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
+14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
+0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
+C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
+7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12
+D4 CF ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
+C4 1D B0 12 5C D0 0C 20 3C 50 10 00 3E 40 2B 00
+B0 12 5C D0 92 92 C0 1D C4 1D 02 24 92 53 C4 1D
+8E 10 0C 5E DA 3F B0 12 5C D0 FA 23 3C 50 10 00
+B0 12 38 D0 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D
+0D 12 84 12 14 CA B0 CF 5A D1 FE 90 26 00 00 00
+3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 5C D0
+E0 23 3C 50 80 00 B0 12 38 D0 DB 3F 00 00 04 52
+45 54 49 00 0D 12 84 12 0A C2 00 13 5A C9 60 C6
+0A C2 2C 00 84 D0 50 D1 9A D1 09 4B 2E 4E 0E DC
+A2 3F 52 CC 03 4D 4F 56 85 12 90 D1 00 40 A4 D1
+05 4D 4F 56 2E 42 85 12 90 D1 40 40 00 00 03 41
+44 44 85 12 90 D1 00 50 BE D1 05 41 44 44 2E 42
+85 12 90 D1 40 50 CA D1 04 41 44 44 43 00 85 12
+90 D1 00 60 D8 D1 06 41 44 44 43 2E 42 00 85 12
+90 D1 40 60 7E D1 04 53 55 42 43 00 85 12 90 D1
+00 70 F6 D1 06 53 55 42 43 2E 42 00 85 12 90 D1
+40 70 04 D2 03 53 55 42 85 12 90 D1 00 80 14 D2
+05 53 55 42 2E 42 85 12 90 D1 40 80 28 CC 03 43
+4D 50 85 12 90 D1 00 90 2E D2 05 43 4D 50 2E 42
+85 12 90 D1 40 90 12 CC 04 44 41 44 44 00 85 12
+90 D1 00 A0 48 D2 06 44 41 44 44 2E 42 00 85 12
+90 D1 40 A0 3A D2 03 42 49 54 85 12 90 D1 00 B0
+66 D2 05 42 49 54 2E 42 85 12 90 D1 40 B0 72 D2
+03 42 49 43 85 12 90 D1 00 C0 80 D2 05 42 49 43
+2E 42 85 12 90 D1 40 C0 8C D2 03 42 49 53 85 12
+90 D1 00 D0 9A D2 05 42 49 53 2E 42 85 12 90 D1
+40 D0 00 00 03 58 4F 52 85 12 90 D1 00 E0 B4 D2
+05 58 4F 52 2E 42 85 12 90 D1 40 E0 E6 D1 03 41
+4E 44 85 12 90 D1 00 F0 CE D2 05 41 4E 44 2E 42
+85 12 90 D1 40 F0 14 CA 84 D0 EC D2 0A 4C 3C F0
+70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 20 D2 03 52
+52 43 85 12 E6 D2 00 10 FE D2 05 52 52 43 2E 42
+85 12 E6 D2 40 10 0A D3 04 53 57 50 42 00 85 12
+E6 D2 80 10 18 D3 03 52 52 41 85 12 E6 D2 00 11
+26 D3 05 52 52 41 2E 42 85 12 E6 D2 40 11 32 D3
+03 53 58 54 85 12 E6 D2 80 11 00 00 04 50 55 53
+48 00 85 12 E6 D2 00 12 4C D3 06 50 55 53 48 2E
+42 00 85 12 E6 D2 40 12 A6 D2 04 43 41 4C 4C 00
+85 12 E6 D2 80 12 1A 53 0E 4A 0D 12 84 12 D6 C6
+14 C2 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
+36 C3 40 D3 03 53 3E 3D 86 12 00 38 94 D3 02 53
+3C 00 86 12 00 34 5A D3 03 30 3E 3D 86 12 00 30
+A8 D3 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
+86 12 00 2C BC D3 03 55 3E 3D 86 12 00 28 B2 D3
+03 30 3C 3E 86 12 00 24 D0 D3 02 30 3D 00 86 12
+00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00
+A2 53 C6 1D 0E 4A 30 4D C6 D3 04 54 48 45 4E 00
+1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
+3A 90 00 02 B1 2F 88 DA 00 00 30 4D 56 D2 04 45
+4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53
+C6 1D 2F 83 8F 4A 00 00 E3 3F 6A D3 05 42 45 47
+49 4E 30 40 28 C2 FA D3 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90
+00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C6 1D 30 4D DA D2 05 41 47 41 49 4E 0A 4E 38 40
+00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
+E8 D3 BA C5 60 C6 9E D3 06 52 45 50 45 41 54 00
+0D 12 84 12 7C D4 00 D4 60 C6 AC D4 3D 41 08 4E
+3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00
+30 4D 3C D4 03 42 57 31 85 12 AA D4 00 00 C4 D4
+03 42 57 32 85 12 AA D4 00 00 D0 D4 03 42 57 33
+85 12 AA D4 00 00 E8 D4 3D 41 1A 42 C6 1D 28 4E
+B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A
+00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 E6 D4
+00 00 08 D5 03 46 57 32 85 12 E6 D4 00 00 14 D5
+03 46 57 33 85 12 E6 D4 00 00 20 D5 04 47 4F 54
+4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
+92 CA EE C9 60 C6 00 00 05 3F 47 4F 54 4F 3E 90
+00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
+00 08 EC 3F 14 CA B0 CF 6A D5 92 53 C4 1D 3E 40
+2C 00 84 12 2C C7 50 C8 34 C2 12 CA 46 D1 80 D5
+0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
+59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
+AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 98 D4 04 52
+52 43 4D 00 85 12 64 D5 50 00 AE D5 04 52 52 41
+4D 00 85 12 64 D5 50 01 BC D5 04 52 4C 41 4D 00
+85 12 64 D5 50 02 CA D5 04 52 52 55 4D 00 85 12
+64 D5 50 03 DA D3 05 50 55 53 48 4D 85 12 64 D5
+00 15 E6 D5 04 50 4F 50 4D 00 85 12 64 D5 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4
-94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 22 CF
+94 C4 02 C4 02 C4 02 C4 02 C4 02 C4 02 C4 1A CF
 q
index 5589fb4..c0003bf 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF 10 58 0A 50 33 01
-10 00 81 86 B4 45 AA 44 B6 45 8A 45 82 46 10 58
-0A 50 70 46 92 47 FE 46 DA 46 3C 1D 60 48 D4 44
-E2 44 EE 44 20 00 0A 00 28 4C 42 4C 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF F8 57 F2 4F 34 01
+10 00 41 87 B6 45 AA 44 B8 45 8C 45 84 46 F8 57
+F2 4F 72 46 82 47 00 47 DC 46 3C 1D 50 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 B6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
-B2 49 6E 46 B2 49 90 47 B2 49 FC 46 B2 49 D8 46
+B2 49 70 46 B2 49 80 47 B2 49 FE 46 B2 49 DA 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 45 B0 12 F8 44
-0A 44 DE 1D E0 47 16 47 4A 47 34 44 28 4C 14 44
-05 1B 5B 37 6D 40 5C 47 0A 44 02 18 E0 47 D6 48
-A8 47 34 44 7C 45 14 44 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5C 47 A0 48 5C 47 14 44
-04 1B 5B 30 6D 00 5C 47 28 4C 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 45 F2 B0 20 00 21 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC 45 04 57 41 52 4D 00 B0 12
-8A 45 78 40 03 00 B0 12 B8 45 84 12 14 44 07 0D
-0A 1B 5B 37 6D 40 5C 47 0A 44 02 18 E0 47 D6 48
-0A 44 23 00 FA 46 D6 48 14 44 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 5C 47 0A 44 40 FF 28 44 D4 47 A0 48
-14 44 0A 62 79 74 65 73 20 66 72 65 65 00 3A 44
-7C 45 00 00 06 41 43 43 45 50 54 00 30 40 70 46
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
-3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA 46 2F 83 8F 4E 00 00
-58 43 B0 12 B8 45 92 B3 6C 06 FD 27 1E 42 4C 06
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE 46 08 4E
-3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 46
-04 45 43 48 4F 00 B2 40 C2 48 08 47 82 43 DE 1D
-38 40 05 00 B0 12 B8 45 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 47 92 43 DE 1D 28 42
-F1 3F 2C 47 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 00 00 04 54 59 50 45 00 0E 93 11 24
-0D 12 3D 40 78 47 28 4F 2F 83 8F 4E 00 00 7E 48
-8F 48 02 00 10 42 FC 46 7A 47 2D 83 1E 83 F3 23
-3D 41 2F 53 3E 4F 30 4D DA 45 02 43 52 00 30 40
-92 47 0D 12 84 12 14 44 02 0D 0A 00 5C 47 60 48
+B2 49 DC 1D 3D 41 30 40 BE 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 45 0E 12 B0 12
+F8 44 0A 44 DE 1D D0 47 18 47 EE 44 34 44 8A 45
+14 44 05 1B 5B 37 6D 40 4C 47 0A 44 02 18 D0 47
+C6 48 98 47 34 44 7E 45 14 44 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4C 47 90 48 4C 47
+14 44 04 1B 5B 30 6D 00 4C 47 18 4C 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 45 F2 B0 20 00 21 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE 45 04 57 41 52 4D 00
+B0 12 8C 45 78 40 03 00 B0 12 BA 45 84 12 14 44
+07 0D 0A 1B 5B 37 6D 40 4C 47 0A 44 02 18 D0 47
+C6 48 0A 44 23 00 FC 46 C6 48 14 44 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 4C 47 0A 44 40 FF 28 44 C4 47
+90 48 14 44 0A 62 79 74 65 73 20 66 72 65 65 00
+3A 44 7E 45 00 00 06 41 43 43 45 50 54 00 30 40
+72 46 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06
+B7 22 3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC 46 2F 83 8F 4E
+00 00 58 43 B0 12 BA 45 92 B3 6C 06 FD 27 1E 42
+4C 06 30 4D 00 00 04 45 4D 49 54 00 30 40 00 47
+08 4E 3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D
+F6 46 04 45 43 48 4F 00 B2 40 C2 48 0A 47 82 43
+DE 1D 38 40 05 00 B0 12 BA 45 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A 47 92 43 DE 1D
+28 42 F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24
+0D 12 3D 40 68 47 28 4F 2F 83 8F 4E 00 00 7E 48
+8F 48 02 00 10 42 FE 46 6A 47 2D 83 1E 83 F3 23
+3D 41 2F 53 3E 4F 30 4D DC 45 02 43 52 00 30 40
+82 47 0D 12 84 12 14 44 02 0D 0A 00 4C 47 50 48
 2F 83 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E
 FE FF AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00
 0E 4A 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11
-2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 64 46 01 40
-2E 4E 30 4D DE 47 01 21 BE 4F 00 00 3E 4F 30 4D
+2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 66 46 01 40
+2E 4E 30 4D CE 47 01 21 BE 4F 00 00 3E 4F 30 4D
 1E 83 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F
 03 24 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00
-B2 40 B2 1D B2 1D 30 4D 8A 47 01 23 1B 42 DC 1D
+B2 40 B2 1D B2 1D 30 4D 7A 47 01 23 1B 42 DC 1D
 2C 4F 2F 83 B0 12 6E 44 BF 4F 00 00 7A 90 0A 00
 02 28 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42
-B2 1D C8 4A 00 00 30 4D 1A 48 02 23 53 00 0D 12
-84 12 1C 48 56 48 2D 83 09 93 E2 23 0E 93 E0 23
-3D 41 30 4D 4A 48 02 23 3E 00 9F 42 B2 1D 00 00
+B2 1D C8 4A 00 00 30 4D 0A 48 02 23 53 00 0D 12
+84 12 0C 48 46 48 2D 83 09 93 E2 23 0E 93 E0 23
+3D 41 30 4D 3A 48 02 23 3E 00 9F 42 B2 1D 00 00
 3E 40 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00
 4A 4E 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93
-3E 4F 7A 40 2D 00 D1 33 30 4D 56 47 02 55 2E 00
+3E 4F 7A 40 2D 00 D1 33 30 4D 46 47 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 48 4E 48 EE 44 8E 48 6A 48 5C 47 24 4C FA 46
-60 48 44 47 01 2E 0E 93 E3 37 38 43 E2 3F 88 48
+00 48 3E 48 EE 44 7E 48 5A 48 4C 47 04 4C FC 46
+50 48 2E 47 01 2E 0E 93 E3 37 38 43 E2 3F 78 48
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44
-5A 4B 0A 44 22 00 2C 49 FA 48 B2 40 20 00 B4 1D
+4A 4B 0A 44 22 00 1C 49 EA 48 B2 40 20 00 B4 1D
 6E 4E 1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D
-D4 48 82 2E 22 00 0D 12 84 12 E4 48 0A 44 5C 47
-5A 4B 60 48 F8 45 04 57 4F 52 44 00 3C 40 C0 1D
+C4 48 82 2E 22 00 0D 12 84 12 D4 48 0A 44 4C 47
+4A 4B 50 48 FA 45 04 57 4F 52 44 00 3C 40 C0 1D
 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A
 FC 27 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00
 00 00 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A
@@ -105,12 +104,12 @@ CA 1D 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
 22 C3 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
 E3 23 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D
-32 C0 00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AE 4A
+32 C0 00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9E 4A
 09 43 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90
 27 00 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90
 03 00 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43
 02 00 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F B0 4A
+3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F A0 4A
 31 24 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20
 32 D0 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20
 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
@@ -122,216 +121,216 @@ B0 12 66 44 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 47 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 48 4B 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
-30 4D 66 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
-00 00 5E 4E FF FF 30 4D 7A 48 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 44 24 4C 2C 49 E0 4B
-94 26 3D 40 E8 4B D6 3E EA 4B 0A 4E 3E 4F 3D 40
-04 4C 2E 27 3D 40 DA 4B 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 4C 3E 4F 3D 40 DA 4B BB 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 4D
-CC 3F 0E 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40
-E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E 47
-BC 44 D4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
+30 4D 56 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
+00 00 5E 4E FF FF 30 4D 6A 48 09 49 4E 54 45 52
+50 52 45 54 0D 12 84 12 AC 44 04 4C 1C 49 C0 4B
+9C 26 3D 40 C8 4B DE 3E CA 4B 0A 4E 3E 4F 3D 40
+E4 4B 36 27 3D 40 BA 4B 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 E6 4B 3E 4F 3D 40 BA 4B BB 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 86 4D
+CC 3F EE 4B 86 12 20 00 D6 47 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
+E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7E 47
+BC 44 B4 4B B4 47 E6 47 14 44 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44
-FE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 45 3A 44 3E 4C 6C 4B 86 41 42 4F 52 54 22 00
-0D 12 84 12 E4 48 0A 44 2A 45 5A 4B 60 48 8E 49
-01 27 0D 12 84 12 24 4C 2C 49 94 49 34 44 22 4C
-60 48 00 00 83 5B 27 5D 0D 12 84 12 92 4C 0A 44
-0A 44 5A 4B 5A 4B 60 48 A4 4C 81 5B 82 43 BE 1D
-30 4D 0C 48 01 5D B2 43 BE 1D 30 4D C4 4C 81 5C
+EE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
+2A 45 3A 44 2E 4C 0A 4C 86 41 42 4F 52 54 22 00
+0D 12 84 12 D4 48 0A 44 2A 45 4A 4B 50 48 7E 49
+01 27 0D 12 84 12 04 4C 1C 49 84 49 34 44 02 4C
+50 48 00 00 83 5B 27 5D 0D 12 84 12 82 4C 0A 44
+0A 44 4A 4B 4A 4B 50 48 94 4C 81 5B 82 43 BE 1D
+30 4D FC 47 01 5D B2 43 BE 1D 30 4D B4 4C 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 4C 2C 49 94 49 A8 47
-34 44 22 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
-5A 4B 0A 44 5A 4B 5A 4B 60 48 BA 4C 01 3A 30 12
-56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 4C
-2C 49 24 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
+4F 4E 45 00 0D 12 84 12 04 4C 1C 49 84 49 98 47
+34 44 02 4C E6 47 34 44 F6 4C 0A 44 0A 44 4A 4B
+4A 4B 0A 44 4A 4B 4A 4B 50 48 AA 4C 01 3A 30 12
+46 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 04 4C
+1C 49 14 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
 82 4A C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12
 FE FF B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42
 B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 36 45 0C 4D 81 3B 82 93 BE 1D
-97 27 0D 12 84 12 0A 44 60 48 5A 4B 68 4D BC 4C
-60 48 CA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 4B 06 43 52 45
-41 54 45 00 B0 12 12 4D BA 40 86 12 FC FF 8A 4A
-FE FF C9 3F CC 4D 04 43 4F 44 45 00 B0 12 12 4D
-A2 82 C6 1D 0D 12 84 12 0C 50 E6 4F 60 48 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D 26 50
-60 48 78 4C 03 41 53 4D B2 40 EA 4F DA 1D E6 3F
-00 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 4E
-44 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 50 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 4D 85 48 49 32
-4C 4F 0D 12 84 12 28 44 B4 4F 5A 4B BC 4C F4 4D
-60 48 9A 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44
-00 00 D8 47 24 4C 2C 49 BA 4B A0 47 34 44 1C 4F
-AE 47 14 44 06 5B 54 48 45 4E 5D 00 8E 4E F6 4E
-B2 4E D4 4E 60 48 AE 47 14 44 06 5B 45 4C 53 45
-5D 00 8E 4E 0C 4F B2 4E D2 4E 60 48 14 44 04 5B
-49 46 5D 00 8E 4E D4 4E 3A 44 D2 4E 82 47 14 44
-05 0D 0A 6B 6F 20 5C 47 BC 44 AC 44 3A 44 D4 4E
-C2 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 4F 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 4C 2C 49 94 49 40 4F 60 48 46 4F
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 4F F0 47 60 48 78 4F B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 4B 06 4D 41 52 4B 45 52 00
-B0 12 12 4D BA 40 85 12 FC FF BA 40 76 4F FE FF
-28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D E8 47 60 48
-85 12 B8 4F 14 4E E6 4D 10 47 90 4C 6C 4E D2 46
-88 4F 12 49 B0 50 C4 50 9C 48 26 49 00 00 60 4F
-CE 4C F4 49 00 00 85 12 B8 4F 86 56 EC 56 2E 56
-3C 57 F4 55 00 00 C0 53 00 00 04 58 E8 57 58 56
-96 56 D0 54 00 00 00 00 58 57 E4 4F 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 4F 3E 50
-44 50 54 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 4C 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 50 10 58 E0 48 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 50 F3 3F 8A 50
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 50
-30 4D 9C 50 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 51 FE FF 29 83 B9 40 E0 45 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 E2 45 B2 49 FA 44
-B2 49 02 44 B2 49 00 46 B2 49 EE FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08
-29 83 89 43 00 1C FC 23 B2 40 FE FF 02 02 B2 D3
-06 02 B2 D3 26 02 B2 40 FF BF 22 02 F2 43 22 03
-F2 D3 26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01
-D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01
-82 43 66 01 B2 40 33 00 64 01 D2 43 61 01 39 40
-40 00 18 42 00 18 18 83 FE 23 19 83 FA 23 B2 D2
-B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42
-08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 44 FE 45
-38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2C 49
-94 49 F0 47 34 44 00 52 50 4A 34 44 1A 52 14 52
-02 52 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 1C 52 B2 41 C4 1D
-3E 41 84 12 0A 44 2B 00 2C 49 94 49 F0 47 34 44
-38 52 50 4A 34 44 22 4C BA 47 2C 49 50 4A 34 44
-22 4C 44 52 3E 5F E7 3F 3E 40 28 00 B0 12 E4 51
-19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00
-92 92 C0 1D C4 1D 02 20 30 40 80 4D 1C 15 12 12
-C4 1D 92 53 C4 1D 84 12 2C 49 50 4A 34 44 8C 52
-82 52 21 53 3E 90 10 00 C6 2B 7F 2D 8E 52 B2 41
-C4 1D C1 3F 0D 12 84 12 24 4C C0 51 9E 52 0C 43
-1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00
-27 20 92 53 C4 1D B0 12 E4 51 3C 40 00 03 0E 93
-1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
-14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
-0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12
-E4 51 ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
-C4 1D B0 12 6C 52 0C 20 3C 50 10 00 3E 40 2B 00
-B0 12 6C 52 92 92 C0 1D C4 1D 02 24 92 53 C4 1D
-8E 10 0C 5E DA 3F B0 12 6C 52 FA 23 3C 50 10 00
-B0 12 48 52 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D
-0D 12 84 12 24 4C C0 51 6A 53 FE 90 26 00 00 00
-3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 6C 52
-E0 23 3C 50 80 00 B0 12 48 52 DB 3F 00 00 04 52
-45 54 49 00 0D 12 84 12 0A 44 00 13 5A 4B 60 48
-0A 44 2C 00 94 52 60 53 AA 53 09 4B 2E 4E 0E DC
-A2 3F 5A 4E 03 4D 4F 56 85 12 A0 53 00 40 B4 53
-05 4D 4F 56 2E 42 85 12 A0 53 40 40 00 00 03 41
-44 44 85 12 A0 53 00 50 CE 53 05 41 44 44 2E 42
-85 12 A0 53 40 50 DA 53 04 41 44 44 43 00 85 12
-A0 53 00 60 E8 53 06 41 44 44 43 2E 42 00 85 12
-A0 53 40 60 8E 53 04 53 55 42 43 00 85 12 A0 53
-00 70 06 54 06 53 55 42 43 2E 42 00 85 12 A0 53
-40 70 14 54 03 53 55 42 85 12 A0 53 00 80 24 54
-05 53 55 42 2E 42 85 12 A0 53 40 80 36 4E 03 43
-4D 50 85 12 A0 53 00 90 3E 54 05 43 4D 50 2E 42
-85 12 A0 53 40 90 22 4E 04 44 41 44 44 00 85 12
-A0 53 00 A0 58 54 06 44 41 44 44 2E 42 00 85 12
-A0 53 40 A0 4A 54 03 42 49 54 85 12 A0 53 00 B0
-76 54 05 42 49 54 2E 42 85 12 A0 53 40 B0 82 54
-03 42 49 43 85 12 A0 53 00 C0 90 54 05 42 49 43
-2E 42 85 12 A0 53 40 C0 9C 54 03 42 49 53 85 12
-A0 53 00 D0 AA 54 05 42 49 53 2E 42 85 12 A0 53
-40 D0 00 00 03 58 4F 52 85 12 A0 53 00 E0 C4 54
-05 58 4F 52 2E 42 85 12 A0 53 40 E0 F6 53 03 41
-4E 44 85 12 A0 53 00 F0 DE 54 05 41 4E 44 2E 42
-85 12 A0 53 40 F0 24 4C 94 52 FC 54 0A 4C 3C F0
-70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 30 54 03 52
-52 43 85 12 F6 54 00 10 0E 55 05 52 52 43 2E 42
-85 12 F6 54 40 10 1A 55 04 53 57 50 42 00 85 12
-F6 54 80 10 28 55 03 52 52 41 85 12 F6 54 00 11
-36 55 05 52 52 41 2E 42 85 12 F6 54 40 11 42 55
-03 53 58 54 85 12 F6 54 80 11 00 00 04 50 55 53
-48 00 85 12 F6 54 00 12 5C 55 06 50 55 53 48 2E
-42 00 85 12 F6 54 40 12 B6 54 04 43 41 4C 4C 00
-85 12 F6 54 80 12 1A 53 0E 4A 0D 12 84 12 D6 48
-14 44 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-36 45 50 55 03 53 3E 3D 86 12 00 38 A4 55 02 53
-3C 00 86 12 00 34 6A 55 03 30 3E 3D 86 12 00 30
-B8 55 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
-86 12 00 2C CC 55 03 55 3E 3D 86 12 00 28 C2 55
-03 30 3C 3E 86 12 00 24 E0 55 02 30 3D 00 86 12
-00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 0E 4A 30 4D D6 55 04 54 48 45 4E 00
-1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 B1 2F 88 DA 00 00 30 4D 66 54 04 45
-4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53
-C6 1D 2F 83 8F 4A 00 00 E3 3F 7A 55 05 42 45 47
-49 4E 30 40 28 44 0A 56 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90
-00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C6 1D 30 4D EA 54 05 41 47 41 49 4E 0A 4E 38 40
-00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
-F8 55 BA 47 60 48 AE 55 06 52 45 50 45 41 54 00
-0D 12 84 12 8C 56 10 56 60 48 BC 56 3D 41 08 4E
-3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00
-30 4D 4C 56 03 42 57 31 85 12 BA 56 00 00 D4 56
-03 42 57 32 85 12 BA 56 00 00 E0 56 03 42 57 33
-85 12 BA 56 00 00 F8 56 3D 41 1A 42 C6 1D 28 4E
-B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A
-00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 F6 56
-00 00 18 57 03 46 57 32 85 12 F6 56 00 00 24 57
-03 46 57 33 85 12 F6 56 00 00 30 57 04 47 4F 54
-4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
-92 4C FE 4B 60 48 00 00 05 3F 47 4F 54 4F 3E 90
-00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
-00 08 EC 3F 24 4C C0 51 7A 57 92 53 C4 1D 3E 40
-2C 00 84 12 2C 49 50 4A 34 44 22 4C 56 53 90 57
-0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
-59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
-AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E A8 56 04 52
-52 43 4D 00 85 12 74 57 50 00 BE 57 04 52 52 41
-4D 00 85 12 74 57 50 01 CC 57 04 52 4C 41 4D 00
-85 12 74 57 50 02 DA 57 04 52 52 55 4D 00 85 12
-74 57 50 03 EA 55 05 50 55 53 48 4D 85 12 74 57
-00 15 F6 57 04 50 4F 50 4D 00 85 12 74 57 00 17
+6D 61 74 63 68 21 36 45 FC 4C 81 3B 82 93 BE 1D
+97 27 0D 12 84 12 0A 44 50 48 4A 4B 58 4D AC 4C
+50 48 AA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D 94 4B 06 43 52 45
+41 54 45 00 B0 12 02 4D BA 40 86 12 FC FF 8A 4A
+FE FF C9 3F BC 4D 04 43 4F 44 45 00 B0 12 02 4D
+A2 82 C6 1D 0D 12 84 12 F4 4F CE 4F 50 48 A4 4D
+07 48 44 4E 43 4F 44 45 B2 40 D2 4F DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 58 4D
+0E 50 2C 50 50 48 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 0E 50 2C 50 50 48
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F F0 4D 85 48 49 32 4C 4F 0D 12 84 12 28 44
+9C 4F 4A 4B AC 4C E4 4D 50 48 8A 4D 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 6C 4E 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 44 00 00 C8 47 04 4C 1C 49
+9A 4B 90 47 34 44 04 4F 9E 47 14 44 06 5B 54 48
+45 4E 5D 00 76 4E DE 4E 9A 4E BC 4E 50 48 9E 47
+14 44 06 5B 45 4C 53 45 5D 00 76 4E F4 4E 9A 4E
+BA 4E 50 48 14 44 04 5B 49 46 5D 00 76 4E BC 4E
+3A 44 BA 4E 72 47 14 44 05 0D 0A 6B 6F 20 4C 47
+BC 44 AC 44 3A 44 BC 4E AA 4E 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 1A 4F 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 04 4C 1C 49
+84 49 28 4F 50 48 2E 4F 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 38 4F E0 47 50 48 60 4F
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5C 4B
+06 4D 41 52 4B 45 52 00 B0 12 02 4D BA 40 85 12
+FC FF BA 40 5E 4F FE FF 28 83 8A 48 00 00 BA 40
+AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A 44 CA 1D D8 47 50 48 85 12 A0 4F 68 4C D6 4D
+12 47 80 4C 54 4E D4 46 70 4F 02 49 98 50 AC 50
+8C 48 16 49 00 00 48 4F BE 4C E4 49 00 00 85 12
+A0 4F 6E 56 D4 56 16 56 24 57 DC 55 00 00 A8 53
+00 00 EC 57 D0 57 40 56 7E 56 B8 54 00 00 00 00
+40 57 CC 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D A8 4F 26 50 2C 50 3C 50 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+CA 4C 09 50 57 52 5F 53 54 41 54 45 85 12 34 50
+F8 57 D0 48 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 80 50 F3 3F 72 50 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 80 50 30 4D 84 50 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 0A 51 FE FF 29 83
+B9 40 E2 45 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 E4 45 B2 49 FA 44 B2 49 02 44 B2 49 02 46
+B2 49 EE FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C FC 23
+B2 40 FE FF 02 02 B2 D3 06 02 B2 D3 26 02 B2 40
+FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00
+41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00
+61 01 B2 40 48 00 62 01 82 43 66 01 B2 40 33 00
+64 01 D2 43 61 01 39 40 40 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03
+F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2
+9E 01 B0 12 F8 44 00 46 38 40 C0 1D 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
+12 12 C4 1D 84 12 1C 49 84 49 E0 47 34 44 E8 51
+40 4A 34 44 02 52 FC 51 EA 51 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 04 52 B2 41 C4 1D 3E 41 84 12 0A 44 2B 00
+1C 49 84 49 E0 47 34 44 20 52 40 4A 34 44 02 4C
+AA 47 1C 49 40 4A 34 44 02 4C 2C 52 3E 5F E7 3F
+3E 40 28 00 B0 12 CC 51 19 42 C6 1D A2 53 C6 1D
+89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20
+30 40 70 4D 1C 15 12 12 C4 1D 92 53 C4 1D 84 12
+1C 49 40 4A 34 44 74 52 6A 52 21 53 3E 90 10 00
+C6 2B 7F 2D 76 52 B2 41 C4 1D C1 3F 0D 12 84 12
+04 4C A8 51 86 52 0C 43 1B 42 C6 1D A2 53 C6 1D
+6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12
+CC 51 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
+18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
+10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
+08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
+10 02 92 53 C4 1D B0 12 CC 51 ED 3F 7A 90 40 00
+16 20 3C 40 20 00 92 53 C4 1D B0 12 54 52 0C 20
+3C 50 10 00 3E 40 2B 00 B0 12 54 52 92 92 C0 1D
+C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12
+54 52 FA 23 3C 50 10 00 B0 12 30 52 EF 3F 0C 43
+1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 04 4C A8 51
+52 53 FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
+82 00 C7 3F B0 12 54 52 E0 23 3C 50 80 00 B0 12
+30 52 DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
+0A 44 00 13 4A 4B 50 48 0A 44 2C 00 7C 52 48 53
+92 53 09 4B 2E 4E 0E DC A2 3F 42 4E 03 4D 4F 56
+85 12 88 53 00 40 9C 53 05 4D 4F 56 2E 42 85 12
+88 53 40 40 00 00 03 41 44 44 85 12 88 53 00 50
+B6 53 05 41 44 44 2E 42 85 12 88 53 40 50 C2 53
+04 41 44 44 43 00 85 12 88 53 00 60 D0 53 06 41
+44 44 43 2E 42 00 85 12 88 53 40 60 76 53 04 53
+55 42 43 00 85 12 88 53 00 70 EE 53 06 53 55 42
+43 2E 42 00 85 12 88 53 40 70 FC 53 03 53 55 42
+85 12 88 53 00 80 0C 54 05 53 55 42 2E 42 85 12
+88 53 40 80 18 4E 03 43 4D 50 85 12 88 53 00 90
+26 54 05 43 4D 50 2E 42 85 12 88 53 40 90 02 4E
+04 44 41 44 44 00 85 12 88 53 00 A0 40 54 06 44
+41 44 44 2E 42 00 85 12 88 53 40 A0 32 54 03 42
+49 54 85 12 88 53 00 B0 5E 54 05 42 49 54 2E 42
+85 12 88 53 40 B0 6A 54 03 42 49 43 85 12 88 53
+00 C0 78 54 05 42 49 43 2E 42 85 12 88 53 40 C0
+84 54 03 42 49 53 85 12 88 53 00 D0 92 54 05 42
+49 53 2E 42 85 12 88 53 40 D0 00 00 03 58 4F 52
+85 12 88 53 00 E0 AC 54 05 58 4F 52 2E 42 85 12
+88 53 40 E0 DE 53 03 41 4E 44 85 12 88 53 00 F0
+C6 54 05 41 4E 44 2E 42 85 12 88 53 40 F0 04 4C
+7C 52 E4 54 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
+0C DA 4F 3F 18 54 03 52 52 43 85 12 DE 54 00 10
+F6 54 05 52 52 43 2E 42 85 12 DE 54 40 10 02 55
+04 53 57 50 42 00 85 12 DE 54 80 10 10 55 03 52
+52 41 85 12 DE 54 00 11 1E 55 05 52 52 41 2E 42
+85 12 DE 54 40 11 2A 55 03 53 58 54 85 12 DE 54
+80 11 00 00 04 50 55 53 48 00 85 12 DE 54 00 12
+44 55 06 50 55 53 48 2E 42 00 85 12 DE 54 40 12
+9E 54 04 43 41 4C 4C 00 85 12 DE 54 80 12 1A 53
+0E 4A 0D 12 84 12 C6 48 14 44 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 36 45 38 55 03 53 3E 3D
+86 12 00 38 8C 55 02 53 3C 00 86 12 00 34 52 55
+03 30 3E 3D 86 12 00 30 A0 55 02 30 3C 00 86 12
+00 30 00 00 02 55 3C 00 86 12 00 2C B4 55 03 55
+3E 3D 86 12 00 28 AA 55 03 30 3C 3E 86 12 00 24
+C8 55 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
+1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D
+BE 55 04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
+00 00 30 4D 4E 54 04 45 4C 53 45 00 1A 42 C6 1D
+BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00
+E3 3F 62 55 05 42 45 47 49 4E 30 40 28 44 F2 55
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D
+2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C6 1D 30 4D D2 54 05 41
+47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
+48 49 4C 45 0D 12 84 12 E0 55 AA 47 50 48 96 55
+06 52 45 50 45 41 54 00 0D 12 84 12 74 56 F8 55
+50 48 A4 56 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D
+CB 2F 98 42 C6 1D 00 00 30 4D 34 56 03 42 57 31
+85 12 A2 56 00 00 BC 56 03 42 57 32 85 12 A2 56
+00 00 C8 56 03 42 57 33 85 12 A2 56 00 00 E0 56
+3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F
+00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00
+03 46 57 31 85 12 DE 56 00 00 00 57 03 46 57 32
+85 12 DE 56 00 00 0C 57 03 46 57 33 85 12 DE 56
+00 00 18 57 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
+3E 40 00 3C 0D 12 84 12 82 4C DE 4B 50 48 00 00
+05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
+3E B0 00 10 EF 27 3E E0 00 08 EC 3F 04 4C A8 51
+62 57 92 53 C4 1D 3E 40 2C 00 84 12 1C 49 40 4A
+34 44 02 4C 3E 53 78 57 0A 4E 3E 4F 1A 83 F7 32
+29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
+38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
+5A 06 A6 3E 90 56 04 52 52 43 4D 00 85 12 5C 57
+50 00 A6 57 04 52 52 41 4D 00 85 12 5C 57 50 01
+B4 57 04 52 4C 41 4D 00 85 12 5C 57 50 02 C2 57
+04 52 52 55 4D 00 85 12 5C 57 50 03 D2 55 05 50
+55 53 48 4D 85 12 5C 57 00 15 DE 57 04 50 4F 50
+4D 00 85 12 5C 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 82 46
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 22 51
+77 00 10 00 12 00 14 00 16 00 00 00 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 84 46
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 0A 51
 q
index 17f65d9..9d0e833 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 16 58 0C 50 33 01
-10 00 81 B6 94 45 AA 44 C6 45 9C 45 96 46 16 58
-0C 50 7C 46 94 47 26 47 00 47 3C 1D 62 48 D4 44
-E2 44 EE 44 20 00 0A 00 2A 4C 44 4C 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF 0E 58 04 50 34 01
+10 00 41 B3 94 45 AA 44 DA 45 9C 45 96 46 0E 58
+04 50 7C 46 94 47 26 47 00 47 3C 1D 62 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 C6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
 B2 49 7A 46 B2 49 92 47 B2 49 24 47 B2 49 FE 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D8 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 45 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 D0 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 45 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 44 0A 44 DE 1D E2 47 34 47
 14 44 04 1B 5B 37 6D 00 5E 47 AA 47 34 44 86 45
@@ -52,7 +52,7 @@ FD 27 C2 48 CE 05 30 4D CA 46 2D 83 92 B3 DC 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 DC 05 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53
 DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 47
-2F 83 8F 4E 00 00 B0 12 C6 45 92 B3 DC 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA 45 92 B3 DC 05 FD 27
 1E 42 CC 05 B0 12 C8 45 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 47 08 4E 3E 4F C8 3F 1C 47 04 45
 43 48 4F 00 B2 40 C2 48 C2 46 82 43 DE 1D 30 4D
@@ -80,7 +80,7 @@ E0 23 3D 41 30 4D 4C 48 02 23 3E 00 9F 42 B2 1D
 0E 93 3E 4F 7A 40 2D 00 D1 33 30 4D 58 47 02 55
 2E 00 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12
 3E F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
-84 12 12 48 50 48 EE 44 90 48 6C 48 5E 47 26 4C
+84 12 12 48 50 48 EE 44 90 48 6C 48 5E 47 16 4C
 22 47 62 48 42 47 01 2E 0E 93 E3 37 38 43 E2 3F
 8A 48 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44
 14 44 5C 4B 0A 44 22 00 2E 49 FC 48 B2 40 20 00
@@ -122,35 +122,35 @@ AF 4F 04 00 4A 93 2B 17 0E 4C 82 4B DC 1D 06 24
 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
 00 02 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D
-8A 4E 00 00 A2 53 C6 1D 3E 4F 30 4D E8 47 05 41
-4C 4C 4F 54 82 5E C6 1D 3E 4F 30 4D 5A 4B 87 4C
+8A 4E 00 00 A2 53 C6 1D 3E 4F 30 4D 5A 4B 87 4C
 49 54 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42
 C6 1D A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00
 3E 4F 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00
 EE 3F 30 4D 68 48 05 43 4F 55 4E 54 2F 83 1E 53
 8F 4E 00 00 5E 4E FF FF 30 4D 7C 48 09 49 4E 54
-45 52 50 52 45 54 0D 12 84 12 AC 44 26 4C 2E 49
-E2 4B 94 26 3D 40 EA 4B D6 3E EC 4B 0A 4E 3E 4F
-3D 40 06 4C 2E 27 3D 40 DC 4B 1A E2 BE 1D AE 27
-0E 12 3E 4F 30 41 08 4C 3E 4F 3D 40 DC 4B BB 23
+45 52 50 52 45 54 0D 12 84 12 AC 44 16 4C 2E 49
+D2 4B 9C 26 3D 40 DA 4B DE 3E DC 4B 0A 4E 3E 4F
+3D 40 F6 4B 36 27 3D 40 CC 4B 1A E2 BE 1D B6 27
+0E 12 3E 4F 30 41 F8 4B 3E 4F 3D 40 CC 4B BB 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-98 4D CC 3F 10 4C 86 12 20 00 3F 40 80 1C 0E 43
+98 4D CC 3F 00 4C 86 12 20 00 E8 47 05 41 4C 4C
+4F 54 82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43
 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12
-90 47 BC 44 D6 4B C6 47 F8 47 14 44 0C 73 74 61
+90 47 BC 44 C6 4B C6 47 F8 47 14 44 0C 73 74 61
 63 6B 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF
 28 44 00 48 14 44 0A 46 52 41 4D 20 66 75 6C 6C
-21 00 2A 45 3A 44 40 4C 6E 4B 86 41 42 4F 52 54
+21 00 2A 45 3A 44 40 4C 1C 4C 86 41 42 4F 52 54
 22 00 0D 12 84 12 E6 48 0A 44 2A 45 5C 4B 62 48
-90 49 01 27 0D 12 84 12 26 4C 2E 49 96 49 34 44
-24 4C 62 48 00 00 83 5B 27 5D 0D 12 84 12 94 4C
+90 49 01 27 0D 12 84 12 16 4C 2E 49 96 49 34 44
+14 4C 62 48 00 00 83 5B 27 5D 0D 12 84 12 94 4C
 0A 44 0A 44 5C 4B 5C 4B 62 48 A6 4C 81 5B 82 43
 BE 1D 30 4D 0E 48 01 5D B2 43 BE 1D 30 4D C6 4C
 81 5C 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53
-54 50 4F 4E 45 00 0D 12 84 12 26 4C 2E 49 96 49
-AA 47 34 44 24 4C F8 47 34 44 08 4D 0A 44 0A 44
+54 50 4F 4E 45 00 0D 12 84 12 16 4C 2E 49 96 49
+AA 47 34 44 14 4C F8 47 34 44 08 4D 0A 44 0A 44
 5C 4B 5C 4B 0A 44 5C 4B 5C 4B 62 48 BC 4C 01 3A
 30 12 58 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12
-26 4C 2E 49 26 4D 3D 41 08 4E 7A 4E 5A D3 5A 53
+16 4C 2E 49 26 4D 3D 41 08 4E 7A 4E 5A D3 5A 53
 0A 58 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F
 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D
 2A 52 82 4A C6 1D 30 41 BA 40 0D 12 FC FF BA 40
@@ -159,173 +159,172 @@ AA 47 34 44 24 4C F8 47 34 44 08 4D 0A 44 0A 44
 30 4D 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D
 69 73 6D 61 74 63 68 21 36 45 0E 4D 81 3B 82 93
 BE 1D 97 27 0D 12 84 12 0A 44 62 48 5C 4B 6A 4D
-BE 4C 62 48 CC 4B 09 49 4D 4D 45 44 49 41 54 45
-18 42 B6 1D F8 D0 80 00 00 00 30 4D B6 4B 06 43
+BE 4C 62 48 BC 4B 09 49 4D 4D 45 44 49 41 54 45
+18 42 B6 1D F8 D0 80 00 00 00 30 4D A6 4B 06 43
 52 45 41 54 45 00 B0 12 14 4D BA 40 86 12 FC FF
 8A 4A FE FF C9 3F CE 4D 04 43 4F 44 45 00 B0 12
-14 4D A2 82 C6 1D 0D 12 84 12 0E 50 E8 4F 62 48
-00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 6A 4D
-28 50 62 48 7A 4C 03 41 53 4D B2 40 EC 4F DA 1D
-E6 3F 02 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12
-0A 4E 46 50 62 48 00 00 05 43 4F 4C 4F 4E 1A 42
-C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
-C6 1D B2 43 BE 1D 30 40 28 50 00 00 05 4C 4F 32
-48 49 A2 83 C6 1D 1A 42 C6 1D EE 3F B6 4D 85 48
-49 32 4C 4F 0D 12 84 12 28 44 B6 4F 5C 4B BE 4C
-F6 4D 62 48 9C 4D 86 5B 54 48 45 4E 5D 00 30 4D
-0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
-06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
-3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
-F7 3F 86 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12
-0A 44 00 00 DA 47 26 4C 2E 49 BC 4B A2 47 34 44
-1E 4F B0 47 14 44 06 5B 54 48 45 4E 5D 00 90 4E
-F8 4E B4 4E D6 4E 62 48 B0 47 14 44 06 5B 45 4C
-53 45 5D 00 90 4E 0E 4F B4 4E D4 4E 62 48 14 44
-04 5B 49 46 5D 00 90 4E D6 4E 3A 44 D4 4E 84 47
-14 44 05 0D 0A 6B 6F 20 5E 47 BC 44 AC 44 3A 44
-D6 4E C4 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
-30 4D 2F 53 30 4D 34 4F 89 5B 44 45 46 49 4E 45
-44 5D 0D 12 84 12 26 4C 2E 49 96 49 42 4F 62 48
-48 4F 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
-84 12 52 4F F2 47 62 48 7A 4F B2 4E 0A 18 2E 53
-BE 12 3E 4F 3D 41 90 3C 7E 4B 06 4D 41 52 4B 45
-52 00 B0 12 14 4D BA 40 85 12 FC FF BA 40 78 4F
-FE FF 28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50
-06 00 C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D EA 47
-62 48 85 12 BA 4F 16 4E E8 4D 2E 47 92 4C 6E 4E
-F8 46 8A 4F 14 49 B2 50 C6 50 9E 48 28 49 00 00
-62 4F D0 4C F6 49 00 00 85 12 BA 4F 8C 56 F2 56
-34 56 42 57 FA 55 00 00 C6 53 00 00 0A 58 EE 57
-5E 56 9C 56 D6 54 00 00 00 00 5E 57 E6 4F 3A 40
-0C 00 39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-82 43 CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C2 4F
-40 50 46 50 56 50 1A 42 20 18 82 4A C8 1D 2E 4E
-82 4E C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D DC 4C 09 50 57 52
-5F 53 54 41 54 45 85 12 4E 50 16 58 E2 48 09 52
-53 54 5F 53 54 41 54 45 92 42 0A 18 9A 50 F3 3F
-8C 50 08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D
-9A 50 30 4D 9E 50 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
-E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
-FC 23 B9 40 24 51 FE FF 29 83 B9 40 02 46 FE FF
-39 90 AE FF F9 23 39 40 14 18 B2 49 04 46 B2 49
-FA 44 B2 49 02 44 B2 49 22 46 B2 49 F0 FF B2 49
-0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
-B2 40 80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40
-00 08 29 83 89 43 00 1C FC 23 B2 40 FE FF 02 02
-B2 D3 06 02 B2 D3 26 02 B2 40 FF BF 22 02 E2 D3
-25 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00 41 01
-F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01
-B2 40 48 00 62 01 82 43 66 01 B2 40 33 00 64 01
-D2 43 61 01 39 40 40 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2 9E 01
-B0 12 F8 44 20 46 38 40 C0 1D 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
-C4 1D 84 12 2E 49 96 49 F2 47 34 44 06 52 52 4A
-34 44 20 52 1A 52 08 52 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-22 52 B2 41 C4 1D 3E 41 84 12 0A 44 2B 00 2E 49
-96 49 F2 47 34 44 3E 52 52 4A 34 44 24 4C BC 47
-2E 49 52 4A 34 44 24 4C 4A 52 3E 5F E7 3F 3E 40
-28 00 B0 12 EA 51 19 42 C6 1D A2 53 C6 1D 89 4E
-00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40
-82 4D 1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2E 49
-52 4A 34 44 92 52 88 52 21 53 3E 90 10 00 C6 2B
-7F 2D 94 52 B2 41 C4 1D C1 3F 0D 12 84 12 26 4C
-C6 51 A4 52 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12 EA 51
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 1D B0 12 EA 51 ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 1D B0 12 72 52 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 72 52 92 92 C0 1D C4 1D
-02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 72 52
-FA 23 3C 50 10 00 B0 12 4E 52 EF 3F 0C 43 1B 42
-C6 1D A2 53 C6 1D 0D 12 84 12 26 4C C6 51 70 53
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 72 52 E0 23 3C 50 80 00 B0 12 4E 52
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 44
-00 13 5C 4B 62 48 0A 44 2C 00 9A 52 66 53 B0 53
-09 4B 2E 4E 0E DC A2 3F 5C 4E 03 4D 4F 56 85 12
-A6 53 00 40 BA 53 05 4D 4F 56 2E 42 85 12 A6 53
-40 40 00 00 03 41 44 44 85 12 A6 53 00 50 D4 53
-05 41 44 44 2E 42 85 12 A6 53 40 50 E0 53 04 41
-44 44 43 00 85 12 A6 53 00 60 EE 53 06 41 44 44
-43 2E 42 00 85 12 A6 53 40 60 94 53 04 53 55 42
-43 00 85 12 A6 53 00 70 0C 54 06 53 55 42 43 2E
-42 00 85 12 A6 53 40 70 1A 54 03 53 55 42 85 12
-A6 53 00 80 2A 54 05 53 55 42 2E 42 85 12 A6 53
-40 80 38 4E 03 43 4D 50 85 12 A6 53 00 90 44 54
-05 43 4D 50 2E 42 85 12 A6 53 40 90 24 4E 04 44
-41 44 44 00 85 12 A6 53 00 A0 5E 54 06 44 41 44
-44 2E 42 00 85 12 A6 53 40 A0 50 54 03 42 49 54
-85 12 A6 53 00 B0 7C 54 05 42 49 54 2E 42 85 12
-A6 53 40 B0 88 54 03 42 49 43 85 12 A6 53 00 C0
-96 54 05 42 49 43 2E 42 85 12 A6 53 40 C0 A2 54
-03 42 49 53 85 12 A6 53 00 D0 B0 54 05 42 49 53
-2E 42 85 12 A6 53 40 D0 00 00 03 58 4F 52 85 12
-A6 53 00 E0 CA 54 05 58 4F 52 2E 42 85 12 A6 53
-40 E0 FC 53 03 41 4E 44 85 12 A6 53 00 F0 E4 54
-05 41 4E 44 2E 42 85 12 A6 53 40 F0 26 4C 9A 52
-02 55 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 36 54 03 52 52 43 85 12 FC 54 00 10 14 55
-05 52 52 43 2E 42 85 12 FC 54 40 10 20 55 04 53
-57 50 42 00 85 12 FC 54 80 10 2E 55 03 52 52 41
-85 12 FC 54 00 11 3C 55 05 52 52 41 2E 42 85 12
-FC 54 40 11 48 55 03 53 58 54 85 12 FC 54 80 11
-00 00 04 50 55 53 48 00 85 12 FC 54 00 12 62 55
-06 50 55 53 48 2E 42 00 85 12 FC 54 40 12 BC 54
-04 43 41 4C 4C 00 85 12 FC 54 80 12 1A 53 0E 4A
-0D 12 84 12 D8 48 14 44 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 45 56 55 03 53 3E 3D 86 12
-00 38 AA 55 02 53 3C 00 86 12 00 34 70 55 03 30
-3E 3D 86 12 00 30 BE 55 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C D2 55 03 55 3E 3D
-86 12 00 28 C8 55 03 30 3C 3E 86 12 00 24 E6 55
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D DC 55
-04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 6C 54 04 45 4C 53 45 00 1A 42 C6 1D BA 40
-00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
-80 55 05 42 45 47 49 4E 30 40 28 44 10 56 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 1D 30 4D F0 54 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 FE 55 BC 47 62 48 B4 55 06 52
-45 50 45 41 54 00 0D 12 84 12 92 56 16 56 62 48
-C2 56 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
-98 42 C6 1D 00 00 30 4D 52 56 03 42 57 31 85 12
-C0 56 00 00 DA 56 03 42 57 32 85 12 C0 56 00 00
-E6 56 03 42 57 33 85 12 C0 56 00 00 FE 56 3D 41
-1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
-A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 FC 56 00 00 1E 57 03 46 57 32 85 12
-FC 56 00 00 2A 57 03 46 57 33 85 12 FC 56 00 00
-36 57 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 94 4C 00 4C 62 48 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 26 4C C6 51 80 57
-92 53 C4 1D 3E 40 2C 00 84 12 2E 49 52 4A 34 44
-24 4C 5C 53 96 57 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E AE 56 04 52 52 43 4D 00 85 12 7A 57 50 00
-C4 57 04 52 52 41 4D 00 85 12 7A 57 50 01 D2 57
-04 52 4C 41 4D 00 85 12 7A 57 50 02 E0 57 04 52
-52 55 4D 00 85 12 7A 57 50 03 F0 55 05 50 55 53
-48 4D 85 12 7A 57 00 15 FC 57 04 50 4F 50 4D 00
-85 12 7A 57 00 17
+14 4D A2 82 C6 1D 0D 12 84 12 06 50 E0 4F 62 48
+B6 4D 07 48 44 4E 43 4F 44 45 B2 40 E4 4F DA 1D
+EE 3F 00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12
+6A 4D 20 50 3E 50 62 48 00 00 05 43 4F 4C 4F 4E
+1A 42 C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00
+A2 52 C6 1D B2 43 BE 1D 0D 12 84 12 20 50 3E 50
+62 48 00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42
+C6 1D EB 3F 02 4E 85 48 49 32 4C 4F 0D 12 84 12
+28 44 AE 4F 5C 4B BE 4C F6 4D 62 48 9C 4D 86 5B
+54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
+0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
+F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
+00 00 F9 23 2F 53 2D 53 F7 3F 7E 4E 86 5B 45 4C
+53 45 5D 00 0D 12 84 12 0A 44 00 00 DA 47 16 4C
+2E 49 AC 4B A2 47 34 44 16 4F B0 47 14 44 06 5B
+54 48 45 4E 5D 00 88 4E F0 4E AC 4E CE 4E 62 48
+B0 47 14 44 06 5B 45 4C 53 45 5D 00 88 4E 06 4F
+AC 4E CC 4E 62 48 14 44 04 5B 49 46 5D 00 88 4E
+CE 4E 3A 44 CC 4E 84 47 14 44 05 0D 0A 6B 6F 20
+5E 47 BC 44 AC 44 3A 44 CE 4E BC 4E 84 5B 49 46
+5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2C 4F
+89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 16 4C
+2E 49 96 49 3A 4F 62 48 40 4F 8B 5B 55 4E 44 45
+46 49 4E 45 44 5D 0D 12 84 12 4A 4F F2 47 62 48
+72 4F B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
+6E 4B 06 4D 41 52 4B 45 52 00 B0 12 14 4D BA 40
+85 12 FC FF BA 40 70 4F FE FF 28 83 8A 48 00 00
+BA 40 AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53
+30 4D 0A 44 CA 1D EA 47 62 48 85 12 B2 4F 7A 4C
+E8 4D 2E 47 92 4C 66 4E F8 46 82 4F 14 49 AA 50
+BE 50 9E 48 28 49 00 00 5A 4F D0 4C F6 49 00 00
+85 12 B2 4F 84 56 EA 56 2C 56 3A 57 F2 55 00 00
+BE 53 00 00 02 58 E6 57 56 56 94 56 CE 54 00 00
+00 00 56 57 DE 4F 3A 40 0C 00 39 40 D6 1D 08 49
+28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
+3A 40 0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42
+CA 1D DA 1D 30 4D BA 4F 38 50 3E 50 4E 50 1A 42
+20 18 82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D DC 4C 09 50 57 52 5F 53 54 41 54 45 85 12
+46 50 0E 58 E2 48 09 52 53 54 5F 53 54 41 54 45
+92 42 0A 18 92 50 F3 3F 84 50 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 1D 92 50 30 4D 96 50 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F
+3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
+10 00 29 83 B9 43 80 FF FC 23 B9 40 1C 51 FE FF
+29 83 B9 40 02 46 FE FF 39 90 AE FF F9 23 39 40
+14 18 B2 49 04 46 B2 49 FA 44 B2 49 02 44 B2 49
+22 46 B2 49 F0 FF B2 49 0A 18 C2 3F B2 D0 03 00
+04 01 B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40
+E0 1C 3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C
+FC 23 B2 40 FE FF 02 02 B2 D3 06 02 B2 D3 26 02
+B2 40 FF BF 22 02 E2 D3 25 02 F2 43 22 03 F2 D3
+26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
+41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
+66 01 B2 40 33 00 64 01 D2 43 61 01 39 40 40 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
+F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42 08 18
+82 43 08 18 1E D2 9E 01 B0 12 F8 44 20 46 38 40
+C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
+30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2E 49 96 49
+F2 47 34 44 FE 51 52 4A 34 44 18 52 12 52 00 52
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 1A 52 B2 41 C4 1D 3E 41
+84 12 0A 44 2B 00 2E 49 96 49 F2 47 34 44 36 52
+52 4A 34 44 14 4C BC 47 2E 49 52 4A 34 44 14 4C
+42 52 3E 5F E7 3F 3E 40 28 00 B0 12 E2 51 19 42
+C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92
+C0 1D C4 1D 02 20 30 40 82 4D 1C 15 12 12 C4 1D
+92 53 C4 1D 84 12 2E 49 52 4A 34 44 8A 52 80 52
+21 53 3E 90 10 00 C6 2B 7F 2D 8C 52 B2 41 C4 1D
+C1 3F 0D 12 84 12 16 4C BE 51 9C 52 0C 43 1B 42
+C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 1D B0 12 E2 51 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12 E2 51
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
+B0 12 6A 52 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+6A 52 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
+0C 5E DA 3F B0 12 6A 52 FA 23 3C 50 10 00 B0 12
+46 52 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
+84 12 16 4C BE 51 68 53 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 6A 52 E0 23
+3C 50 80 00 B0 12 46 52 DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 44 00 13 5C 4B 62 48 0A 44
+2C 00 92 52 5E 53 A8 53 09 4B 2E 4E 0E DC A2 3F
+54 4E 03 4D 4F 56 85 12 9E 53 00 40 B2 53 05 4D
+4F 56 2E 42 85 12 9E 53 40 40 00 00 03 41 44 44
+85 12 9E 53 00 50 CC 53 05 41 44 44 2E 42 85 12
+9E 53 40 50 D8 53 04 41 44 44 43 00 85 12 9E 53
+00 60 E6 53 06 41 44 44 43 2E 42 00 85 12 9E 53
+40 60 8C 53 04 53 55 42 43 00 85 12 9E 53 00 70
+04 54 06 53 55 42 43 2E 42 00 85 12 9E 53 40 70
+12 54 03 53 55 42 85 12 9E 53 00 80 22 54 05 53
+55 42 2E 42 85 12 9E 53 40 80 2A 4E 03 43 4D 50
+85 12 9E 53 00 90 3C 54 05 43 4D 50 2E 42 85 12
+9E 53 40 90 14 4E 04 44 41 44 44 00 85 12 9E 53
+00 A0 56 54 06 44 41 44 44 2E 42 00 85 12 9E 53
+40 A0 48 54 03 42 49 54 85 12 9E 53 00 B0 74 54
+05 42 49 54 2E 42 85 12 9E 53 40 B0 80 54 03 42
+49 43 85 12 9E 53 00 C0 8E 54 05 42 49 43 2E 42
+85 12 9E 53 40 C0 9A 54 03 42 49 53 85 12 9E 53
+00 D0 A8 54 05 42 49 53 2E 42 85 12 9E 53 40 D0
+00 00 03 58 4F 52 85 12 9E 53 00 E0 C2 54 05 58
+4F 52 2E 42 85 12 9E 53 40 E0 F4 53 03 41 4E 44
+85 12 9E 53 00 F0 DC 54 05 41 4E 44 2E 42 85 12
+9E 53 40 F0 16 4C 92 52 FA 54 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 2E 54 03 52 52 43
+85 12 F4 54 00 10 0C 55 05 52 52 43 2E 42 85 12
+F4 54 40 10 18 55 04 53 57 50 42 00 85 12 F4 54
+80 10 26 55 03 52 52 41 85 12 F4 54 00 11 34 55
+05 52 52 41 2E 42 85 12 F4 54 40 11 40 55 03 53
+58 54 85 12 F4 54 80 11 00 00 04 50 55 53 48 00
+85 12 F4 54 00 12 5A 55 06 50 55 53 48 2E 42 00
+85 12 F4 54 40 12 B4 54 04 43 41 4C 4C 00 85 12
+F4 54 80 12 1A 53 0E 4A 0D 12 84 12 D8 48 14 44
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 45
+4E 55 03 53 3E 3D 86 12 00 38 A2 55 02 53 3C 00
+86 12 00 34 68 55 03 30 3E 3D 86 12 00 30 B6 55
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C CA 55 03 55 3E 3D 86 12 00 28 C0 55 03 30
+3C 3E 86 12 00 24 DE 55 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
+C6 1D 0E 4A 30 4D D4 55 04 54 48 45 4E 00 1A 42
+C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 64 54 04 45 4C 53
+45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
+2F 83 8F 4A 00 00 E3 3F 78 55 05 42 45 47 49 4E
+30 40 28 44 08 56 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
+30 4D E8 54 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 F6 55
+BC 47 62 48 AC 55 06 52 45 50 45 41 54 00 0D 12
+84 12 8A 56 0E 56 62 48 BA 56 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
+4A 56 03 42 57 31 85 12 B8 56 00 00 D2 56 03 42
+57 32 85 12 B8 56 00 00 DE 56 03 42 57 33 85 12
+B8 56 00 00 F6 56 3D 41 1A 42 C6 1D 28 4E B2 92
+C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 F4 56 00 00
+16 57 03 46 57 32 85 12 F4 56 00 00 22 57 03 46
+57 33 85 12 F4 56 00 00 2E 57 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 94 4C
+F0 4B 62 48 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 16 4C BE 51 78 57 92 53 C4 1D 3E 40 2C 00
+84 12 2E 49 52 4A 34 44 14 4C 54 53 8E 57 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E A6 56 04 52 52 43
+4D 00 85 12 72 57 50 00 BC 57 04 52 52 41 4D 00
+85 12 72 57 50 01 CA 57 04 52 4C 41 4D 00 85 12
+72 57 50 02 D8 57 04 52 52 55 4D 00 85 12 72 57
+50 03 E8 55 05 50 55 53 48 4D 85 12 72 57 00 15
+F4 57 04 50 4F 50 4D 00 85 12 72 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -334,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
-96 46 02 46 02 46 02 46 02 46 02 46 02 46 24 51
+96 46 02 46 02 46 02 46 02 46 02 46 02 46 1C 51
 q
index d573ba4..34de458 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF FE 57 0A 50 33 01
-10 00 81 86 B4 45 AA 44 B6 45 8A 45 82 46 FE 57
-0A 50 70 46 92 47 FE 46 DA 46 3C 1D 60 48 D4 44
-E2 44 EE 44 20 00 0A 00 28 4C 42 4C 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF E6 57 F2 4F 34 01
+10 00 41 87 B6 45 AA 44 B8 45 8C 45 84 46 E6 57
+F2 4F 72 46 82 47 00 47 DC 46 3C 1D 50 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 B6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
-B2 49 6E 46 B2 49 90 47 B2 49 FC 46 B2 49 D8 46
+B2 49 70 46 B2 49 80 47 B2 49 FE 46 B2 49 DA 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 45 B0 12 F8 44
-0A 44 DE 1D E0 47 16 47 4A 47 34 44 28 4C 14 44
-05 1B 5B 37 6D 40 5C 47 0A 44 02 18 E0 47 D6 48
-A8 47 34 44 7C 45 14 44 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5C 47 A0 48 5C 47 14 44
-04 1B 5B 30 6D 00 5C 47 28 4C 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 45 F2 B0 20 00 21 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC 45 04 57 41 52 4D 00 B0 12
-8A 45 78 40 03 00 B0 12 B8 45 84 12 14 44 07 0D
-0A 1B 5B 37 6D 40 5C 47 0A 44 02 18 E0 47 D6 48
-0A 44 23 00 FA 46 D6 48 14 44 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 5C 47 0A 44 40 FF 28 44 D4 47 A0 48
-14 44 0A 62 79 74 65 73 20 66 72 65 65 00 3A 44
-7C 45 00 00 06 41 43 43 45 50 54 00 30 40 70 46
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
-3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA 46 2F 83 8F 4E 00 00
-58 43 B0 12 B8 45 92 B3 6C 06 FD 27 1E 42 4C 06
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE 46 08 4E
-3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 46
-04 45 43 48 4F 00 B2 40 C2 48 08 47 82 43 DE 1D
-38 40 05 00 B0 12 B8 45 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 47 92 43 DE 1D 28 42
-F1 3F 2C 47 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 00 00 04 54 59 50 45 00 0E 93 11 24
-0D 12 3D 40 78 47 28 4F 2F 83 8F 4E 00 00 7E 48
-8F 48 02 00 10 42 FC 46 7A 47 2D 83 1E 83 F3 23
-3D 41 2F 53 3E 4F 30 4D DA 45 02 43 52 00 30 40
-92 47 0D 12 84 12 14 44 02 0D 0A 00 5C 47 60 48
+B2 49 DC 1D 3D 41 30 40 BE 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 45 0E 12 B0 12
+F8 44 0A 44 DE 1D D0 47 18 47 EE 44 34 44 8A 45
+14 44 05 1B 5B 37 6D 40 4C 47 0A 44 02 18 D0 47
+C6 48 98 47 34 44 7E 45 14 44 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4C 47 90 48 4C 47
+14 44 04 1B 5B 30 6D 00 4C 47 18 4C 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 45 F2 B0 20 00 21 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE 45 04 57 41 52 4D 00
+B0 12 8C 45 78 40 03 00 B0 12 BA 45 84 12 14 44
+07 0D 0A 1B 5B 37 6D 40 4C 47 0A 44 02 18 D0 47
+C6 48 0A 44 23 00 FC 46 C6 48 14 44 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 4C 47 0A 44 40 FF 28 44 C4 47
+90 48 14 44 0A 62 79 74 65 73 20 66 72 65 65 00
+3A 44 7E 45 00 00 06 41 43 43 45 50 54 00 30 40
+72 46 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06
+B7 22 3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC 46 2F 83 8F 4E
+00 00 58 43 B0 12 BA 45 92 B3 6C 06 FD 27 1E 42
+4C 06 30 4D 00 00 04 45 4D 49 54 00 30 40 00 47
+08 4E 3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D
+F6 46 04 45 43 48 4F 00 B2 40 C2 48 0A 47 82 43
+DE 1D 38 40 05 00 B0 12 BA 45 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A 47 92 43 DE 1D
+28 42 F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24
+0D 12 3D 40 68 47 28 4F 2F 83 8F 4E 00 00 7E 48
+8F 48 02 00 10 42 FE 46 6A 47 2D 83 1E 83 F3 23
+3D 41 2F 53 3E 4F 30 4D DC 45 02 43 52 00 30 40
+82 47 0D 12 84 12 14 44 02 0D 0A 00 4C 47 50 48
 2F 83 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E
 FE FF AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00
 0E 4A 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11
-2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 64 46 01 40
-2E 4E 30 4D DE 47 01 21 BE 4F 00 00 3E 4F 30 4D
+2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 66 46 01 40
+2E 4E 30 4D CE 47 01 21 BE 4F 00 00 3E 4F 30 4D
 1E 83 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F
 03 24 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00
-B2 40 B2 1D B2 1D 30 4D 8A 47 01 23 1B 42 DC 1D
+B2 40 B2 1D B2 1D 30 4D 7A 47 01 23 1B 42 DC 1D
 2C 4F 2F 83 B0 12 6E 44 BF 4F 00 00 7A 90 0A 00
 02 28 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42
-B2 1D C8 4A 00 00 30 4D 1A 48 02 23 53 00 0D 12
-84 12 1C 48 56 48 2D 83 09 93 E2 23 0E 93 E0 23
-3D 41 30 4D 4A 48 02 23 3E 00 9F 42 B2 1D 00 00
+B2 1D C8 4A 00 00 30 4D 0A 48 02 23 53 00 0D 12
+84 12 0C 48 46 48 2D 83 09 93 E2 23 0E 93 E0 23
+3D 41 30 4D 3A 48 02 23 3E 00 9F 42 B2 1D 00 00
 3E 40 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00
 4A 4E 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93
-3E 4F 7A 40 2D 00 D1 33 30 4D 56 47 02 55 2E 00
+3E 4F 7A 40 2D 00 D1 33 30 4D 46 47 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 48 4E 48 EE 44 8E 48 6A 48 5C 47 24 4C FA 46
-60 48 44 47 01 2E 0E 93 E3 37 38 43 E2 3F 88 48
+00 48 3E 48 EE 44 7E 48 5A 48 4C 47 04 4C FC 46
+50 48 2E 47 01 2E 0E 93 E3 37 38 43 E2 3F 78 48
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44
-5A 4B 0A 44 22 00 2C 49 FA 48 B2 40 20 00 B4 1D
+4A 4B 0A 44 22 00 1C 49 EA 48 B2 40 20 00 B4 1D
 6E 4E 1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D
-D4 48 82 2E 22 00 0D 12 84 12 E4 48 0A 44 5C 47
-5A 4B 60 48 F8 45 04 57 4F 52 44 00 3C 40 C0 1D
+C4 48 82 2E 22 00 0D 12 84 12 D4 48 0A 44 4C 47
+4A 4B 50 48 FA 45 04 57 4F 52 44 00 3C 40 C0 1D
 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A
 FC 27 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00
 00 00 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A
@@ -105,12 +104,12 @@ CA 1D 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
 22 C3 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
 E3 23 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D
-32 C0 00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AE 4A
+32 C0 00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9E 4A
 09 43 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90
 27 00 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90
 03 00 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43
 02 00 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F B0 4A
+3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F A0 4A
 31 24 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20
 32 D0 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20
 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
@@ -122,215 +121,215 @@ B0 12 66 44 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 47 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 48 4B 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
-30 4D 66 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
-00 00 5E 4E FF FF 30 4D 7A 48 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 44 24 4C 2C 49 E0 4B
-94 26 3D 40 E8 4B D6 3E EA 4B 0A 4E 3E 4F 3D 40
-04 4C 2E 27 3D 40 DA 4B 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 4C 3E 4F 3D 40 DA 4B BB 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 4D
-CC 3F 0E 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40
-E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E 47
-BC 44 D4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
+30 4D 56 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
+00 00 5E 4E FF FF 30 4D 6A 48 09 49 4E 54 45 52
+50 52 45 54 0D 12 84 12 AC 44 04 4C 1C 49 C0 4B
+9C 26 3D 40 C8 4B DE 3E CA 4B 0A 4E 3E 4F 3D 40
+E4 4B 36 27 3D 40 BA 4B 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 E6 4B 3E 4F 3D 40 BA 4B BB 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 86 4D
+CC 3F EE 4B 86 12 20 00 D6 47 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
+E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7E 47
+BC 44 B4 4B B4 47 E6 47 14 44 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44
-FE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 45 3A 44 3E 4C 6C 4B 86 41 42 4F 52 54 22 00
-0D 12 84 12 E4 48 0A 44 2A 45 5A 4B 60 48 8E 49
-01 27 0D 12 84 12 24 4C 2C 49 94 49 34 44 22 4C
-60 48 00 00 83 5B 27 5D 0D 12 84 12 92 4C 0A 44
-0A 44 5A 4B 5A 4B 60 48 A4 4C 81 5B 82 43 BE 1D
-30 4D 0C 48 01 5D B2 43 BE 1D 30 4D C4 4C 81 5C
+EE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
+2A 45 3A 44 2E 4C 0A 4C 86 41 42 4F 52 54 22 00
+0D 12 84 12 D4 48 0A 44 2A 45 4A 4B 50 48 7E 49
+01 27 0D 12 84 12 04 4C 1C 49 84 49 34 44 02 4C
+50 48 00 00 83 5B 27 5D 0D 12 84 12 82 4C 0A 44
+0A 44 4A 4B 4A 4B 50 48 94 4C 81 5B 82 43 BE 1D
+30 4D FC 47 01 5D B2 43 BE 1D 30 4D B4 4C 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 4C 2C 49 94 49 A8 47
-34 44 22 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
-5A 4B 0A 44 5A 4B 5A 4B 60 48 BA 4C 01 3A 30 12
-56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 4C
-2C 49 24 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
+4F 4E 45 00 0D 12 84 12 04 4C 1C 49 84 49 98 47
+34 44 02 4C E6 47 34 44 F6 4C 0A 44 0A 44 4A 4B
+4A 4B 0A 44 4A 4B 4A 4B 50 48 AA 4C 01 3A 30 12
+46 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 04 4C
+1C 49 14 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
 82 4A C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12
 FE FF B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42
 B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 36 45 0C 4D 81 3B 82 93 BE 1D
-97 27 0D 12 84 12 0A 44 60 48 5A 4B 68 4D BC 4C
-60 48 CA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 4B 06 43 52 45
-41 54 45 00 B0 12 12 4D BA 40 86 12 FC FF 8A 4A
-FE FF C9 3F CC 4D 04 43 4F 44 45 00 B0 12 12 4D
-A2 82 C6 1D 0D 12 84 12 0C 50 E6 4F 60 48 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D 26 50
-60 48 78 4C 03 41 53 4D B2 40 EA 4F DA 1D E6 3F
-00 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 4E
-44 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 50 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 4D 85 48 49 32
-4C 4F 0D 12 84 12 28 44 B4 4F 5A 4B BC 4C F4 4D
-60 48 9A 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44
-00 00 D8 47 24 4C 2C 49 BA 4B A0 47 34 44 1C 4F
-AE 47 14 44 06 5B 54 48 45 4E 5D 00 8E 4E F6 4E
-B2 4E D4 4E 60 48 AE 47 14 44 06 5B 45 4C 53 45
-5D 00 8E 4E 0C 4F B2 4E D2 4E 60 48 14 44 04 5B
-49 46 5D 00 8E 4E D4 4E 3A 44 D2 4E 82 47 14 44
-05 0D 0A 6B 6F 20 5C 47 BC 44 AC 44 3A 44 D4 4E
-C2 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 4F 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 4C 2C 49 94 49 40 4F 60 48 46 4F
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 4F F0 47 60 48 78 4F B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 4B 06 4D 41 52 4B 45 52 00
-B0 12 12 4D BA 40 85 12 FC FF BA 40 76 4F FE FF
-28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D E8 47 60 48
-85 12 B8 4F 14 4E E6 4D 10 47 90 4C 6C 4E D2 46
-88 4F 12 49 B0 50 C4 50 9C 48 26 49 00 00 60 4F
-CE 4C F4 49 00 00 85 12 B8 4F 74 56 DA 56 1C 56
-2A 57 E2 55 00 00 AE 53 00 00 F2 57 D6 57 46 56
-84 56 BE 54 00 00 00 00 46 57 E4 4F 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 4F 3E 50
-44 50 54 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 4C 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 50 FE 57 E0 48 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 50 F3 3F 8A 50
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 50
-30 4D 9C 50 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 51 FE FF 29 83 B9 40 E0 45 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 E2 45 B2 49 FA 44
-B2 49 02 44 B2 49 00 46 B2 49 EE FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08
-29 83 89 43 00 1C FC 23 B2 40 FE FF 02 02 B2 D3
-06 02 B2 D3 26 02 B2 40 FF BF 22 02 F2 43 22 03
-F2 D3 26 03 F2 40 A5 00 61 01 82 43 62 01 82 43
-66 01 B2 40 33 00 64 01 D2 43 61 01 39 40 40 00
-18 42 00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
-F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42 08 18
-82 43 08 18 1E D2 9E 01 B0 12 F8 44 FE 45 38 40
-C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
-03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
-30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2C 49 94 49
-F0 47 34 44 EE 51 50 4A 34 44 08 52 02 52 F0 51
-3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
-2E 83 21 52 1B 17 30 41 0A 52 B2 41 C4 1D 3E 41
-84 12 0A 44 2B 00 2C 49 94 49 F0 47 34 44 26 52
-50 4A 34 44 22 4C BA 47 2C 49 50 4A 34 44 22 4C
-32 52 3E 5F E7 3F 3E 40 28 00 B0 12 D2 51 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92
-C0 1D C4 1D 02 20 30 40 80 4D 1C 15 12 12 C4 1D
-92 53 C4 1D 84 12 2C 49 50 4A 34 44 7A 52 70 52
-21 53 3E 90 10 00 C6 2B 7F 2D 7C 52 B2 41 C4 1D
-C1 3F 0D 12 84 12 24 4C AE 51 8C 52 0C 43 1B 42
-C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20
-92 53 C4 1D B0 12 D2 51 3C 40 00 03 0E 93 1C 24
-3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
-3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
-3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D
-A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
-26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12 D2 51
-ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
-B0 12 5A 52 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
-5A 52 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
-0C 5E DA 3F B0 12 5A 52 FA 23 3C 50 10 00 B0 12
-36 52 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
-84 12 24 4C AE 51 58 53 FE 90 26 00 00 00 3E 40
-20 00 03 20 3C 50 82 00 C7 3F B0 12 5A 52 E0 23
-3C 50 80 00 B0 12 36 52 DB 3F 00 00 04 52 45 54
-49 00 0D 12 84 12 0A 44 00 13 5A 4B 60 48 0A 44
-2C 00 82 52 4E 53 98 53 09 4B 2E 4E 0E DC A2 3F
-5A 4E 03 4D 4F 56 85 12 8E 53 00 40 A2 53 05 4D
-4F 56 2E 42 85 12 8E 53 40 40 00 00 03 41 44 44
-85 12 8E 53 00 50 BC 53 05 41 44 44 2E 42 85 12
-8E 53 40 50 C8 53 04 41 44 44 43 00 85 12 8E 53
-00 60 D6 53 06 41 44 44 43 2E 42 00 85 12 8E 53
-40 60 7C 53 04 53 55 42 43 00 85 12 8E 53 00 70
-F4 53 06 53 55 42 43 2E 42 00 85 12 8E 53 40 70
-02 54 03 53 55 42 85 12 8E 53 00 80 12 54 05 53
-55 42 2E 42 85 12 8E 53 40 80 36 4E 03 43 4D 50
-85 12 8E 53 00 90 2C 54 05 43 4D 50 2E 42 85 12
-8E 53 40 90 22 4E 04 44 41 44 44 00 85 12 8E 53
-00 A0 46 54 06 44 41 44 44 2E 42 00 85 12 8E 53
-40 A0 38 54 03 42 49 54 85 12 8E 53 00 B0 64 54
-05 42 49 54 2E 42 85 12 8E 53 40 B0 70 54 03 42
-49 43 85 12 8E 53 00 C0 7E 54 05 42 49 43 2E 42
-85 12 8E 53 40 C0 8A 54 03 42 49 53 85 12 8E 53
-00 D0 98 54 05 42 49 53 2E 42 85 12 8E 53 40 D0
-00 00 03 58 4F 52 85 12 8E 53 00 E0 B2 54 05 58
-4F 52 2E 42 85 12 8E 53 40 E0 E4 53 03 41 4E 44
-85 12 8E 53 00 F0 CC 54 05 41 4E 44 2E 42 85 12
-8E 53 40 F0 24 4C 82 52 EA 54 0A 4C 3C F0 70 00
-8A 10 3A F0 0F 00 0C DA 4F 3F 1E 54 03 52 52 43
-85 12 E4 54 00 10 FC 54 05 52 52 43 2E 42 85 12
-E4 54 40 10 08 55 04 53 57 50 42 00 85 12 E4 54
-80 10 16 55 03 52 52 41 85 12 E4 54 00 11 24 55
-05 52 52 41 2E 42 85 12 E4 54 40 11 30 55 03 53
-58 54 85 12 E4 54 80 11 00 00 04 50 55 53 48 00
-85 12 E4 54 00 12 4A 55 06 50 55 53 48 2E 42 00
-85 12 E4 54 40 12 A4 54 04 43 41 4C 4C 00 85 12
-E4 54 80 12 1A 53 0E 4A 0D 12 84 12 D6 48 14 44
-0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 45
-3E 55 03 53 3E 3D 86 12 00 38 92 55 02 53 3C 00
-86 12 00 34 58 55 03 30 3E 3D 86 12 00 30 A6 55
-02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
-00 2C BA 55 03 55 3E 3D 86 12 00 28 B0 55 03 30
-3C 3E 86 12 00 24 CE 55 02 30 3D 00 86 12 00 20
-00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
-C6 1D 0E 4A 30 4D C4 55 04 54 48 45 4E 00 1A 42
-C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 B1 2F 88 DA 00 00 30 4D 54 54 04 45 4C 53
-45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
-2F 83 8F 4A 00 00 E3 3F 68 55 05 42 45 47 49 4E
-30 40 28 44 F8 55 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
-8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
-30 4D D8 54 05 41 47 41 49 4E 0A 4E 38 40 00 3C
-E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 E6 55
-BA 47 60 48 9C 55 06 52 45 50 45 41 54 00 0D 12
-84 12 7A 56 FE 55 60 48 AA 56 3D 41 08 4E 3E 4F
-2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
-3A 56 03 42 57 31 85 12 A8 56 00 00 C2 56 03 42
-57 32 85 12 A8 56 00 00 CE 56 03 42 57 33 85 12
-A8 56 00 00 E6 56 3D 41 1A 42 C6 1D 28 4E B2 92
-C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
-3E 4F 30 4D 00 00 03 46 57 31 85 12 E4 56 00 00
-06 57 03 46 57 32 85 12 E4 56 00 00 12 57 03 46
-57 33 85 12 E4 56 00 00 1E 57 04 47 4F 54 4F 00
-2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 4C
-FE 4B 60 48 00 00 05 3F 47 4F 54 4F 3E 90 00 30
-F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
-EC 3F 24 4C AE 51 68 57 92 53 C4 1D 3E 40 2C 00
-84 12 2C 49 50 4A 34 44 22 4C 44 53 7E 57 0A 4E
-3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
-01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
-2A 92 E8 2E 8A 10 5A 06 A6 3E 96 56 04 52 52 43
-4D 00 85 12 62 57 50 00 AC 57 04 52 52 41 4D 00
-85 12 62 57 50 01 BA 57 04 52 4C 41 4D 00 85 12
-62 57 50 02 C8 57 04 52 52 55 4D 00 85 12 62 57
-50 03 D8 55 05 50 55 53 48 4D 85 12 62 57 00 15
-E4 57 04 50 4F 50 4D 00 85 12 62 57 00 17
+6D 61 74 63 68 21 36 45 FC 4C 81 3B 82 93 BE 1D
+97 27 0D 12 84 12 0A 44 50 48 4A 4B 58 4D AC 4C
+50 48 AA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D 94 4B 06 43 52 45
+41 54 45 00 B0 12 02 4D BA 40 86 12 FC FF 8A 4A
+FE FF C9 3F BC 4D 04 43 4F 44 45 00 B0 12 02 4D
+A2 82 C6 1D 0D 12 84 12 F4 4F CE 4F 50 48 A4 4D
+07 48 44 4E 43 4F 44 45 B2 40 D2 4F DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 58 4D
+0E 50 2C 50 50 48 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 0E 50 2C 50 50 48
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F F0 4D 85 48 49 32 4C 4F 0D 12 84 12 28 44
+9C 4F 4A 4B AC 4C E4 4D 50 48 8A 4D 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 6C 4E 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 44 00 00 C8 47 04 4C 1C 49
+9A 4B 90 47 34 44 04 4F 9E 47 14 44 06 5B 54 48
+45 4E 5D 00 76 4E DE 4E 9A 4E BC 4E 50 48 9E 47
+14 44 06 5B 45 4C 53 45 5D 00 76 4E F4 4E 9A 4E
+BA 4E 50 48 14 44 04 5B 49 46 5D 00 76 4E BC 4E
+3A 44 BA 4E 72 47 14 44 05 0D 0A 6B 6F 20 4C 47
+BC 44 AC 44 3A 44 BC 4E AA 4E 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 1A 4F 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 04 4C 1C 49
+84 49 28 4F 50 48 2E 4F 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 38 4F E0 47 50 48 60 4F
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5C 4B
+06 4D 41 52 4B 45 52 00 B0 12 02 4D BA 40 85 12
+FC FF BA 40 5E 4F FE FF 28 83 8A 48 00 00 BA 40
+AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A 44 CA 1D D8 47 50 48 85 12 A0 4F 68 4C D6 4D
+12 47 80 4C 54 4E D4 46 70 4F 02 49 98 50 AC 50
+8C 48 16 49 00 00 48 4F BE 4C E4 49 00 00 85 12
+A0 4F 5C 56 C2 56 04 56 12 57 CA 55 00 00 96 53
+00 00 DA 57 BE 57 2E 56 6C 56 A6 54 00 00 00 00
+2E 57 CC 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D A8 4F 26 50 2C 50 3C 50 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+CA 4C 09 50 57 52 5F 53 54 41 54 45 85 12 34 50
+E6 57 D0 48 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 80 50 F3 3F 72 50 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 80 50 30 4D 84 50 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 0A 51 FE FF 29 83
+B9 40 E2 45 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 E4 45 B2 49 FA 44 B2 49 02 44 B2 49 02 46
+B2 49 EE FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C FC 23
+B2 40 FE FF 02 02 B2 D3 06 02 B2 D3 26 02 B2 40
+FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00
+61 01 82 43 62 01 82 43 66 01 B2 40 33 00 64 01
+D2 43 61 01 39 40 40 00 18 42 00 18 18 83 FE 23
+19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03 F2 C0
+40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2 9E 01
+B0 12 F8 44 00 46 38 40 C0 1D 0A 4E 39 48 2E 48
+09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
+0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
+C4 1D 84 12 1C 49 84 49 E0 47 34 44 D6 51 40 4A
+34 44 F0 51 EA 51 D8 51 3C 4E 3C 80 87 12 05 24
+1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
+F2 51 B2 41 C4 1D 3E 41 84 12 0A 44 2B 00 1C 49
+84 49 E0 47 34 44 0E 52 40 4A 34 44 02 4C AA 47
+1C 49 40 4A 34 44 02 4C 1A 52 3E 5F E7 3F 3E 40
+28 00 B0 12 BA 51 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40
+70 4D 1C 15 12 12 C4 1D 92 53 C4 1D 84 12 1C 49
+40 4A 34 44 62 52 58 52 21 53 3E 90 10 00 C6 2B
+7F 2D 64 52 B2 41 C4 1D C1 3F 0D 12 84 12 04 4C
+96 51 74 52 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
+3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12 BA 51
+3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
+3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
+3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
+3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
+3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
+92 53 C4 1D B0 12 BA 51 ED 3F 7A 90 40 00 16 20
+3C 40 20 00 92 53 C4 1D B0 12 42 52 0C 20 3C 50
+10 00 3E 40 2B 00 B0 12 42 52 92 92 C0 1D C4 1D
+02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 42 52
+FA 23 3C 50 10 00 B0 12 1E 52 EF 3F 0C 43 1B 42
+C6 1D A2 53 C6 1D 0D 12 84 12 04 4C 96 51 40 53
+FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
+C7 3F B0 12 42 52 E0 23 3C 50 80 00 B0 12 1E 52
+DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 44
+00 13 4A 4B 50 48 0A 44 2C 00 6A 52 36 53 80 53
+09 4B 2E 4E 0E DC A2 3F 42 4E 03 4D 4F 56 85 12
+76 53 00 40 8A 53 05 4D 4F 56 2E 42 85 12 76 53
+40 40 00 00 03 41 44 44 85 12 76 53 00 50 A4 53
+05 41 44 44 2E 42 85 12 76 53 40 50 B0 53 04 41
+44 44 43 00 85 12 76 53 00 60 BE 53 06 41 44 44
+43 2E 42 00 85 12 76 53 40 60 64 53 04 53 55 42
+43 00 85 12 76 53 00 70 DC 53 06 53 55 42 43 2E
+42 00 85 12 76 53 40 70 EA 53 03 53 55 42 85 12
+76 53 00 80 FA 53 05 53 55 42 2E 42 85 12 76 53
+40 80 18 4E 03 43 4D 50 85 12 76 53 00 90 14 54
+05 43 4D 50 2E 42 85 12 76 53 40 90 02 4E 04 44
+41 44 44 00 85 12 76 53 00 A0 2E 54 06 44 41 44
+44 2E 42 00 85 12 76 53 40 A0 20 54 03 42 49 54
+85 12 76 53 00 B0 4C 54 05 42 49 54 2E 42 85 12
+76 53 40 B0 58 54 03 42 49 43 85 12 76 53 00 C0
+66 54 05 42 49 43 2E 42 85 12 76 53 40 C0 72 54
+03 42 49 53 85 12 76 53 00 D0 80 54 05 42 49 53
+2E 42 85 12 76 53 40 D0 00 00 03 58 4F 52 85 12
+76 53 00 E0 9A 54 05 58 4F 52 2E 42 85 12 76 53
+40 E0 CC 53 03 41 4E 44 85 12 76 53 00 F0 B4 54
+05 41 4E 44 2E 42 85 12 76 53 40 F0 04 4C 6A 52
+D2 54 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
+4F 3F 06 54 03 52 52 43 85 12 CC 54 00 10 E4 54
+05 52 52 43 2E 42 85 12 CC 54 40 10 F0 54 04 53
+57 50 42 00 85 12 CC 54 80 10 FE 54 03 52 52 41
+85 12 CC 54 00 11 0C 55 05 52 52 41 2E 42 85 12
+CC 54 40 11 18 55 03 53 58 54 85 12 CC 54 80 11
+00 00 04 50 55 53 48 00 85 12 CC 54 00 12 32 55
+06 50 55 53 48 2E 42 00 85 12 CC 54 40 12 8C 54
+04 43 41 4C 4C 00 85 12 CC 54 80 12 1A 53 0E 4A
+0D 12 84 12 C6 48 14 44 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 36 45 26 55 03 53 3E 3D 86 12
+00 38 7A 55 02 53 3C 00 86 12 00 34 40 55 03 30
+3E 3D 86 12 00 30 8E 55 02 30 3C 00 86 12 00 30
+00 00 02 55 3C 00 86 12 00 2C A2 55 03 55 3E 3D
+86 12 00 28 98 55 03 30 3C 3E 86 12 00 24 B6 55
+02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
+C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D AC 55
+04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
+30 4D 3C 54 04 45 4C 53 45 00 1A 42 C6 1D BA 40
+00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
+50 55 05 42 45 47 49 4E 30 40 28 44 E0 55 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 C6 1D 30 4D C0 54 05 41 47 41
+49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
+4C 45 0D 12 84 12 CE 55 AA 47 50 48 84 55 06 52
+45 50 45 41 54 00 0D 12 84 12 62 56 E6 55 50 48
+92 56 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
+98 42 C6 1D 00 00 30 4D 22 56 03 42 57 31 85 12
+90 56 00 00 AA 56 03 42 57 32 85 12 90 56 00 00
+B6 56 03 42 57 33 85 12 90 56 00 00 CE 56 3D 41
+1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
+A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
+57 31 85 12 CC 56 00 00 EE 56 03 46 57 32 85 12
+CC 56 00 00 FA 56 03 46 57 33 85 12 CC 56 00 00
+06 57 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
+00 3C 0D 12 84 12 82 4C DE 4B 50 48 00 00 05 3F
+47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
+00 10 EF 27 3E E0 00 08 EC 3F 04 4C 96 51 50 57
+92 53 C4 1D 3E 40 2C 00 84 12 1C 49 40 4A 34 44
+02 4C 2C 53 66 57 0A 4E 3E 4F 1A 83 F7 32 29 4E
+59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
+10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
+A6 3E 7E 56 04 52 52 43 4D 00 85 12 4A 57 50 00
+94 57 04 52 52 41 4D 00 85 12 4A 57 50 01 A2 57
+04 52 4C 41 4D 00 85 12 4A 57 50 02 B0 57 04 52
+52 55 4D 00 85 12 4A 57 50 03 C0 55 05 50 55 53
+48 4D 85 12 4A 57 00 15 CC 57 04 50 4F 50 4D 00
+85 12 4A 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 82 46
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 22 51
+77 00 10 00 12 00 14 00 16 00 00 00 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 84 46
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 0A 51
 q
index c0ace15..ed3d074 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF 04 58 0C 50 33 01
-10 00 81 B6 94 45 AA 44 C6 45 9C 45 96 46 04 58
-0C 50 7C 46 94 47 26 47 00 47 3C 1D 62 48 D4 44
-E2 44 EE 44 20 00 0A 00 2A 4C 44 4C 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF FC 57 04 50 34 01
+10 00 41 B3 94 45 AA 44 DA 45 9C 45 96 46 FC 57
+04 50 7C 46 94 47 26 47 00 47 3C 1D 62 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 C6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
 B2 49 7A 46 B2 49 92 47 B2 49 24 47 B2 49 FE 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D8 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 45 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 D0 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 45 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 44 0A 44 DE 1D E2 47 34 47
 14 44 04 1B 5B 37 6D 00 5E 47 AA 47 34 44 86 45
@@ -52,7 +52,7 @@ FD 27 C2 48 CE 05 30 4D CA 46 2D 83 92 B3 DC 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 DC 05 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53
 DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 47
-2F 83 8F 4E 00 00 B0 12 C6 45 92 B3 DC 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA 45 92 B3 DC 05 FD 27
 1E 42 CC 05 B0 12 C8 45 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 47 08 4E 3E 4F C8 3F 1C 47 04 45
 43 48 4F 00 B2 40 C2 48 C2 46 82 43 DE 1D 30 4D
@@ -80,7 +80,7 @@ E0 23 3D 41 30 4D 4C 48 02 23 3E 00 9F 42 B2 1D
 0E 93 3E 4F 7A 40 2D 00 D1 33 30 4D 58 47 02 55
 2E 00 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12
 3E F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
-84 12 12 48 50 48 EE 44 90 48 6C 48 5E 47 26 4C
+84 12 12 48 50 48 EE 44 90 48 6C 48 5E 47 16 4C
 22 47 62 48 42 47 01 2E 0E 93 E3 37 38 43 E2 3F
 8A 48 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44
 14 44 5C 4B 0A 44 22 00 2E 49 FC 48 B2 40 20 00
@@ -122,35 +122,35 @@ AF 4F 04 00 4A 93 2B 17 0E 4C 82 4B DC 1D 06 24
 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
 00 02 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D
-8A 4E 00 00 A2 53 C6 1D 3E 4F 30 4D E8 47 05 41
-4C 4C 4F 54 82 5E C6 1D 3E 4F 30 4D 5A 4B 87 4C
+8A 4E 00 00 A2 53 C6 1D 3E 4F 30 4D 5A 4B 87 4C
 49 54 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42
 C6 1D A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00
 3E 4F 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00
 EE 3F 30 4D 68 48 05 43 4F 55 4E 54 2F 83 1E 53
 8F 4E 00 00 5E 4E FF FF 30 4D 7C 48 09 49 4E 54
-45 52 50 52 45 54 0D 12 84 12 AC 44 26 4C 2E 49
-E2 4B 94 26 3D 40 EA 4B D6 3E EC 4B 0A 4E 3E 4F
-3D 40 06 4C 2E 27 3D 40 DC 4B 1A E2 BE 1D AE 27
-0E 12 3E 4F 30 41 08 4C 3E 4F 3D 40 DC 4B BB 23
+45 52 50 52 45 54 0D 12 84 12 AC 44 16 4C 2E 49
+D2 4B 9C 26 3D 40 DA 4B DE 3E DC 4B 0A 4E 3E 4F
+3D 40 F6 4B 36 27 3D 40 CC 4B 1A E2 BE 1D B6 27
+0E 12 3E 4F 30 41 F8 4B 3E 4F 3D 40 CC 4B BB 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-98 4D CC 3F 10 4C 86 12 20 00 3F 40 80 1C 0E 43
+98 4D CC 3F 00 4C 86 12 20 00 E8 47 05 41 4C 4C
+4F 54 82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43
 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12
-90 47 BC 44 D6 4B C6 47 F8 47 14 44 0C 73 74 61
+90 47 BC 44 C6 4B C6 47 F8 47 14 44 0C 73 74 61
 63 6B 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF
 28 44 00 48 14 44 0A 46 52 41 4D 20 66 75 6C 6C
-21 00 2A 45 3A 44 40 4C 6E 4B 86 41 42 4F 52 54
+21 00 2A 45 3A 44 40 4C 1C 4C 86 41 42 4F 52 54
 22 00 0D 12 84 12 E6 48 0A 44 2A 45 5C 4B 62 48
-90 49 01 27 0D 12 84 12 26 4C 2E 49 96 49 34 44
-24 4C 62 48 00 00 83 5B 27 5D 0D 12 84 12 94 4C
+90 49 01 27 0D 12 84 12 16 4C 2E 49 96 49 34 44
+14 4C 62 48 00 00 83 5B 27 5D 0D 12 84 12 94 4C
 0A 44 0A 44 5C 4B 5C 4B 62 48 A6 4C 81 5B 82 43
 BE 1D 30 4D 0E 48 01 5D B2 43 BE 1D 30 4D C6 4C
 81 5C 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53
-54 50 4F 4E 45 00 0D 12 84 12 26 4C 2E 49 96 49
-AA 47 34 44 24 4C F8 47 34 44 08 4D 0A 44 0A 44
+54 50 4F 4E 45 00 0D 12 84 12 16 4C 2E 49 96 49
+AA 47 34 44 14 4C F8 47 34 44 08 4D 0A 44 0A 44
 5C 4B 5C 4B 0A 44 5C 4B 5C 4B 62 48 BC 4C 01 3A
 30 12 58 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12
-26 4C 2E 49 26 4D 3D 41 08 4E 7A 4E 5A D3 5A 53
+16 4C 2E 49 26 4D 3D 41 08 4E 7A 4E 5A D3 5A 53
 0A 58 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F
 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D
 2A 52 82 4A C6 1D 30 41 BA 40 0D 12 FC FF BA 40
@@ -159,172 +159,171 @@ AA 47 34 44 24 4C F8 47 34 44 08 4D 0A 44 0A 44
 30 4D 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D
 69 73 6D 61 74 63 68 21 36 45 0E 4D 81 3B 82 93
 BE 1D 97 27 0D 12 84 12 0A 44 62 48 5C 4B 6A 4D
-BE 4C 62 48 CC 4B 09 49 4D 4D 45 44 49 41 54 45
-18 42 B6 1D F8 D0 80 00 00 00 30 4D B6 4B 06 43
+BE 4C 62 48 BC 4B 09 49 4D 4D 45 44 49 41 54 45
+18 42 B6 1D F8 D0 80 00 00 00 30 4D A6 4B 06 43
 52 45 41 54 45 00 B0 12 14 4D BA 40 86 12 FC FF
 8A 4A FE FF C9 3F CE 4D 04 43 4F 44 45 00 B0 12
-14 4D A2 82 C6 1D 0D 12 84 12 0E 50 E8 4F 62 48
-00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 6A 4D
-28 50 62 48 7A 4C 03 41 53 4D B2 40 EC 4F DA 1D
-E6 3F 02 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12
-0A 4E 46 50 62 48 00 00 05 43 4F 4C 4F 4E 1A 42
-C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
-C6 1D B2 43 BE 1D 30 40 28 50 00 00 05 4C 4F 32
-48 49 A2 83 C6 1D 1A 42 C6 1D EE 3F B6 4D 85 48
-49 32 4C 4F 0D 12 84 12 28 44 B6 4F 5C 4B BE 4C
-F6 4D 62 48 9C 4D 86 5B 54 48 45 4E 5D 00 30 4D
-0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
-06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
-3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
-F7 3F 86 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12
-0A 44 00 00 DA 47 26 4C 2E 49 BC 4B A2 47 34 44
-1E 4F B0 47 14 44 06 5B 54 48 45 4E 5D 00 90 4E
-F8 4E B4 4E D6 4E 62 48 B0 47 14 44 06 5B 45 4C
-53 45 5D 00 90 4E 0E 4F B4 4E D4 4E 62 48 14 44
-04 5B 49 46 5D 00 90 4E D6 4E 3A 44 D4 4E 84 47
-14 44 05 0D 0A 6B 6F 20 5E 47 BC 44 AC 44 3A 44
-D6 4E C4 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
-30 4D 2F 53 30 4D 34 4F 89 5B 44 45 46 49 4E 45
-44 5D 0D 12 84 12 26 4C 2E 49 96 49 42 4F 62 48
-48 4F 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
-84 12 52 4F F2 47 62 48 7A 4F B2 4E 0A 18 2E 53
-BE 12 3E 4F 3D 41 90 3C 7E 4B 06 4D 41 52 4B 45
-52 00 B0 12 14 4D BA 40 85 12 FC FF BA 40 78 4F
-FE FF 28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50
-06 00 C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D EA 47
-62 48 85 12 BA 4F 16 4E E8 4D 2E 47 92 4C 6E 4E
-F8 46 8A 4F 14 49 B2 50 C6 50 9E 48 28 49 00 00
-62 4F D0 4C F6 49 00 00 85 12 BA 4F 7A 56 E0 56
-22 56 30 57 E8 55 00 00 B4 53 00 00 F8 57 DC 57
-4C 56 8A 56 C4 54 00 00 00 00 4C 57 E6 4F 3A 40
-0C 00 39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-82 43 CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C2 4F
-40 50 46 50 56 50 1A 42 20 18 82 4A C8 1D 2E 4E
-82 4E C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D DC 4C 09 50 57 52
-5F 53 54 41 54 45 85 12 4E 50 04 58 E2 48 09 52
-53 54 5F 53 54 41 54 45 92 42 0A 18 9A 50 F3 3F
-8C 50 08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D
-9A 50 30 4D 9E 50 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
-E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
-FC 23 B9 40 24 51 FE FF 29 83 B9 40 02 46 FE FF
-39 90 AE FF F9 23 39 40 14 18 B2 49 04 46 B2 49
-FA 44 B2 49 02 44 B2 49 22 46 B2 49 F0 FF B2 49
-0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
-B2 40 80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40
-00 08 29 83 89 43 00 1C FC 23 B2 40 FE FF 02 02
-B2 D3 06 02 B2 D3 26 02 B2 40 FF BF 22 02 E2 D3
-25 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00 61 01
-82 43 62 01 82 43 66 01 B2 40 33 00 64 01 D2 43
-61 01 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
-FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00
-A1 04 1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12
-F8 44 20 46 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E
-1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
-2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D
-84 12 2E 49 96 49 F2 47 34 44 F4 51 52 4A 34 44
-0E 52 08 52 F6 51 3C 4E 3C 80 87 12 05 24 1C 53
-02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 10 52
-B2 41 C4 1D 3E 41 84 12 0A 44 2B 00 2E 49 96 49
-F2 47 34 44 2C 52 52 4A 34 44 24 4C BC 47 2E 49
-52 4A 34 44 24 4C 38 52 3E 5F E7 3F 3E 40 28 00
-B0 12 D8 51 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40 82 4D
-1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2E 49 52 4A
-34 44 80 52 76 52 21 53 3E 90 10 00 C6 2B 7F 2D
-82 52 B2 41 C4 1D C1 3F 0D 12 84 12 26 4C B4 51
-92 52 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F
-7A 90 23 00 27 20 92 53 C4 1D B0 12 D8 51 3C 40
-00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
-20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
-30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
-30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F
-3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
-C4 1D B0 12 D8 51 ED 3F 7A 90 40 00 16 20 3C 40
-20 00 92 53 C4 1D B0 12 60 52 0C 20 3C 50 10 00
-3E 40 2B 00 B0 12 60 52 92 92 C0 1D C4 1D 02 24
-92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 60 52 FA 23
-3C 50 10 00 B0 12 3C 52 EF 3F 0C 43 1B 42 C6 1D
-A2 53 C6 1D 0D 12 84 12 26 4C B4 51 5E 53 FE 90
-26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
-B0 12 60 52 E0 23 3C 50 80 00 B0 12 3C 52 DB 3F
-00 00 04 52 45 54 49 00 0D 12 84 12 0A 44 00 13
-5C 4B 62 48 0A 44 2C 00 88 52 54 53 9E 53 09 4B
-2E 4E 0E DC A2 3F 5C 4E 03 4D 4F 56 85 12 94 53
-00 40 A8 53 05 4D 4F 56 2E 42 85 12 94 53 40 40
-00 00 03 41 44 44 85 12 94 53 00 50 C2 53 05 41
-44 44 2E 42 85 12 94 53 40 50 CE 53 04 41 44 44
-43 00 85 12 94 53 00 60 DC 53 06 41 44 44 43 2E
-42 00 85 12 94 53 40 60 82 53 04 53 55 42 43 00
-85 12 94 53 00 70 FA 53 06 53 55 42 43 2E 42 00
-85 12 94 53 40 70 08 54 03 53 55 42 85 12 94 53
-00 80 18 54 05 53 55 42 2E 42 85 12 94 53 40 80
-38 4E 03 43 4D 50 85 12 94 53 00 90 32 54 05 43
-4D 50 2E 42 85 12 94 53 40 90 24 4E 04 44 41 44
-44 00 85 12 94 53 00 A0 4C 54 06 44 41 44 44 2E
-42 00 85 12 94 53 40 A0 3E 54 03 42 49 54 85 12
-94 53 00 B0 6A 54 05 42 49 54 2E 42 85 12 94 53
-40 B0 76 54 03 42 49 43 85 12 94 53 00 C0 84 54
-05 42 49 43 2E 42 85 12 94 53 40 C0 90 54 03 42
-49 53 85 12 94 53 00 D0 9E 54 05 42 49 53 2E 42
-85 12 94 53 40 D0 00 00 03 58 4F 52 85 12 94 53
-00 E0 B8 54 05 58 4F 52 2E 42 85 12 94 53 40 E0
-EA 53 03 41 4E 44 85 12 94 53 00 F0 D2 54 05 41
-4E 44 2E 42 85 12 94 53 40 F0 26 4C 88 52 F0 54
-0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
-24 54 03 52 52 43 85 12 EA 54 00 10 02 55 05 52
-52 43 2E 42 85 12 EA 54 40 10 0E 55 04 53 57 50
-42 00 85 12 EA 54 80 10 1C 55 03 52 52 41 85 12
-EA 54 00 11 2A 55 05 52 52 41 2E 42 85 12 EA 54
-40 11 36 55 03 53 58 54 85 12 EA 54 80 11 00 00
-04 50 55 53 48 00 85 12 EA 54 00 12 50 55 06 50
-55 53 48 2E 42 00 85 12 EA 54 40 12 AA 54 04 43
-41 4C 4C 00 85 12 EA 54 80 12 1A 53 0E 4A 0D 12
-84 12 D8 48 14 44 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 36 45 44 55 03 53 3E 3D 86 12 00 38
-98 55 02 53 3C 00 86 12 00 34 5E 55 03 30 3E 3D
-86 12 00 30 AC 55 02 30 3C 00 86 12 00 30 00 00
-02 55 3C 00 86 12 00 2C C0 55 03 55 3E 3D 86 12
-00 28 B6 55 03 30 3C 3E 86 12 00 24 D4 55 02 30
-3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D
-8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D CA 55 04 54
-48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
-5A 54 04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C
-00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 6E 55
-05 42 45 47 49 4E 30 40 28 44 FE 55 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 C6 1D 30 4D DE 54 05 41 47 41 49 4E
-0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
-0D 12 84 12 EC 55 BC 47 62 48 A2 55 06 52 45 50
-45 41 54 00 0D 12 84 12 80 56 04 56 62 48 B0 56
-3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42
-C6 1D 00 00 30 4D 40 56 03 42 57 31 85 12 AE 56
-00 00 C8 56 03 42 57 32 85 12 AE 56 00 00 D4 56
-03 42 57 33 85 12 AE 56 00 00 EC 56 3D 41 1A 42
-C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53
-C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
-85 12 EA 56 00 00 0C 57 03 46 57 32 85 12 EA 56
-00 00 18 57 03 46 57 33 85 12 EA 56 00 00 24 57
-04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
-0D 12 84 12 94 4C 00 4C 62 48 00 00 05 3F 47 4F
-54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
-EF 27 3E E0 00 08 EC 3F 26 4C B4 51 6E 57 92 53
-C4 1D 3E 40 2C 00 84 12 2E 49 52 4A 34 44 24 4C
-4A 53 84 57 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
-0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
-EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
-9C 56 04 52 52 43 4D 00 85 12 68 57 50 00 B2 57
-04 52 52 41 4D 00 85 12 68 57 50 01 C0 57 04 52
-4C 41 4D 00 85 12 68 57 50 02 CE 57 04 52 52 55
-4D 00 85 12 68 57 50 03 DE 55 05 50 55 53 48 4D
-85 12 68 57 00 15 EA 57 04 50 4F 50 4D 00 85 12
-68 57 00 17
+14 4D A2 82 C6 1D 0D 12 84 12 06 50 E0 4F 62 48
+B6 4D 07 48 44 4E 43 4F 44 45 B2 40 E4 4F DA 1D
+EE 3F 00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12
+6A 4D 20 50 3E 50 62 48 00 00 05 43 4F 4C 4F 4E
+1A 42 C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00
+A2 52 C6 1D B2 43 BE 1D 0D 12 84 12 20 50 3E 50
+62 48 00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42
+C6 1D EB 3F 02 4E 85 48 49 32 4C 4F 0D 12 84 12
+28 44 AE 4F 5C 4B BE 4C F6 4D 62 48 9C 4D 86 5B
+54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
+0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
+F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
+00 00 F9 23 2F 53 2D 53 F7 3F 7E 4E 86 5B 45 4C
+53 45 5D 00 0D 12 84 12 0A 44 00 00 DA 47 16 4C
+2E 49 AC 4B A2 47 34 44 16 4F B0 47 14 44 06 5B
+54 48 45 4E 5D 00 88 4E F0 4E AC 4E CE 4E 62 48
+B0 47 14 44 06 5B 45 4C 53 45 5D 00 88 4E 06 4F
+AC 4E CC 4E 62 48 14 44 04 5B 49 46 5D 00 88 4E
+CE 4E 3A 44 CC 4E 84 47 14 44 05 0D 0A 6B 6F 20
+5E 47 BC 44 AC 44 3A 44 CE 4E BC 4E 84 5B 49 46
+5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2C 4F
+89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 16 4C
+2E 49 96 49 3A 4F 62 48 40 4F 8B 5B 55 4E 44 45
+46 49 4E 45 44 5D 0D 12 84 12 4A 4F F2 47 62 48
+72 4F B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
+6E 4B 06 4D 41 52 4B 45 52 00 B0 12 14 4D BA 40
+85 12 FC FF BA 40 70 4F FE FF 28 83 8A 48 00 00
+BA 40 AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53
+30 4D 0A 44 CA 1D EA 47 62 48 85 12 B2 4F 7A 4C
+E8 4D 2E 47 92 4C 66 4E F8 46 82 4F 14 49 AA 50
+BE 50 9E 48 28 49 00 00 5A 4F D0 4C F6 49 00 00
+85 12 B2 4F 72 56 D8 56 1A 56 28 57 E0 55 00 00
+AC 53 00 00 F0 57 D4 57 44 56 82 56 BC 54 00 00
+00 00 44 57 DE 4F 3A 40 0C 00 39 40 D6 1D 08 49
+28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
+3A 40 0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42
+CA 1D DA 1D 30 4D BA 4F 38 50 3E 50 4E 50 1A 42
+20 18 82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D DC 4C 09 50 57 52 5F 53 54 41 54 45 85 12
+46 50 FC 57 E2 48 09 52 53 54 5F 53 54 41 54 45
+92 42 0A 18 92 50 F3 3F 84 50 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 1D 92 50 30 4D 96 50 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F
+3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
+10 00 29 83 B9 43 80 FF FC 23 B9 40 1C 51 FE FF
+29 83 B9 40 02 46 FE FF 39 90 AE FF F9 23 39 40
+14 18 B2 49 04 46 B2 49 FA 44 B2 49 02 44 B2 49
+22 46 B2 49 F0 FF B2 49 0A 18 C2 3F B2 D0 03 00
+04 01 B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40
+E0 1C 3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C
+FC 23 B2 40 FE FF 02 02 B2 D3 06 02 B2 D3 26 02
+B2 40 FF BF 22 02 E2 D3 25 02 F2 43 22 03 F2 D3
+26 03 F2 40 A5 00 61 01 82 43 62 01 82 43 66 01
+B2 40 33 00 64 01 D2 43 61 01 39 40 40 00 18 42
+00 18 18 83 FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0
+10 00 2A 03 F2 C0 40 00 A1 04 1E 42 08 18 82 43
+08 18 1E D2 9E 01 B0 12 F8 44 20 46 38 40 C0 1D
+0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24
+7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D
+1C 15 0E 12 12 12 C4 1D 84 12 2E 49 96 49 F2 47
+34 44 EC 51 52 4A 34 44 06 52 00 52 EE 51 3C 4E
+3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
+21 52 1B 17 30 41 08 52 B2 41 C4 1D 3E 41 84 12
+0A 44 2B 00 2E 49 96 49 F2 47 34 44 24 52 52 4A
+34 44 14 4C BC 47 2E 49 52 4A 34 44 14 4C 30 52
+3E 5F E7 3F 3E 40 28 00 B0 12 D0 51 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D
+C4 1D 02 20 30 40 82 4D 1C 15 12 12 C4 1D 92 53
+C4 1D 84 12 2E 49 52 4A 34 44 78 52 6E 52 21 53
+3E 90 10 00 C6 2B 7F 2D 7A 52 B2 41 C4 1D C1 3F
+0D 12 84 12 16 4C AC 51 8A 52 0C 43 1B 42 C6 1D
+A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
+C4 1D B0 12 D0 51 3C 40 00 03 0E 93 1C 24 3C 40
+10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
+20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
+30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53
+C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
+07 20 3C 40 10 02 92 53 C4 1D B0 12 D0 51 ED 3F
+7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12
+58 52 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 58 52
+92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E
+DA 3F B0 12 58 52 FA 23 3C 50 10 00 B0 12 34 52
+EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12
+16 4C AC 51 56 53 FE 90 26 00 00 00 3E 40 20 00
+03 20 3C 50 82 00 C7 3F B0 12 58 52 E0 23 3C 50
+80 00 B0 12 34 52 DB 3F 00 00 04 52 45 54 49 00
+0D 12 84 12 0A 44 00 13 5C 4B 62 48 0A 44 2C 00
+80 52 4C 53 96 53 09 4B 2E 4E 0E DC A2 3F 54 4E
+03 4D 4F 56 85 12 8C 53 00 40 A0 53 05 4D 4F 56
+2E 42 85 12 8C 53 40 40 00 00 03 41 44 44 85 12
+8C 53 00 50 BA 53 05 41 44 44 2E 42 85 12 8C 53
+40 50 C6 53 04 41 44 44 43 00 85 12 8C 53 00 60
+D4 53 06 41 44 44 43 2E 42 00 85 12 8C 53 40 60
+7A 53 04 53 55 42 43 00 85 12 8C 53 00 70 F2 53
+06 53 55 42 43 2E 42 00 85 12 8C 53 40 70 00 54
+03 53 55 42 85 12 8C 53 00 80 10 54 05 53 55 42
+2E 42 85 12 8C 53 40 80 2A 4E 03 43 4D 50 85 12
+8C 53 00 90 2A 54 05 43 4D 50 2E 42 85 12 8C 53
+40 90 14 4E 04 44 41 44 44 00 85 12 8C 53 00 A0
+44 54 06 44 41 44 44 2E 42 00 85 12 8C 53 40 A0
+36 54 03 42 49 54 85 12 8C 53 00 B0 62 54 05 42
+49 54 2E 42 85 12 8C 53 40 B0 6E 54 03 42 49 43
+85 12 8C 53 00 C0 7C 54 05 42 49 43 2E 42 85 12
+8C 53 40 C0 88 54 03 42 49 53 85 12 8C 53 00 D0
+96 54 05 42 49 53 2E 42 85 12 8C 53 40 D0 00 00
+03 58 4F 52 85 12 8C 53 00 E0 B0 54 05 58 4F 52
+2E 42 85 12 8C 53 40 E0 E2 53 03 41 4E 44 85 12
+8C 53 00 F0 CA 54 05 41 4E 44 2E 42 85 12 8C 53
+40 F0 16 4C 80 52 E8 54 0A 4C 3C F0 70 00 8A 10
+3A F0 0F 00 0C DA 4F 3F 1C 54 03 52 52 43 85 12
+E2 54 00 10 FA 54 05 52 52 43 2E 42 85 12 E2 54
+40 10 06 55 04 53 57 50 42 00 85 12 E2 54 80 10
+14 55 03 52 52 41 85 12 E2 54 00 11 22 55 05 52
+52 41 2E 42 85 12 E2 54 40 11 2E 55 03 53 58 54
+85 12 E2 54 80 11 00 00 04 50 55 53 48 00 85 12
+E2 54 00 12 48 55 06 50 55 53 48 2E 42 00 85 12
+E2 54 40 12 A2 54 04 43 41 4C 4C 00 85 12 E2 54
+80 12 1A 53 0E 4A 0D 12 84 12 D8 48 14 44 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 36 45 3C 55
+03 53 3E 3D 86 12 00 38 90 55 02 53 3C 00 86 12
+00 34 56 55 03 30 3E 3D 86 12 00 30 A4 55 02 30
+3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
+B8 55 03 55 3E 3D 86 12 00 28 AE 55 03 30 3C 3E
+86 12 00 24 CC 55 02 30 3D 00 86 12 00 20 00 00
+02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D
+0E 4A 30 4D C2 55 04 54 48 45 4E 00 1A 42 C6 1D
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+B1 2F 88 DA 00 00 30 4D 52 54 04 45 4C 53 45 00
+1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83
+8F 4A 00 00 E3 3F 66 55 05 42 45 47 49 4E 30 40
+28 44 F6 55 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D
+D6 54 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
+00 00 05 57 48 49 4C 45 0D 12 84 12 E4 55 BC 47
+62 48 9A 55 06 52 45 50 45 41 54 00 0D 12 84 12
+78 56 FC 55 62 48 A8 56 3D 41 08 4E 3E 4F 2A 48
+B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 38 56
+03 42 57 31 85 12 A6 56 00 00 C0 56 03 42 57 32
+85 12 A6 56 00 00 CC 56 03 42 57 33 85 12 A6 56
+00 00 E4 56 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D
+88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F
+30 4D 00 00 03 46 57 31 85 12 E2 56 00 00 04 57
+03 46 57 32 85 12 E2 56 00 00 10 57 03 46 57 33
+85 12 E2 56 00 00 1C 57 04 47 4F 54 4F 00 2F 83
+8F 4E 00 00 3E 40 00 3C 0D 12 84 12 94 4C F0 4B
+62 48 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
+3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
+16 4C AC 51 66 57 92 53 C4 1D 3E 40 2C 00 84 12
+2E 49 52 4A 34 44 14 4C 42 53 7C 57 0A 4E 3E 4F
+1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
+0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
+E8 2E 8A 10 5A 06 A6 3E 94 56 04 52 52 43 4D 00
+85 12 60 57 50 00 AA 57 04 52 52 41 4D 00 85 12
+60 57 50 01 B8 57 04 52 4C 41 4D 00 85 12 60 57
+50 02 C6 57 04 52 52 55 4D 00 85 12 60 57 50 03
+D6 55 05 50 55 53 48 4D 85 12 60 57 00 15 E2 57
+04 50 4F 50 4D 00 85 12 60 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -333,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
-96 46 02 46 02 46 02 46 02 46 02 46 02 46 24 51
+96 46 02 46 02 46 02 46 02 46 02 46 02 46 1C 51
 q
index a6da6c3..67e6d97 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF FA 57 0A 50 33 01
-10 00 81 86 B4 45 AA 44 B6 45 8A 45 82 46 FA 57
-0A 50 70 46 92 47 FE 46 DA 46 3C 1D 60 48 D4 44
-E2 44 EE 44 20 00 0A 00 28 4C 42 4C 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF E2 57 F2 4F 34 01
+10 00 41 87 B6 45 AA 44 B8 45 8C 45 84 46 E2 57
+F2 4F 72 46 82 47 00 47 DC 46 3C 1D 50 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 B6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
-B2 49 6E 46 B2 49 90 47 B2 49 FC 46 B2 49 D8 46
+B2 49 70 46 B2 49 80 47 B2 49 FE 46 B2 49 DA 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 45 B0 12 F8 44
-0A 44 DE 1D E0 47 16 47 4A 47 34 44 28 4C 14 44
-05 1B 5B 37 6D 40 5C 47 0A 44 02 18 E0 47 D6 48
-A8 47 34 44 7C 45 14 44 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5C 47 A0 48 5C 47 14 44
-04 1B 5B 30 6D 00 5C 47 28 4C 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 45 F2 B0 20 00 21 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC 45 04 57 41 52 4D 00 B0 12
-8A 45 78 40 03 00 B0 12 B8 45 84 12 14 44 07 0D
-0A 1B 5B 37 6D 40 5C 47 0A 44 02 18 E0 47 D6 48
-0A 44 23 00 FA 46 D6 48 14 44 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 5C 47 0A 44 40 FF 28 44 D4 47 A0 48
-14 44 0A 62 79 74 65 73 20 66 72 65 65 00 3A 44
-7C 45 00 00 06 41 43 43 45 50 54 00 30 40 70 46
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
-3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA 46 2F 83 8F 4E 00 00
-58 43 B0 12 B8 45 92 B3 6C 06 FD 27 1E 42 4C 06
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE 46 08 4E
-3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 46
-04 45 43 48 4F 00 B2 40 C2 48 08 47 82 43 DE 1D
-38 40 05 00 B0 12 B8 45 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 47 92 43 DE 1D 28 42
-F1 3F 2C 47 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 00 00 04 54 59 50 45 00 0E 93 11 24
-0D 12 3D 40 78 47 28 4F 2F 83 8F 4E 00 00 7E 48
-8F 48 02 00 10 42 FC 46 7A 47 2D 83 1E 83 F3 23
-3D 41 2F 53 3E 4F 30 4D DA 45 02 43 52 00 30 40
-92 47 0D 12 84 12 14 44 02 0D 0A 00 5C 47 60 48
+B2 49 DC 1D 3D 41 30 40 BE 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 45 0E 12 B0 12
+F8 44 0A 44 DE 1D D0 47 18 47 EE 44 34 44 8A 45
+14 44 05 1B 5B 37 6D 40 4C 47 0A 44 02 18 D0 47
+C6 48 98 47 34 44 7E 45 14 44 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4C 47 90 48 4C 47
+14 44 04 1B 5B 30 6D 00 4C 47 18 4C 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 45 F2 B0 20 00 21 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE 45 04 57 41 52 4D 00
+B0 12 8C 45 78 40 03 00 B0 12 BA 45 84 12 14 44
+07 0D 0A 1B 5B 37 6D 40 4C 47 0A 44 02 18 D0 47
+C6 48 0A 44 23 00 FC 46 C6 48 14 44 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 4C 47 0A 44 40 FF 28 44 C4 47
+90 48 14 44 0A 62 79 74 65 73 20 66 72 65 65 00
+3A 44 7E 45 00 00 06 41 43 43 45 50 54 00 30 40
+72 46 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06
+B7 22 3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC 46 2F 83 8F 4E
+00 00 58 43 B0 12 BA 45 92 B3 6C 06 FD 27 1E 42
+4C 06 30 4D 00 00 04 45 4D 49 54 00 30 40 00 47
+08 4E 3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D
+F6 46 04 45 43 48 4F 00 B2 40 C2 48 0A 47 82 43
+DE 1D 38 40 05 00 B0 12 BA 45 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A 47 92 43 DE 1D
+28 42 F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24
+0D 12 3D 40 68 47 28 4F 2F 83 8F 4E 00 00 7E 48
+8F 48 02 00 10 42 FE 46 6A 47 2D 83 1E 83 F3 23
+3D 41 2F 53 3E 4F 30 4D DC 45 02 43 52 00 30 40
+82 47 0D 12 84 12 14 44 02 0D 0A 00 4C 47 50 48
 2F 83 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E
 FE FF AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00
 0E 4A 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11
-2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 64 46 01 40
-2E 4E 30 4D DE 47 01 21 BE 4F 00 00 3E 4F 30 4D
+2F 83 30 4D 3E 8F 3E E3 1E 53 30 4D 66 46 01 40
+2E 4E 30 4D CE 47 01 21 BE 4F 00 00 3E 4F 30 4D
 1E 83 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F
 03 24 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00
-B2 40 B2 1D B2 1D 30 4D 8A 47 01 23 1B 42 DC 1D
+B2 40 B2 1D B2 1D 30 4D 7A 47 01 23 1B 42 DC 1D
 2C 4F 2F 83 B0 12 6E 44 BF 4F 00 00 7A 90 0A 00
 02 28 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42
-B2 1D C8 4A 00 00 30 4D 1A 48 02 23 53 00 0D 12
-84 12 1C 48 56 48 2D 83 09 93 E2 23 0E 93 E0 23
-3D 41 30 4D 4A 48 02 23 3E 00 9F 42 B2 1D 00 00
+B2 1D C8 4A 00 00 30 4D 0A 48 02 23 53 00 0D 12
+84 12 0C 48 46 48 2D 83 09 93 E2 23 0E 93 E0 23
+3D 41 30 4D 3A 48 02 23 3E 00 9F 42 B2 1D 00 00
 3E 40 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00
 4A 4E 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93
-3E 4F 7A 40 2D 00 D1 33 30 4D 56 47 02 55 2E 00
+3E 4F 7A 40 2D 00 D1 33 30 4D 46 47 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 48 4E 48 EE 44 8E 48 6A 48 5C 47 24 4C FA 46
-60 48 44 47 01 2E 0E 93 E3 37 38 43 E2 3F 88 48
+00 48 3E 48 EE 44 7E 48 5A 48 4C 47 04 4C FC 46
+50 48 2E 47 01 2E 0E 93 E3 37 38 43 E2 3F 78 48
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44
-5A 4B 0A 44 22 00 2C 49 FA 48 B2 40 20 00 B4 1D
+4A 4B 0A 44 22 00 1C 49 EA 48 B2 40 20 00 B4 1D
 6E 4E 1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D
-D4 48 82 2E 22 00 0D 12 84 12 E4 48 0A 44 5C 47
-5A 4B 60 48 F8 45 04 57 4F 52 44 00 3C 40 C0 1D
+C4 48 82 2E 22 00 0D 12 84 12 D4 48 0A 44 4C 47
+4A 4B 50 48 FA 45 04 57 4F 52 44 00 3C 40 C0 1D
 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A
 FC 27 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00
 00 00 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A
@@ -105,12 +104,12 @@ CA 1D 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
 22 C3 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
 E3 23 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D
-32 C0 00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AE 4A
+32 C0 00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9E 4A
 09 43 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90
 27 00 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90
 03 00 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43
 02 00 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F B0 4A
+3B 40 10 00 7A 53 36 20 1C 53 1E 83 EB 3F A0 4A
 31 24 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20
 32 D0 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20
 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
@@ -122,215 +121,215 @@ B0 12 66 44 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 47 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 48 4B 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
-30 4D 66 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
-00 00 5E 4E FF FF 30 4D 7A 48 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 44 24 4C 2C 49 E0 4B
-94 26 3D 40 E8 4B D6 3E EA 4B 0A 4E 3E 4F 3D 40
-04 4C 2E 27 3D 40 DA 4B 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 4C 3E 4F 3D 40 DA 4B BB 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 4D
-CC 3F 0E 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40
-E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E 47
-BC 44 D4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
+30 4D 56 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
+00 00 5E 4E FF FF 30 4D 6A 48 09 49 4E 54 45 52
+50 52 45 54 0D 12 84 12 AC 44 04 4C 1C 49 C0 4B
+9C 26 3D 40 C8 4B DE 3E CA 4B 0A 4E 3E 4F 3D 40
+E4 4B 36 27 3D 40 BA 4B 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 E6 4B 3E 4F 3D 40 BA 4B BB 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 86 4D
+CC 3F EE 4B 86 12 20 00 D6 47 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
+E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7E 47
+BC 44 B4 4B B4 47 E6 47 14 44 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44
-FE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 45 3A 44 3E 4C 6C 4B 86 41 42 4F 52 54 22 00
-0D 12 84 12 E4 48 0A 44 2A 45 5A 4B 60 48 8E 49
-01 27 0D 12 84 12 24 4C 2C 49 94 49 34 44 22 4C
-60 48 00 00 83 5B 27 5D 0D 12 84 12 92 4C 0A 44
-0A 44 5A 4B 5A 4B 60 48 A4 4C 81 5B 82 43 BE 1D
-30 4D 0C 48 01 5D B2 43 BE 1D 30 4D C4 4C 81 5C
+EE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
+2A 45 3A 44 2E 4C 0A 4C 86 41 42 4F 52 54 22 00
+0D 12 84 12 D4 48 0A 44 2A 45 4A 4B 50 48 7E 49
+01 27 0D 12 84 12 04 4C 1C 49 84 49 34 44 02 4C
+50 48 00 00 83 5B 27 5D 0D 12 84 12 82 4C 0A 44
+0A 44 4A 4B 4A 4B 50 48 94 4C 81 5B 82 43 BE 1D
+30 4D FC 47 01 5D B2 43 BE 1D 30 4D B4 4C 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 4C 2C 49 94 49 A8 47
-34 44 22 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
-5A 4B 0A 44 5A 4B 5A 4B 60 48 BA 4C 01 3A 30 12
-56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 4C
-2C 49 24 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
+4F 4E 45 00 0D 12 84 12 04 4C 1C 49 84 49 98 47
+34 44 02 4C E6 47 34 44 F6 4C 0A 44 0A 44 4A 4B
+4A 4B 0A 44 4A 4B 4A 4B 50 48 AA 4C 01 3A 30 12
+46 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 04 4C
+1C 49 14 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
 82 4A C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12
 FE FF B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42
 B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 36 45 0C 4D 81 3B 82 93 BE 1D
-97 27 0D 12 84 12 0A 44 60 48 5A 4B 68 4D BC 4C
-60 48 CA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 4B 06 43 52 45
-41 54 45 00 B0 12 12 4D BA 40 86 12 FC FF 8A 4A
-FE FF C9 3F CC 4D 04 43 4F 44 45 00 B0 12 12 4D
-A2 82 C6 1D 0D 12 84 12 0C 50 E6 4F 60 48 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D 26 50
-60 48 78 4C 03 41 53 4D B2 40 EA 4F DA 1D E6 3F
-00 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 4E
-44 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 50 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 4D 85 48 49 32
-4C 4F 0D 12 84 12 28 44 B4 4F 5A 4B BC 4C F4 4D
-60 48 9A 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44
-00 00 D8 47 24 4C 2C 49 BA 4B A0 47 34 44 1C 4F
-AE 47 14 44 06 5B 54 48 45 4E 5D 00 8E 4E F6 4E
-B2 4E D4 4E 60 48 AE 47 14 44 06 5B 45 4C 53 45
-5D 00 8E 4E 0C 4F B2 4E D2 4E 60 48 14 44 04 5B
-49 46 5D 00 8E 4E D4 4E 3A 44 D2 4E 82 47 14 44
-05 0D 0A 6B 6F 20 5C 47 BC 44 AC 44 3A 44 D4 4E
-C2 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 4F 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 4C 2C 49 94 49 40 4F 60 48 46 4F
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 4F F0 47 60 48 78 4F B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 4B 06 4D 41 52 4B 45 52 00
-B0 12 12 4D BA 40 85 12 FC FF BA 40 76 4F FE FF
-28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D E8 47 60 48
-85 12 B8 4F 14 4E E6 4D 10 47 90 4C 6C 4E D2 46
-88 4F 12 49 B0 50 C4 50 9C 48 26 49 00 00 60 4F
-CE 4C F4 49 00 00 85 12 B8 4F 70 56 D6 56 18 56
-26 57 DE 55 00 00 AA 53 00 00 EE 57 D2 57 42 56
-80 56 BA 54 00 00 00 00 42 57 E4 4F 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 4F 3E 50
-44 50 54 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 4C 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 50 FA 57 E0 48 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 50 F3 3F 8A 50
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 50
-30 4D 9C 50 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 51 FE FF 29 83 B9 40 E0 45 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 E2 45 B2 49 FA 44
-B2 49 02 44 B2 49 00 46 B2 49 EE FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08
-29 83 89 43 00 1C FC 23 B2 40 FE FF 02 02 B2 D3
-06 02 B2 D3 26 02 B2 40 FF BF 22 02 F2 43 22 03
-F2 D3 26 03 F2 40 A5 00 61 01 82 43 66 01 B2 40
-33 00 64 01 D2 43 61 01 39 40 40 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00
-2A 03 F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18
-1E D2 9E 01 B0 12 F8 44 FE 45 38 40 C0 1D 0A 4E
-39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E
-FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15
-0E 12 12 12 C4 1D 84 12 2C 49 94 49 F0 47 34 44
-EA 51 50 4A 34 44 04 52 FE 51 EC 51 3C 4E 3C 80
-87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
-1B 17 30 41 06 52 B2 41 C4 1D 3E 41 84 12 0A 44
-2B 00 2C 49 94 49 F0 47 34 44 22 52 50 4A 34 44
-22 4C BA 47 2C 49 50 4A 34 44 22 4C 2E 52 3E 5F
-E7 3F 3E 40 28 00 B0 12 CE 51 19 42 C6 1D A2 53
-C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D
-02 20 30 40 80 4D 1C 15 12 12 C4 1D 92 53 C4 1D
-84 12 2C 49 50 4A 34 44 76 52 6C 52 21 53 3E 90
-10 00 C6 2B 7F 2D 78 52 B2 41 C4 1D C1 3F 0D 12
-84 12 24 4C AA 51 88 52 0C 43 1B 42 C6 1D A2 53
-C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D
-B0 12 CE 51 3C 40 00 03 0E 93 1C 24 3C 40 10 03
-1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
-2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
-3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D
-89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
-3C 40 10 02 92 53 C4 1D B0 12 CE 51 ED 3F 7A 90
-40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12 56 52
-0C 20 3C 50 10 00 3E 40 2B 00 B0 12 56 52 92 92
-C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F
-B0 12 56 52 FA 23 3C 50 10 00 B0 12 32 52 EF 3F
-0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 24 4C
-AA 51 54 53 FE 90 26 00 00 00 3E 40 20 00 03 20
-3C 50 82 00 C7 3F B0 12 56 52 E0 23 3C 50 80 00
-B0 12 32 52 DB 3F 00 00 04 52 45 54 49 00 0D 12
-84 12 0A 44 00 13 5A 4B 60 48 0A 44 2C 00 7E 52
-4A 53 94 53 09 4B 2E 4E 0E DC A2 3F 5A 4E 03 4D
-4F 56 85 12 8A 53 00 40 9E 53 05 4D 4F 56 2E 42
-85 12 8A 53 40 40 00 00 03 41 44 44 85 12 8A 53
-00 50 B8 53 05 41 44 44 2E 42 85 12 8A 53 40 50
-C4 53 04 41 44 44 43 00 85 12 8A 53 00 60 D2 53
-06 41 44 44 43 2E 42 00 85 12 8A 53 40 60 78 53
-04 53 55 42 43 00 85 12 8A 53 00 70 F0 53 06 53
-55 42 43 2E 42 00 85 12 8A 53 40 70 FE 53 03 53
-55 42 85 12 8A 53 00 80 0E 54 05 53 55 42 2E 42
-85 12 8A 53 40 80 36 4E 03 43 4D 50 85 12 8A 53
-00 90 28 54 05 43 4D 50 2E 42 85 12 8A 53 40 90
-22 4E 04 44 41 44 44 00 85 12 8A 53 00 A0 42 54
-06 44 41 44 44 2E 42 00 85 12 8A 53 40 A0 34 54
-03 42 49 54 85 12 8A 53 00 B0 60 54 05 42 49 54
-2E 42 85 12 8A 53 40 B0 6C 54 03 42 49 43 85 12
-8A 53 00 C0 7A 54 05 42 49 43 2E 42 85 12 8A 53
-40 C0 86 54 03 42 49 53 85 12 8A 53 00 D0 94 54
-05 42 49 53 2E 42 85 12 8A 53 40 D0 00 00 03 58
-4F 52 85 12 8A 53 00 E0 AE 54 05 58 4F 52 2E 42
-85 12 8A 53 40 E0 E0 53 03 41 4E 44 85 12 8A 53
-00 F0 C8 54 05 41 4E 44 2E 42 85 12 8A 53 40 F0
-24 4C 7E 52 E6 54 0A 4C 3C F0 70 00 8A 10 3A F0
-0F 00 0C DA 4F 3F 1A 54 03 52 52 43 85 12 E0 54
-00 10 F8 54 05 52 52 43 2E 42 85 12 E0 54 40 10
-04 55 04 53 57 50 42 00 85 12 E0 54 80 10 12 55
-03 52 52 41 85 12 E0 54 00 11 20 55 05 52 52 41
-2E 42 85 12 E0 54 40 11 2C 55 03 53 58 54 85 12
-E0 54 80 11 00 00 04 50 55 53 48 00 85 12 E0 54
-00 12 46 55 06 50 55 53 48 2E 42 00 85 12 E0 54
-40 12 A0 54 04 43 41 4C 4C 00 85 12 E0 54 80 12
-1A 53 0E 4A 0D 12 84 12 D6 48 14 44 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 36 45 3A 55 03 53
-3E 3D 86 12 00 38 8E 55 02 53 3C 00 86 12 00 34
-54 55 03 30 3E 3D 86 12 00 30 A2 55 02 30 3C 00
-86 12 00 30 00 00 02 55 3C 00 86 12 00 2C B6 55
-03 55 3E 3D 86 12 00 28 AC 55 03 30 3C 3E 86 12
-00 24 CA 55 02 30 3D 00 86 12 00 20 00 00 02 49
-46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A
-30 4D C0 55 04 54 48 45 4E 00 1A 42 C6 1D 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
-88 DA 00 00 30 4D 50 54 04 45 4C 53 45 00 1A 42
-C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A
-00 00 E3 3F 64 55 05 42 45 47 49 4E 30 40 28 44
-F4 55 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D D4 54
-05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
-05 57 48 49 4C 45 0D 12 84 12 E2 55 BA 47 60 48
-98 55 06 52 45 50 45 41 54 00 0D 12 84 12 76 56
-FA 55 60 48 A6 56 3D 41 08 4E 3E 4F 2A 48 B2 92
-C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 36 56 03 42
-57 31 85 12 A4 56 00 00 BE 56 03 42 57 32 85 12
-A4 56 00 00 CA 56 03 42 57 33 85 12 A4 56 00 00
-E2 56 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B
-BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D
-00 00 03 46 57 31 85 12 E0 56 00 00 02 57 03 46
-57 32 85 12 E0 56 00 00 0E 57 03 46 57 33 85 12
-E0 56 00 00 1A 57 04 47 4F 54 4F 00 2F 83 8F 4E
-00 00 3E 40 00 3C 0D 12 84 12 92 4C FE 4B 60 48
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
-00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 24 4C
-AA 51 64 57 92 53 C4 1D 3E 40 2C 00 84 12 2C 49
-50 4A 34 44 22 4C 40 53 7A 57 0A 4E 3E 4F 1A 83
-F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
-08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
-8A 10 5A 06 A6 3E 92 56 04 52 52 43 4D 00 85 12
-5E 57 50 00 A8 57 04 52 52 41 4D 00 85 12 5E 57
-50 01 B6 57 04 52 4C 41 4D 00 85 12 5E 57 50 02
-C4 57 04 52 52 55 4D 00 85 12 5E 57 50 03 D4 55
-05 50 55 53 48 4D 85 12 5E 57 00 15 E0 57 04 50
-4F 50 4D 00 85 12 5E 57 00 17
+6D 61 74 63 68 21 36 45 FC 4C 81 3B 82 93 BE 1D
+97 27 0D 12 84 12 0A 44 50 48 4A 4B 58 4D AC 4C
+50 48 AA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D 94 4B 06 43 52 45
+41 54 45 00 B0 12 02 4D BA 40 86 12 FC FF 8A 4A
+FE FF C9 3F BC 4D 04 43 4F 44 45 00 B0 12 02 4D
+A2 82 C6 1D 0D 12 84 12 F4 4F CE 4F 50 48 A4 4D
+07 48 44 4E 43 4F 44 45 B2 40 D2 4F DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 58 4D
+0E 50 2C 50 50 48 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 0E 50 2C 50 50 48
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F F0 4D 85 48 49 32 4C 4F 0D 12 84 12 28 44
+9C 4F 4A 4B AC 4C E4 4D 50 48 8A 4D 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 6C 4E 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 44 00 00 C8 47 04 4C 1C 49
+9A 4B 90 47 34 44 04 4F 9E 47 14 44 06 5B 54 48
+45 4E 5D 00 76 4E DE 4E 9A 4E BC 4E 50 48 9E 47
+14 44 06 5B 45 4C 53 45 5D 00 76 4E F4 4E 9A 4E
+BA 4E 50 48 14 44 04 5B 49 46 5D 00 76 4E BC 4E
+3A 44 BA 4E 72 47 14 44 05 0D 0A 6B 6F 20 4C 47
+BC 44 AC 44 3A 44 BC 4E AA 4E 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 1A 4F 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 04 4C 1C 49
+84 49 28 4F 50 48 2E 4F 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 38 4F E0 47 50 48 60 4F
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5C 4B
+06 4D 41 52 4B 45 52 00 B0 12 02 4D BA 40 85 12
+FC FF BA 40 5E 4F FE FF 28 83 8A 48 00 00 BA 40
+AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A 44 CA 1D D8 47 50 48 85 12 A0 4F 68 4C D6 4D
+12 47 80 4C 54 4E D4 46 70 4F 02 49 98 50 AC 50
+8C 48 16 49 00 00 48 4F BE 4C E4 49 00 00 85 12
+A0 4F 58 56 BE 56 00 56 0E 57 C6 55 00 00 92 53
+00 00 D6 57 BA 57 2A 56 68 56 A2 54 00 00 00 00
+2A 57 CC 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D A8 4F 26 50 2C 50 3C 50 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+CA 4C 09 50 57 52 5F 53 54 41 54 45 85 12 34 50
+E2 57 D0 48 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 80 50 F3 3F 72 50 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 80 50 30 4D 84 50 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 0A 51 FE FF 29 83
+B9 40 E2 45 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 E4 45 B2 49 FA 44 B2 49 02 44 B2 49 02 46
+B2 49 EE FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C FC 23
+B2 40 FE FF 02 02 B2 D3 06 02 B2 D3 26 02 B2 40
+FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00
+61 01 82 43 66 01 B2 40 33 00 64 01 D2 43 61 01
+39 40 40 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+B2 D2 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04
+1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 44
+00 46 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52
+C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
+82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12
+1C 49 84 49 E0 47 34 44 D2 51 40 4A 34 44 EC 51
+E6 51 D4 51 3C 4E 3C 80 87 12 05 24 1C 53 02 20
+2E 4E 01 3C 2E 83 21 52 1B 17 30 41 EE 51 B2 41
+C4 1D 3E 41 84 12 0A 44 2B 00 1C 49 84 49 E0 47
+34 44 0A 52 40 4A 34 44 02 4C AA 47 1C 49 40 4A
+34 44 02 4C 16 52 3E 5F E7 3F 3E 40 28 00 B0 12
+B6 51 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40
+29 00 92 92 C0 1D C4 1D 02 20 30 40 70 4D 1C 15
+12 12 C4 1D 92 53 C4 1D 84 12 1C 49 40 4A 34 44
+5E 52 54 52 21 53 3E 90 10 00 C6 2B 7F 2D 60 52
+B2 41 C4 1D C1 3F 0D 12 84 12 04 4C 92 51 70 52
+0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90
+23 00 27 20 92 53 C4 1D B0 12 B6 51 3C 40 00 03
+0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
+2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
+3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
+19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41
+30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D
+B0 12 B6 51 ED 3F 7A 90 40 00 16 20 3C 40 20 00
+92 53 C4 1D B0 12 3E 52 0C 20 3C 50 10 00 3E 40
+2B 00 B0 12 3E 52 92 92 C0 1D C4 1D 02 24 92 53
+C4 1D 8E 10 0C 5E DA 3F B0 12 3E 52 FA 23 3C 50
+10 00 B0 12 1A 52 EF 3F 0C 43 1B 42 C6 1D A2 53
+C6 1D 0D 12 84 12 04 4C 92 51 3C 53 FE 90 26 00
+00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
+3E 52 E0 23 3C 50 80 00 B0 12 1A 52 DB 3F 00 00
+04 52 45 54 49 00 0D 12 84 12 0A 44 00 13 4A 4B
+50 48 0A 44 2C 00 66 52 32 53 7C 53 09 4B 2E 4E
+0E DC A2 3F 42 4E 03 4D 4F 56 85 12 72 53 00 40
+86 53 05 4D 4F 56 2E 42 85 12 72 53 40 40 00 00
+03 41 44 44 85 12 72 53 00 50 A0 53 05 41 44 44
+2E 42 85 12 72 53 40 50 AC 53 04 41 44 44 43 00
+85 12 72 53 00 60 BA 53 06 41 44 44 43 2E 42 00
+85 12 72 53 40 60 60 53 04 53 55 42 43 00 85 12
+72 53 00 70 D8 53 06 53 55 42 43 2E 42 00 85 12
+72 53 40 70 E6 53 03 53 55 42 85 12 72 53 00 80
+F6 53 05 53 55 42 2E 42 85 12 72 53 40 80 18 4E
+03 43 4D 50 85 12 72 53 00 90 10 54 05 43 4D 50
+2E 42 85 12 72 53 40 90 02 4E 04 44 41 44 44 00
+85 12 72 53 00 A0 2A 54 06 44 41 44 44 2E 42 00
+85 12 72 53 40 A0 1C 54 03 42 49 54 85 12 72 53
+00 B0 48 54 05 42 49 54 2E 42 85 12 72 53 40 B0
+54 54 03 42 49 43 85 12 72 53 00 C0 62 54 05 42
+49 43 2E 42 85 12 72 53 40 C0 6E 54 03 42 49 53
+85 12 72 53 00 D0 7C 54 05 42 49 53 2E 42 85 12
+72 53 40 D0 00 00 03 58 4F 52 85 12 72 53 00 E0
+96 54 05 58 4F 52 2E 42 85 12 72 53 40 E0 C8 53
+03 41 4E 44 85 12 72 53 00 F0 B0 54 05 41 4E 44
+2E 42 85 12 72 53 40 F0 04 4C 66 52 CE 54 0A 4C
+3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 02 54
+03 52 52 43 85 12 C8 54 00 10 E0 54 05 52 52 43
+2E 42 85 12 C8 54 40 10 EC 54 04 53 57 50 42 00
+85 12 C8 54 80 10 FA 54 03 52 52 41 85 12 C8 54
+00 11 08 55 05 52 52 41 2E 42 85 12 C8 54 40 11
+14 55 03 53 58 54 85 12 C8 54 80 11 00 00 04 50
+55 53 48 00 85 12 C8 54 00 12 2E 55 06 50 55 53
+48 2E 42 00 85 12 C8 54 40 12 88 54 04 43 41 4C
+4C 00 85 12 C8 54 80 12 1A 53 0E 4A 0D 12 84 12
+C6 48 14 44 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 36 45 22 55 03 53 3E 3D 86 12 00 38 76 55
+02 53 3C 00 86 12 00 34 3C 55 03 30 3E 3D 86 12
+00 30 8A 55 02 30 3C 00 86 12 00 30 00 00 02 55
+3C 00 86 12 00 2C 9E 55 03 55 3E 3D 86 12 00 28
+94 55 03 30 3C 3E 86 12 00 24 B2 55 02 30 3D 00
+86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E
+00 00 A2 53 C6 1D 0E 4A 30 4D A8 55 04 54 48 45
+4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 38 54
+04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00
+A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 4C 55 05 42
+45 47 49 4E 30 40 28 44 DC 55 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11
+3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C6 1D 30 4D BC 54 05 41 47 41 49 4E 0A 4E
+38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
+84 12 CA 55 AA 47 50 48 80 55 06 52 45 50 45 41
+54 00 0D 12 84 12 5E 56 E2 55 50 48 8E 56 3D 41
+08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D
+00 00 30 4D 1E 56 03 42 57 31 85 12 8C 56 00 00
+A6 56 03 42 57 32 85 12 8C 56 00 00 B2 56 03 42
+57 33 85 12 8C 56 00 00 CA 56 3D 41 1A 42 C6 1D
+28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D
+8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
+C8 56 00 00 EA 56 03 46 57 32 85 12 C8 56 00 00
+F6 56 03 46 57 33 85 12 C8 56 00 00 02 57 04 47
+4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
+84 12 82 4C DE 4B 50 48 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
+3E E0 00 08 EC 3F 04 4C 92 51 4C 57 92 53 C4 1D
+3E 40 2C 00 84 12 1C 49 40 4A 34 44 02 4C 28 53
+62 57 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
+08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
+5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 7A 56
+04 52 52 43 4D 00 85 12 46 57 50 00 90 57 04 52
+52 41 4D 00 85 12 46 57 50 01 9E 57 04 52 4C 41
+4D 00 85 12 46 57 50 02 AC 57 04 52 52 55 4D 00
+85 12 46 57 50 03 BC 55 05 50 55 53 48 4D 85 12
+46 57 00 15 C8 57 04 50 4F 50 4D 00 85 12 46 57
+00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 82 46
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 22 51
+77 00 10 00 12 00 14 00 16 00 00 00 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 84 46
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 0A 51
 q
index da76bbd..fba5a5c 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF 00 58 0C 50 33 01
-10 00 81 B6 94 45 AA 44 C6 45 9C 45 96 46 00 58
-0C 50 7C 46 94 47 26 47 00 47 3C 1D 62 48 D4 44
-E2 44 EE 44 20 00 0A 00 2A 4C 44 4C 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF F8 57 04 50 34 01
+10 00 41 B3 94 45 AA 44 DA 45 9C 45 96 46 F8 57
+04 50 7C 46 94 47 26 47 00 47 3C 1D 62 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 C6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
 B2 49 7A 46 B2 49 92 47 B2 49 24 47 B2 49 FE 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D8 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 45 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 D0 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 45 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 44 0A 44 DE 1D E2 47 34 47
 14 44 04 1B 5B 37 6D 00 5E 47 AA 47 34 44 86 45
@@ -52,7 +52,7 @@ FD 27 C2 48 CE 05 30 4D CA 46 2D 83 92 B3 DC 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 DC 05 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53
 DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 47
-2F 83 8F 4E 00 00 B0 12 C6 45 92 B3 DC 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA 45 92 B3 DC 05 FD 27
 1E 42 CC 05 B0 12 C8 45 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 47 08 4E 3E 4F C8 3F 1C 47 04 45
 43 48 4F 00 B2 40 C2 48 C2 46 82 43 DE 1D 30 4D
@@ -80,7 +80,7 @@ E0 23 3D 41 30 4D 4C 48 02 23 3E 00 9F 42 B2 1D
 0E 93 3E 4F 7A 40 2D 00 D1 33 30 4D 58 47 02 55
 2E 00 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12
 3E F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
-84 12 12 48 50 48 EE 44 90 48 6C 48 5E 47 26 4C
+84 12 12 48 50 48 EE 44 90 48 6C 48 5E 47 16 4C
 22 47 62 48 42 47 01 2E 0E 93 E3 37 38 43 E2 3F
 8A 48 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44
 14 44 5C 4B 0A 44 22 00 2E 49 FC 48 B2 40 20 00
@@ -122,35 +122,35 @@ AF 4F 04 00 4A 93 2B 17 0E 4C 82 4B DC 1D 06 24
 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
 00 02 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D
-8A 4E 00 00 A2 53 C6 1D 3E 4F 30 4D E8 47 05 41
-4C 4C 4F 54 82 5E C6 1D 3E 4F 30 4D 5A 4B 87 4C
+8A 4E 00 00 A2 53 C6 1D 3E 4F 30 4D 5A 4B 87 4C
 49 54 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42
 C6 1D A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00
 3E 4F 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00
 EE 3F 30 4D 68 48 05 43 4F 55 4E 54 2F 83 1E 53
 8F 4E 00 00 5E 4E FF FF 30 4D 7C 48 09 49 4E 54
-45 52 50 52 45 54 0D 12 84 12 AC 44 26 4C 2E 49
-E2 4B 94 26 3D 40 EA 4B D6 3E EC 4B 0A 4E 3E 4F
-3D 40 06 4C 2E 27 3D 40 DC 4B 1A E2 BE 1D AE 27
-0E 12 3E 4F 30 41 08 4C 3E 4F 3D 40 DC 4B BB 23
+45 52 50 52 45 54 0D 12 84 12 AC 44 16 4C 2E 49
+D2 4B 9C 26 3D 40 DA 4B DE 3E DC 4B 0A 4E 3E 4F
+3D 40 F6 4B 36 27 3D 40 CC 4B 1A E2 BE 1D B6 27
+0E 12 3E 4F 30 41 F8 4B 3E 4F 3D 40 CC 4B BB 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-98 4D CC 3F 10 4C 86 12 20 00 3F 40 80 1C 0E 43
+98 4D CC 3F 00 4C 86 12 20 00 E8 47 05 41 4C 4C
+4F 54 82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43
 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12
-90 47 BC 44 D6 4B C6 47 F8 47 14 44 0C 73 74 61
+90 47 BC 44 C6 4B C6 47 F8 47 14 44 0C 73 74 61
 63 6B 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF
 28 44 00 48 14 44 0A 46 52 41 4D 20 66 75 6C 6C
-21 00 2A 45 3A 44 40 4C 6E 4B 86 41 42 4F 52 54
+21 00 2A 45 3A 44 40 4C 1C 4C 86 41 42 4F 52 54
 22 00 0D 12 84 12 E6 48 0A 44 2A 45 5C 4B 62 48
-90 49 01 27 0D 12 84 12 26 4C 2E 49 96 49 34 44
-24 4C 62 48 00 00 83 5B 27 5D 0D 12 84 12 94 4C
+90 49 01 27 0D 12 84 12 16 4C 2E 49 96 49 34 44
+14 4C 62 48 00 00 83 5B 27 5D 0D 12 84 12 94 4C
 0A 44 0A 44 5C 4B 5C 4B 62 48 A6 4C 81 5B 82 43
 BE 1D 30 4D 0E 48 01 5D B2 43 BE 1D 30 4D C6 4C
 81 5C 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53
-54 50 4F 4E 45 00 0D 12 84 12 26 4C 2E 49 96 49
-AA 47 34 44 24 4C F8 47 34 44 08 4D 0A 44 0A 44
+54 50 4F 4E 45 00 0D 12 84 12 16 4C 2E 49 96 49
+AA 47 34 44 14 4C F8 47 34 44 08 4D 0A 44 0A 44
 5C 4B 5C 4B 0A 44 5C 4B 5C 4B 62 48 BC 4C 01 3A
 30 12 58 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12
-26 4C 2E 49 26 4D 3D 41 08 4E 7A 4E 5A D3 5A 53
+16 4C 2E 49 26 4D 3D 41 08 4E 7A 4E 5A D3 5A 53
 0A 58 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F
 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D
 2A 52 82 4A C6 1D 30 41 BA 40 0D 12 FC FF BA 40
@@ -159,171 +159,171 @@ AA 47 34 44 24 4C F8 47 34 44 08 4D 0A 44 0A 44
 30 4D 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D
 69 73 6D 61 74 63 68 21 36 45 0E 4D 81 3B 82 93
 BE 1D 97 27 0D 12 84 12 0A 44 62 48 5C 4B 6A 4D
-BE 4C 62 48 CC 4B 09 49 4D 4D 45 44 49 41 54 45
-18 42 B6 1D F8 D0 80 00 00 00 30 4D B6 4B 06 43
+BE 4C 62 48 BC 4B 09 49 4D 4D 45 44 49 41 54 45
+18 42 B6 1D F8 D0 80 00 00 00 30 4D A6 4B 06 43
 52 45 41 54 45 00 B0 12 14 4D BA 40 86 12 FC FF
 8A 4A FE FF C9 3F CE 4D 04 43 4F 44 45 00 B0 12
-14 4D A2 82 C6 1D 0D 12 84 12 0E 50 E8 4F 62 48
-00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 6A 4D
-28 50 62 48 7A 4C 03 41 53 4D B2 40 EC 4F DA 1D
-E6 3F 02 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12
-0A 4E 46 50 62 48 00 00 05 43 4F 4C 4F 4E 1A 42
-C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
-C6 1D B2 43 BE 1D 30 40 28 50 00 00 05 4C 4F 32
-48 49 A2 83 C6 1D 1A 42 C6 1D EE 3F B6 4D 85 48
-49 32 4C 4F 0D 12 84 12 28 44 B6 4F 5C 4B BE 4C
-F6 4D 62 48 9C 4D 86 5B 54 48 45 4E 5D 00 30 4D
-0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83
-06 30 1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D
-3E 4F 30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53
-F7 3F 86 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12
-0A 44 00 00 DA 47 26 4C 2E 49 BC 4B A2 47 34 44
-1E 4F B0 47 14 44 06 5B 54 48 45 4E 5D 00 90 4E
-F8 4E B4 4E D6 4E 62 48 B0 47 14 44 06 5B 45 4C
-53 45 5D 00 90 4E 0E 4F B4 4E D4 4E 62 48 14 44
-04 5B 49 46 5D 00 90 4E D6 4E 3A 44 D4 4E 84 47
-14 44 05 0D 0A 6B 6F 20 5E 47 BC 44 AC 44 3A 44
-D6 4E C4 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27
-30 4D 2F 53 30 4D 34 4F 89 5B 44 45 46 49 4E 45
-44 5D 0D 12 84 12 26 4C 2E 49 96 49 42 4F 62 48
-48 4F 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12
-84 12 52 4F F2 47 62 48 7A 4F B2 4E 0A 18 2E 53
-BE 12 3E 4F 3D 41 90 3C 7E 4B 06 4D 41 52 4B 45
-52 00 B0 12 14 4D BA 40 85 12 FC FF BA 40 78 4F
-FE FF 28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50
-06 00 C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D EA 47
-62 48 85 12 BA 4F 16 4E E8 4D 2E 47 92 4C 6E 4E
-F8 46 8A 4F 14 49 B2 50 C6 50 9E 48 28 49 00 00
-62 4F D0 4C F6 49 00 00 85 12 BA 4F 76 56 DC 56
-1E 56 2C 57 E4 55 00 00 B0 53 00 00 F4 57 D8 57
-48 56 86 56 C0 54 00 00 00 00 48 57 E6 4F 3A 40
-0C 00 39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-82 43 CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C2 4F
-40 50 46 50 56 50 1A 42 20 18 82 4A C8 1D 2E 4E
-82 4E C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D DC 4C 09 50 57 52
-5F 53 54 41 54 45 85 12 4E 50 00 58 E2 48 09 52
-53 54 5F 53 54 41 54 45 92 42 0A 18 9A 50 F3 3F
-8C 50 08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D
-9A 50 30 4D 9E 50 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92
-E3 37 0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF
-FC 23 B9 40 24 51 FE FF 29 83 B9 40 02 46 FE FF
-39 90 AE FF F9 23 39 40 14 18 B2 49 04 46 B2 49
-FA 44 B2 49 02 44 B2 49 22 46 B2 49 F0 FF B2 49
-0A 18 C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01
-B2 40 80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40
-00 08 29 83 89 43 00 1C FC 23 B2 40 FE FF 02 02
-B2 D3 06 02 B2 D3 26 02 B2 40 FF BF 22 02 E2 D3
-25 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00 61 01
-82 43 66 01 B2 40 33 00 64 01 D2 43 61 01 39 40
-40 00 18 42 00 18 18 83 FE 23 19 83 FA 23 B2 D2
-B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 1E 42
-08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 44 20 46
-38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2E 49
-96 49 F2 47 34 44 F0 51 52 4A 34 44 0A 52 04 52
-F2 51 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 0C 52 B2 41 C4 1D
-3E 41 84 12 0A 44 2B 00 2E 49 96 49 F2 47 34 44
-28 52 52 4A 34 44 24 4C BC 47 2E 49 52 4A 34 44
-24 4C 34 52 3E 5F E7 3F 3E 40 28 00 B0 12 D4 51
-19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00
-92 92 C0 1D C4 1D 02 20 30 40 82 4D 1C 15 12 12
-C4 1D 92 53 C4 1D 84 12 2E 49 52 4A 34 44 7C 52
-72 52 21 53 3E 90 10 00 C6 2B 7F 2D 7E 52 B2 41
-C4 1D C1 3F 0D 12 84 12 26 4C B0 51 8E 52 0C 43
-1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00
-27 20 92 53 C4 1D B0 12 D4 51 3C 40 00 03 0E 93
-1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
-14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
-0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12
-D4 51 ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
-C4 1D B0 12 5C 52 0C 20 3C 50 10 00 3E 40 2B 00
-B0 12 5C 52 92 92 C0 1D C4 1D 02 24 92 53 C4 1D
-8E 10 0C 5E DA 3F B0 12 5C 52 FA 23 3C 50 10 00
-B0 12 38 52 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D
-0D 12 84 12 26 4C B0 51 5A 53 FE 90 26 00 00 00
-3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 5C 52
-E0 23 3C 50 80 00 B0 12 38 52 DB 3F 00 00 04 52
-45 54 49 00 0D 12 84 12 0A 44 00 13 5C 4B 62 48
-0A 44 2C 00 84 52 50 53 9A 53 09 4B 2E 4E 0E DC
-A2 3F 5C 4E 03 4D 4F 56 85 12 90 53 00 40 A4 53
-05 4D 4F 56 2E 42 85 12 90 53 40 40 00 00 03 41
-44 44 85 12 90 53 00 50 BE 53 05 41 44 44 2E 42
-85 12 90 53 40 50 CA 53 04 41 44 44 43 00 85 12
-90 53 00 60 D8 53 06 41 44 44 43 2E 42 00 85 12
-90 53 40 60 7E 53 04 53 55 42 43 00 85 12 90 53
-00 70 F6 53 06 53 55 42 43 2E 42 00 85 12 90 53
-40 70 04 54 03 53 55 42 85 12 90 53 00 80 14 54
-05 53 55 42 2E 42 85 12 90 53 40 80 38 4E 03 43
-4D 50 85 12 90 53 00 90 2E 54 05 43 4D 50 2E 42
-85 12 90 53 40 90 24 4E 04 44 41 44 44 00 85 12
-90 53 00 A0 48 54 06 44 41 44 44 2E 42 00 85 12
-90 53 40 A0 3A 54 03 42 49 54 85 12 90 53 00 B0
-66 54 05 42 49 54 2E 42 85 12 90 53 40 B0 72 54
-03 42 49 43 85 12 90 53 00 C0 80 54 05 42 49 43
-2E 42 85 12 90 53 40 C0 8C 54 03 42 49 53 85 12
-90 53 00 D0 9A 54 05 42 49 53 2E 42 85 12 90 53
-40 D0 00 00 03 58 4F 52 85 12 90 53 00 E0 B4 54
-05 58 4F 52 2E 42 85 12 90 53 40 E0 E6 53 03 41
-4E 44 85 12 90 53 00 F0 CE 54 05 41 4E 44 2E 42
-85 12 90 53 40 F0 26 4C 84 52 EC 54 0A 4C 3C F0
-70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 20 54 03 52
-52 43 85 12 E6 54 00 10 FE 54 05 52 52 43 2E 42
-85 12 E6 54 40 10 0A 55 04 53 57 50 42 00 85 12
-E6 54 80 10 18 55 03 52 52 41 85 12 E6 54 00 11
-26 55 05 52 52 41 2E 42 85 12 E6 54 40 11 32 55
-03 53 58 54 85 12 E6 54 80 11 00 00 04 50 55 53
-48 00 85 12 E6 54 00 12 4C 55 06 50 55 53 48 2E
-42 00 85 12 E6 54 40 12 A6 54 04 43 41 4C 4C 00
-85 12 E6 54 80 12 1A 53 0E 4A 0D 12 84 12 D8 48
-14 44 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-36 45 40 55 03 53 3E 3D 86 12 00 38 94 55 02 53
-3C 00 86 12 00 34 5A 55 03 30 3E 3D 86 12 00 30
-A8 55 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
-86 12 00 2C BC 55 03 55 3E 3D 86 12 00 28 B2 55
-03 30 3C 3E 86 12 00 24 D0 55 02 30 3D 00 86 12
-00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 0E 4A 30 4D C6 55 04 54 48 45 4E 00
-1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 B1 2F 88 DA 00 00 30 4D 56 54 04 45
-4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53
-C6 1D 2F 83 8F 4A 00 00 E3 3F 6A 55 05 42 45 47
-49 4E 30 40 28 44 FA 55 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90
-00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C6 1D 30 4D DA 54 05 41 47 41 49 4E 0A 4E 38 40
-00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
-E8 55 BC 47 62 48 9E 55 06 52 45 50 45 41 54 00
-0D 12 84 12 7C 56 00 56 62 48 AC 56 3D 41 08 4E
-3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00
-30 4D 3C 56 03 42 57 31 85 12 AA 56 00 00 C4 56
-03 42 57 32 85 12 AA 56 00 00 D0 56 03 42 57 33
-85 12 AA 56 00 00 E8 56 3D 41 1A 42 C6 1D 28 4E
-B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A
-00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 E6 56
-00 00 08 57 03 46 57 32 85 12 E6 56 00 00 14 57
-03 46 57 33 85 12 E6 56 00 00 20 57 04 47 4F 54
-4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
-94 4C 00 4C 62 48 00 00 05 3F 47 4F 54 4F 3E 90
-00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
-00 08 EC 3F 26 4C B0 51 6A 57 92 53 C4 1D 3E 40
-2C 00 84 12 2E 49 52 4A 34 44 24 4C 46 53 80 57
-0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
-59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
-AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 98 56 04 52
-52 43 4D 00 85 12 64 57 50 00 AE 57 04 52 52 41
-4D 00 85 12 64 57 50 01 BC 57 04 52 4C 41 4D 00
-85 12 64 57 50 02 CA 57 04 52 52 55 4D 00 85 12
-64 57 50 03 DA 55 05 50 55 53 48 4D 85 12 64 57
-00 15 E6 57 04 50 4F 50 4D 00 85 12 64 57 00 17
+14 4D A2 82 C6 1D 0D 12 84 12 06 50 E0 4F 62 48
+B6 4D 07 48 44 4E 43 4F 44 45 B2 40 E4 4F DA 1D
+EE 3F 00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12
+6A 4D 20 50 3E 50 62 48 00 00 05 43 4F 4C 4F 4E
+1A 42 C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00
+A2 52 C6 1D B2 43 BE 1D 0D 12 84 12 20 50 3E 50
+62 48 00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42
+C6 1D EB 3F 02 4E 85 48 49 32 4C 4F 0D 12 84 12
+28 44 AE 4F 5C 4B BE 4C F6 4D 62 48 9C 4D 86 5B
+54 48 45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F
+0E 4B 0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53
+F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83
+00 00 F9 23 2F 53 2D 53 F7 3F 7E 4E 86 5B 45 4C
+53 45 5D 00 0D 12 84 12 0A 44 00 00 DA 47 16 4C
+2E 49 AC 4B A2 47 34 44 16 4F B0 47 14 44 06 5B
+54 48 45 4E 5D 00 88 4E F0 4E AC 4E CE 4E 62 48
+B0 47 14 44 06 5B 45 4C 53 45 5D 00 88 4E 06 4F
+AC 4E CC 4E 62 48 14 44 04 5B 49 46 5D 00 88 4E
+CE 4E 3A 44 CC 4E 84 47 14 44 05 0D 0A 6B 6F 20
+5E 47 BC 44 AC 44 3A 44 CE 4E BC 4E 84 5B 49 46
+5D 00 0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2C 4F
+89 5B 44 45 46 49 4E 45 44 5D 0D 12 84 12 16 4C
+2E 49 96 49 3A 4F 62 48 40 4F 8B 5B 55 4E 44 45
+46 49 4E 45 44 5D 0D 12 84 12 4A 4F F2 47 62 48
+72 4F B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C
+6E 4B 06 4D 41 52 4B 45 52 00 B0 12 14 4D BA 40
+85 12 FC FF BA 40 70 4F FE FF 28 83 8A 48 00 00
+BA 40 AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53
+30 4D 0A 44 CA 1D EA 47 62 48 85 12 B2 4F 7A 4C
+E8 4D 2E 47 92 4C 66 4E F8 46 82 4F 14 49 AA 50
+BE 50 9E 48 28 49 00 00 5A 4F D0 4C F6 49 00 00
+85 12 B2 4F 6E 56 D4 56 16 56 24 57 DC 55 00 00
+A8 53 00 00 EC 57 D0 57 40 56 7E 56 B8 54 00 00
+00 00 40 57 DE 4F 3A 40 0C 00 39 40 D6 1D 08 49
+28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
+3A 40 0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42
+CA 1D DA 1D 30 4D BA 4F 38 50 3E 50 4E 50 1A 42
+20 18 82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D DC 4C 09 50 57 52 5F 53 54 41 54 45 85 12
+46 50 F8 57 E2 48 09 52 53 54 5F 53 54 41 54 45
+92 42 0A 18 92 50 F3 3F 84 50 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 1D 92 50 30 4D 96 50 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F
+3E 90 0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40
+10 00 29 83 B9 43 80 FF FC 23 B9 40 1C 51 FE FF
+29 83 B9 40 02 46 FE FF 39 90 AE FF F9 23 39 40
+14 18 B2 49 04 46 B2 49 FA 44 B2 49 02 44 B2 49
+22 46 B2 49 F0 FF B2 49 0A 18 C2 3F B2 D0 03 00
+04 01 B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40
+E0 1C 3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C
+FC 23 B2 40 FE FF 02 02 B2 D3 06 02 B2 D3 26 02
+B2 40 FF BF 22 02 E2 D3 25 02 F2 43 22 03 F2 D3
+26 03 F2 40 A5 00 61 01 82 43 66 01 B2 40 33 00
+64 01 D2 43 61 01 39 40 40 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03
+F2 C0 40 00 A1 04 1E 42 08 18 82 43 08 18 1E D2
+9E 01 B0 12 F8 44 20 46 38 40 C0 1D 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
+12 12 C4 1D 84 12 2E 49 96 49 F2 47 34 44 E8 51
+52 4A 34 44 02 52 FC 51 EA 51 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 04 52 B2 41 C4 1D 3E 41 84 12 0A 44 2B 00
+2E 49 96 49 F2 47 34 44 20 52 52 4A 34 44 14 4C
+BC 47 2E 49 52 4A 34 44 14 4C 2C 52 3E 5F E7 3F
+3E 40 28 00 B0 12 CC 51 19 42 C6 1D A2 53 C6 1D
+89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20
+30 40 82 4D 1C 15 12 12 C4 1D 92 53 C4 1D 84 12
+2E 49 52 4A 34 44 74 52 6A 52 21 53 3E 90 10 00
+C6 2B 7F 2D 76 52 B2 41 C4 1D C1 3F 0D 12 84 12
+16 4C A8 51 86 52 0C 43 1B 42 C6 1D A2 53 C6 1D
+6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12
+CC 51 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
+18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
+10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
+08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
+10 02 92 53 C4 1D B0 12 CC 51 ED 3F 7A 90 40 00
+16 20 3C 40 20 00 92 53 C4 1D B0 12 54 52 0C 20
+3C 50 10 00 3E 40 2B 00 B0 12 54 52 92 92 C0 1D
+C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12
+54 52 FA 23 3C 50 10 00 B0 12 30 52 EF 3F 0C 43
+1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 16 4C A8 51
+52 53 FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
+82 00 C7 3F B0 12 54 52 E0 23 3C 50 80 00 B0 12
+30 52 DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
+0A 44 00 13 5C 4B 62 48 0A 44 2C 00 7C 52 48 53
+92 53 09 4B 2E 4E 0E DC A2 3F 54 4E 03 4D 4F 56
+85 12 88 53 00 40 9C 53 05 4D 4F 56 2E 42 85 12
+88 53 40 40 00 00 03 41 44 44 85 12 88 53 00 50
+B6 53 05 41 44 44 2E 42 85 12 88 53 40 50 C2 53
+04 41 44 44 43 00 85 12 88 53 00 60 D0 53 06 41
+44 44 43 2E 42 00 85 12 88 53 40 60 76 53 04 53
+55 42 43 00 85 12 88 53 00 70 EE 53 06 53 55 42
+43 2E 42 00 85 12 88 53 40 70 FC 53 03 53 55 42
+85 12 88 53 00 80 0C 54 05 53 55 42 2E 42 85 12
+88 53 40 80 2A 4E 03 43 4D 50 85 12 88 53 00 90
+26 54 05 43 4D 50 2E 42 85 12 88 53 40 90 14 4E
+04 44 41 44 44 00 85 12 88 53 00 A0 40 54 06 44
+41 44 44 2E 42 00 85 12 88 53 40 A0 32 54 03 42
+49 54 85 12 88 53 00 B0 5E 54 05 42 49 54 2E 42
+85 12 88 53 40 B0 6A 54 03 42 49 43 85 12 88 53
+00 C0 78 54 05 42 49 43 2E 42 85 12 88 53 40 C0
+84 54 03 42 49 53 85 12 88 53 00 D0 92 54 05 42
+49 53 2E 42 85 12 88 53 40 D0 00 00 03 58 4F 52
+85 12 88 53 00 E0 AC 54 05 58 4F 52 2E 42 85 12
+88 53 40 E0 DE 53 03 41 4E 44 85 12 88 53 00 F0
+C6 54 05 41 4E 44 2E 42 85 12 88 53 40 F0 16 4C
+7C 52 E4 54 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
+0C DA 4F 3F 18 54 03 52 52 43 85 12 DE 54 00 10
+F6 54 05 52 52 43 2E 42 85 12 DE 54 40 10 02 55
+04 53 57 50 42 00 85 12 DE 54 80 10 10 55 03 52
+52 41 85 12 DE 54 00 11 1E 55 05 52 52 41 2E 42
+85 12 DE 54 40 11 2A 55 03 53 58 54 85 12 DE 54
+80 11 00 00 04 50 55 53 48 00 85 12 DE 54 00 12
+44 55 06 50 55 53 48 2E 42 00 85 12 DE 54 40 12
+9E 54 04 43 41 4C 4C 00 85 12 DE 54 80 12 1A 53
+0E 4A 0D 12 84 12 D8 48 14 44 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 36 45 38 55 03 53 3E 3D
+86 12 00 38 8C 55 02 53 3C 00 86 12 00 34 52 55
+03 30 3E 3D 86 12 00 30 A0 55 02 30 3C 00 86 12
+00 30 00 00 02 55 3C 00 86 12 00 2C B4 55 03 55
+3E 3D 86 12 00 28 AA 55 03 30 3C 3E 86 12 00 24
+C8 55 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
+1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D
+BE 55 04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
+00 00 30 4D 4E 54 04 45 4C 53 45 00 1A 42 C6 1D
+BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00
+E3 3F 62 55 05 42 45 47 49 4E 30 40 28 44 F2 55
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D
+2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C6 1D 30 4D D2 54 05 41
+47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
+48 49 4C 45 0D 12 84 12 E0 55 BC 47 62 48 96 55
+06 52 45 50 45 41 54 00 0D 12 84 12 74 56 F8 55
+62 48 A4 56 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D
+CB 2F 98 42 C6 1D 00 00 30 4D 34 56 03 42 57 31
+85 12 A2 56 00 00 BC 56 03 42 57 32 85 12 A2 56
+00 00 C8 56 03 42 57 33 85 12 A2 56 00 00 E0 56
+3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F
+00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00
+03 46 57 31 85 12 DE 56 00 00 00 57 03 46 57 32
+85 12 DE 56 00 00 0C 57 03 46 57 33 85 12 DE 56
+00 00 18 57 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
+3E 40 00 3C 0D 12 84 12 94 4C F0 4B 62 48 00 00
+05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
+3E B0 00 10 EF 27 3E E0 00 08 EC 3F 16 4C A8 51
+62 57 92 53 C4 1D 3E 40 2C 00 84 12 2E 49 52 4A
+34 44 14 4C 3E 53 78 57 0A 4E 3E 4F 1A 83 F7 32
+29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
+38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
+5A 06 A6 3E 90 56 04 52 52 43 4D 00 85 12 5C 57
+50 00 A6 57 04 52 52 41 4D 00 85 12 5C 57 50 01
+B4 57 04 52 4C 41 4D 00 85 12 5C 57 50 02 C2 57
+04 52 52 55 4D 00 85 12 5C 57 50 03 D2 55 05 50
+55 53 48 4D 85 12 5C 57 00 15 DE 57 04 50 4F 50
+4D 00 85 12 5C 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -332,5 +332,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
-96 46 02 46 02 46 02 46 02 46 02 46 02 46 24 51
+96 46 02 46 02 46 02 46 02 46 02 46 02 46 1C 51
 q
index 520366c..fc3e728 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF 4E 68 56 4D 33 01
-10 00 81 87 B4 41 7A 5F B6 41 38 5E 82 42 4E 68
-56 4D 70 42 F0 43 FE 42 DA 42 3C 1D BE 44 D4 40
-E2 40 EE 40 20 00 0A 00 86 48 A0 48 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF 16 68 3E 4D 34 01
+10 00 C1 87 B6 41 62 5F B8 41 20 5E 84 42 16 68
+3E 4D 72 42 E0 43 00 43 DC 42 3C 1D AE 44 D4 40
+E2 40 EE 40 20 00 0A 00 00 00 00 00 00 00 00 00
 @4000
-B0 12 B6 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,82 +17,81 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
-8F 4E 00 00 3E 41 30 4D B0 12 7A 5F 39 40 22 18
-B2 49 6E 42 B2 49 EE 43 B2 49 FC 42 B2 49 D8 42
+8F 4E 00 00 3E 41 30 4D B0 12 62 5F 39 40 22 18
+B2 49 70 42 B2 49 DE 43 B2 49 FE 42 B2 49 DA 42
 B2 49 CA 40 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 5E 4E 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 41 B0 12 F8 40
-0A 40 DE 1D 3E 44 16 43 4A 43 34 40 86 48 14 40
-05 1B 5B 37 6D 40 BA 43 0A 40 02 18 3E 44 34 45
-06 44 34 40 7C 41 14 40 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 BA 43 FE 44 BA 43 14 40
-04 1B 5B 30 6D 00 BA 43 86 48 2E 93 13 28 B2 D0
-C0 07 C0 06 18 42 02 18 08 11 38 D0 00 04 82 48
-D4 06 F2 D0 03 00 6A 02 92 C3 C0 06 A2 D2 EA 06
-92 C3 30 01 30 41 48 43 A2 B3 EC 06 FD 27 C2 48
-CE 06 A2 B2 EC 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 41 F2 B0 40 00 40 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC 41 04 57 41 52 4D 00 B0 12
-38 5E 78 40 03 00 B0 12 B8 41 84 12 14 40 07 0D
-0A 1B 5B 37 6D 40 BA 43 0A 40 02 18 3E 44 34 45
-0A 40 23 00 FA 42 34 45 14 40 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 BA 43 0A 40 40 FF 28 40 32 44 FE 44
-14 40 0A 62 79 74 65 73 20 66 72 65 65 00 3A 40
-7C 41 00 00 06 41 43 43 45 50 54 00 30 40 70 42
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 EC 06 B2 B0 10 00 C0 06 B8 22
-3A 17 92 B3 EC 06 FD 27 58 42 CC 06 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 41 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA 42 2F 83 8F 4E 00 00
-58 43 B0 12 B8 41 92 B3 EC 06 FD 27 1E 42 CC 06
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE 42 08 4E
-3E 4F A2 B3 EC 06 FD 27 C2 48 CE 06 30 4D F4 42
-04 45 43 48 4F 00 B2 40 C2 48 08 43 82 43 DE 1D
-38 40 05 00 B0 12 B8 41 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 43 92 43 DE 1D 28 42
-F1 3F 2C 43 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 0D 12 3D 40 74 43 1B 42 32 20 9B 42
+B2 49 DC 1D 3D 41 30 40 46 4E 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 41 0E 12 B0 12
+F8 40 0A 40 DE 1D 2E 44 18 43 EE 40 34 40 8A 41
+14 40 05 1B 5B 37 6D 40 AA 43 0A 40 02 18 2E 44
+24 45 F6 43 34 40 7E 41 14 40 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 AA 43 EE 44 AA 43
+14 40 04 1B 5B 30 6D 00 AA 43 76 48 2E 93 13 28
+B2 D0 C0 07 C0 06 18 42 02 18 08 11 38 D0 00 04
+82 48 D4 06 F2 D0 03 00 6A 02 92 C3 C0 06 A2 D2
+EA 06 92 C3 30 01 30 41 48 43 A2 B3 EC 06 FD 27
+C2 48 CE 06 A2 B2 EC 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 41 F2 B0 40 00 40 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE 41 04 57 41 52 4D 00
+B0 12 20 5E 78 40 03 00 B0 12 BA 41 84 12 14 40
+07 0D 0A 1B 5B 37 6D 40 AA 43 0A 40 02 18 2E 44
+24 45 0A 40 23 00 FC 42 24 45 14 40 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 AA 43 0A 40 40 FF 28 40 22 44
+EE 44 14 40 0A 62 79 74 65 73 20 66 72 65 65 00
+3A 40 7E 41 00 00 06 41 43 43 45 50 54 00 30 40
+72 42 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 EC 06 B2 B0 10 00 C0 06
+B7 22 3A 17 92 B3 EC 06 FD 27 58 42 CC 06 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 41 82 93 DE 1D 02 24 92 53 DE 1D 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC 42 2F 83 8F 4E
+00 00 58 43 B0 12 BA 41 92 B3 EC 06 FD 27 1E 42
+CC 06 30 4D 00 00 04 45 4D 49 54 00 30 40 00 43
+08 4E 3E 4F A2 B3 EC 06 FD 27 C2 48 CE 06 30 4D
+F6 42 04 45 43 48 4F 00 B2 40 C2 48 0A 43 82 43
+DE 1D 38 40 05 00 B0 12 BA 41 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A 43 92 43 DE 1D
+28 42 F1 3F 0D 12 3D 40 64 43 1B 42 32 20 9B 42
 1E 20 16 00 3A 4F 09 4E 0E 43 1C 42 1E 20 1B 42
-20 20 02 3C 76 43 2D 83 0C 9B 16 2C 58 4C 00 1E
+20 20 02 3C 66 43 2D 83 0C 9B 16 2C 58 4C 00 1E
 1C 53 78 90 20 00 09 2C 78 90 0A 00 F5 23 3D 41
-82 4C 1E 20 3C 40 20 00 94 3F 0E 99 B2 27 CA 48
-00 00 1A 53 1E 53 AD 3F 1A 15 B0 12 30 60 19 17
+82 4C 1E 20 3C 40 20 00 9D 3F 0E 99 BB 27 CA 48
+00 00 1A 53 1E 53 B6 3F 1A 15 B0 12 18 60 19 17
 DC 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 D6 43 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FC 42 D8 43 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 41 02 43 52 00 30 40 F0 43
-0D 12 84 12 14 40 02 0D 0A 00 BA 43 BE 44 2F 83
+3D 40 C6 43 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FE 42 C8 43 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 41 02 43 52 00 30 40 E0 43
+0D 12 84 12 14 40 02 0D 0A 00 AA 43 AE 44 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 64 42 01 40 2E 4E
-30 4D 3C 44 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 66 42 01 40 2E 4E
+30 4D 2C 44 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D E8 43 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D D8 43 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E 40 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 78 44 02 23 53 00 0D 12 84 12
-7A 44 B4 44 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D A8 44 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 68 44 02 23 53 00 0D 12 84 12
+6A 44 A4 44 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 98 44 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D B4 43 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D A4 43 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 6E 44
-AC 44 EE 40 EC 44 C8 44 BA 43 82 48 FA 42 BE 44
-44 43 01 2E 0E 93 E3 37 38 43 E2 3F E6 44 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40 B8 47
-0A 40 22 00 8A 45 58 45 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D 32 45
-82 2E 22 00 0D 12 84 12 42 45 0A 40 BA 43 B8 47
-BE 44 F8 41 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 5E 44
+9C 44 EE 40 DC 44 B8 44 AA 43 62 48 FC 42 AE 44
+2E 43 01 2E 0E 93 E3 37 38 43 E2 3F D6 44 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40 A8 47
+0A 40 22 00 7A 45 48 45 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D 22 45
+82 2E 22 00 0D 12 84 12 32 45 0A 40 AA 43 A8 47
+AE 44 FA 41 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -111,12 +110,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 0C 47 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 FC 46 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F 0E 47 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F FE 46 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -128,534 +127,532 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D 44 44 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D B6 47 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D A6 47 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A 40 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-C4 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D D8 44 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 40 82 48 8A 45 3E 48 94 26
-3D 40 46 48 D6 3E 48 48 0A 4E 3E 4F 3D 40 62 48
-2E 27 3D 40 38 48 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 64 48 3E 4F 3D 40 38 48 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 F4 49 CC 3F
-6C 48 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 EC 43 BC 40
-32 48 22 44 54 44 14 40 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40 5C 44
+B4 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D C8 44 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 40 62 48 7A 45 1E 48 9C 26
+3D 40 26 48 DE 3E 28 48 0A 4E 3E 4F 3D 40 42 48
+36 27 3D 40 18 48 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 44 48 3E 4F 3D 40 18 48 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 E4 49 CC 3F
+4C 48 86 12 20 00 34 44 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 DC 43 BC 40
+12 48 12 44 44 44 14 40 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40 4C 44
 14 40 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 41
-3A 40 9C 48 CA 47 86 41 42 4F 52 54 22 00 0D 12
-84 12 42 45 0A 40 2A 41 B8 47 BE 44 EC 45 01 27
-0D 12 84 12 82 48 8A 45 F2 45 34 40 80 48 BE 44
-00 00 83 5B 27 5D 0D 12 84 12 F0 48 0A 40 0A 40
-B8 47 B8 47 BE 44 02 49 81 5B 82 43 BE 1D 30 4D
-6A 44 01 5D B2 43 BE 1D 30 4D 22 49 81 5C 92 42
+3A 40 8C 48 68 48 86 41 42 4F 52 54 22 00 0D 12
+84 12 32 45 0A 40 2A 41 A8 47 AE 44 DC 45 01 27
+0D 12 84 12 62 48 7A 45 E2 45 34 40 60 48 AE 44
+00 00 83 5B 27 5D 0D 12 84 12 E0 48 0A 40 0A 40
+A8 47 A8 47 AE 44 F2 48 81 5B 82 43 BE 1D 30 4D
+5A 44 01 5D B2 43 BE 1D 30 4D 12 49 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 82 48 8A 45 F2 45 06 44 34 40
-80 48 54 44 34 40 64 49 0A 40 0A 40 B8 47 B8 47
-0A 40 B8 47 B8 47 BE 44 18 49 01 3A 30 12 B4 49
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 82 48 8A 45
-82 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 62 48 7A 45 E2 45 F6 43 34 40
+60 48 44 44 34 40 54 49 0A 40 0A 40 A8 47 A8 47
+0A 40 A8 47 A8 47 AE 44 08 49 01 3A 30 12 A4 49
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 62 48 7A 45
+72 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 40 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 41 6A 49 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A 40 BE 44 B8 47 C6 49 1A 49 BE 44
-28 48 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D 12 48 06 43 52 45 41 54
-45 00 B0 12 70 49 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F F8 49 07 3A 4E 4F 4E 41 4D 45 30 12 B4 49
+74 63 68 21 36 41 5A 49 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A 40 AE 44 A8 47 B6 49 0A 49 AE 44
+08 48 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D F2 47 06 43 52 45 41 54
+45 00 B0 12 60 49 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F E8 49 07 3A 4E 4F 4E 41 4D 45 30 12 A4 49
 2F 83 8F 4E 00 00 1A 42 C6 1D 1A B3 0A 63 0E 4A
-39 40 10 02 08 49 28 53 99 3F 10 43 05 44 45 46
-45 52 B0 12 70 49 BA 40 30 40 FC FF BA 40 FA 4D
-FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 12 4A 82 49
-53 00 0D 12 82 93 BE 1D 06 24 84 12 06 49 0A 40
-84 4A B8 47 BE 44 84 12 F0 48 84 4A BE 44 2A 4A
-04 43 4F 44 45 00 B0 12 70 49 A2 82 C6 1D 82 43
-90 5C 0D 12 84 12 60 4D 32 4D BE 44 B0 4A 07 43
-4F 44 45 4E 4E 4D 30 12 BA 4A BA 3F 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 C6 49 86 4D BE 44
-D6 48 03 41 53 4D B2 40 36 4D DA 1D DC 3F DE 4A
-06 45 4E 44 41 53 4D 00 0D 12 84 12 E6 4A BA 4D
-BE 44 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 86 4D 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F 8E 4A 85 48 49 32 4C 4F
-0D 12 84 12 28 40 9A 4C B8 47 1A 49 BE 4A BE 44
-44 4A 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 62 4B
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 40 00 00
-36 44 82 48 8A 45 18 48 FE 43 34 40 FA 4B 0C 44
-14 40 06 5B 54 48 45 4E 5D 00 6C 4B D4 4B 90 4B
-B2 4B BE 44 0C 44 14 40 06 5B 45 4C 53 45 5D 00
-6C 4B EA 4B 90 4B B0 4B BE 44 14 40 04 5B 49 46
-5D 00 6C 4B B2 4B 3A 40 B0 4B E0 43 14 40 05 0D
-0A 6B 6F 20 BA 43 BC 40 AC 40 3A 40 B2 4B A0 4B
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 10 4C 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 82 48 8A 45 F2 45 1E 4C BE 44 24 4C 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 2E 4C
-4E 44 BE 44 56 4C B2 4E 0A 18 B2 4E 0C 18 BE 12
-3E 4F 3D 41 DB 3C DA 47 06 4D 41 52 4B 45 52 00
-B0 12 70 49 BA 40 85 12 FC FF BA 40 54 4C FE FF
-28 83 8A 48 00 00 9A 42 C8 1D 02 00 BA 40 AA 40
-04 00 B2 50 06 00 C6 1D 99 3E 2E 53 30 4D 6C 4A
-05 44 4F 45 53 3E 1A 42 BA 1D BA 40 85 12 00 00
-8A 4D 02 00 3D 41 30 4D 84 45 0A 56 4F 43 41 42
-55 4C 41 52 59 00 0D 12 84 12 32 4A 0A 40 10 00
-0A 40 00 00 3E 40 0A 40 00 00 B8 47 60 40 D6 4C
-28 40 0A 40 C8 1D FE 43 3E 44 B8 47 46 44 A6 4C
-0A 40 CA 1D 46 44 BE 44 EE 48 05 46 4F 52 54 48
-85 12 F0 4C 5A 4D BE 63 F8 61 FA 4C 4A 4B B6 63
-12 62 A0 4D 2C 4E 14 64 BC 67 D8 66 00 00 3E 4C
-2C 49 52 46 00 00 F2 4A 09 41 53 53 45 4D 42 4C
-45 52 85 12 F0 4C 5C 5B F4 5A 58 5A 18 55 AA 53
-00 00 20 59 00 00 80 5C 7C 5D 0E 54 C2 5D 28 5B
-00 00 00 00 F2 54 24 4D 28 4D 04 41 4C 53 4F 00
-3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 38 49 08 50 52 45
-56 49 4F 55 53 00 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 70 45
-04 4F 4E 4C 59 00 82 43 CC 1D 30 4D A0 4C 0B 44
-45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 1D DA 1D
-30 4D 00 4D A6 4D BA 4D CA 4D 3A 4E 82 4A C8 1D
-2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49 29 83
-18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23
-2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 7C 4D 09 50
-57 52 5F 53 54 41 54 45 85 12 C2 4D 56 4D 4E 68
-3E 45 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
-0E 4E 92 42 0C 18 0C 4E EF 3F FE 4D 08 50 57 52
-5F 48 45 52 45 00 92 42 C6 1D 0E 4E 92 42 C8 1D
-0C 4E 30 4D 12 4E 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0A 18 92 42 C8 1D 0C 18 EC 3F 3E 90
-0E 00 D2 27 2E 92 DA 37 0E 93 CE 37 39 40 10 00
-29 83 B9 43 80 FF FC 23 B9 40 AE 4E FE FF 29 83
-B9 40 E0 41 FE FF 39 90 AE FF F9 23 39 40 14 18
-B2 49 E2 41 B2 49 FA 40 B2 49 02 40 B2 49 00 42
-B2 49 BC FF B2 49 0A 18 B2 49 0C 18 B7 3F B2 D0
-03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A 5C 01
-31 40 E0 1C 3F 40 80 1C 39 40 00 10 29 83 89 43
-00 1C FC 23 B2 D3 06 02 B2 40 FC FF 02 02 B2 43
-26 02 B2 D3 22 02 B2 43 42 02 B2 D3 46 02 B2 43
-62 02 B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40
-A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
-A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 B2 40
-33 00 64 01 D2 43 61 01 39 40 40 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 F2 D0 10 00 2A 03 F2 40
-A5 00 A1 04 F2 C0 40 00 A2 04 B2 42 B0 01 1E 42
-08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 40 FE 41
-38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 8A 45
-F2 45 4E 44 34 40 A0 4F AE 46 34 40 BA 4F B4 4F
-A2 4F 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 BC 4F B2 41 C4 1D
-3E 41 84 12 0A 40 2B 00 8A 45 F2 45 4E 44 34 40
-D8 4F AE 46 34 40 80 48 18 44 8A 45 AE 46 34 40
-80 48 E4 4F 3E 5F E7 3F 32 B0 00 02 01 24 3E 4F
-30 41 3E 40 28 00 B0 12 84 4F B0 12 E8 4F 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 1C 15
-92 92 C0 1D C4 1D 02 20 30 40 DE 49 12 12 C4 1D
-92 53 C4 1D 84 12 8A 45 AE 46 34 40 3A 50 30 50
-21 53 3E 90 10 00 84 2D BE 2B 3C 50 B2 41 C4 1D
-BA 3F 0D 12 84 12 82 48 60 4F 4C 50 0C 43 1B 42
-C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 29 20
-92 53 C4 1D B0 12 84 4F B0 12 E8 4F 3C 40 00 03
-0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
-2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
-3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
-19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41
-30 4D 7A 90 26 00 09 20 3C 40 10 02 92 53 C4 1D
-B0 12 84 4F B0 12 E8 4F EB 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 1D B0 12 0E 50 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 0E 50 92 92 C0 1D C4 1D
-02 24 92 53 C4 1D 8E 10 0C 5E D8 3F B0 12 0E 50
-FA 23 3C 50 10 00 B0 12 F2 4F EF 3F 0C 43 1B 42
-C6 1D A2 53 C6 1D 0D 12 84 12 82 48 60 4F 20 51
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C5 3F B0 12 0E 50 E0 23 3C 50 80 00 B0 12 F2 4F
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 40
-00 13 B8 47 BE 44 0A 40 2C 00 42 50 16 51 60 51
-09 4B 2E 4E 0E DC A0 3F 38 4B 03 4D 4F 56 85 12
-56 51 00 40 6A 51 05 4D 4F 56 2E 42 85 12 56 51
-40 40 00 00 03 41 44 44 85 12 56 51 00 50 84 51
-05 41 44 44 2E 42 85 12 56 51 40 50 90 51 04 41
-44 44 43 00 85 12 56 51 00 60 9E 51 06 41 44 44
-43 2E 42 00 85 12 56 51 40 60 44 51 04 53 55 42
-43 00 85 12 56 51 00 70 BC 51 06 53 55 42 43 2E
-42 00 85 12 56 51 40 70 CA 51 03 53 55 42 85 12
-56 51 00 80 DA 51 05 53 55 42 2E 42 85 12 56 51
-40 80 14 4B 03 43 4D 50 85 12 56 51 00 90 F4 51
-05 43 4D 50 2E 42 85 12 56 51 40 90 00 4B 04 44
-41 44 44 00 85 12 56 51 00 A0 0E 52 06 44 41 44
-44 2E 42 00 85 12 56 51 40 A0 00 52 03 42 49 54
-85 12 56 51 00 B0 2C 52 05 42 49 54 2E 42 85 12
-56 51 40 B0 38 52 03 42 49 43 85 12 56 51 00 C0
-46 52 05 42 49 43 2E 42 85 12 56 51 40 C0 52 52
-03 42 49 53 85 12 56 51 00 D0 60 52 05 42 49 53
-2E 42 85 12 56 51 40 D0 00 00 03 58 4F 52 85 12
-56 51 00 E0 7A 52 05 58 4F 52 2E 42 85 12 56 51
-40 E0 AC 51 03 41 4E 44 85 12 56 51 00 F0 94 52
-05 41 4E 44 2E 42 85 12 56 51 40 F0 82 48 42 50
-B2 52 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F E6 51 03 52 52 43 85 12 AC 52 00 10 C4 52
-05 52 52 43 2E 42 85 12 AC 52 40 10 D0 52 04 53
-57 50 42 00 85 12 AC 52 80 10 DE 52 03 52 52 41
-85 12 AC 52 00 11 EC 52 05 52 52 41 2E 42 85 12
-AC 52 40 11 F8 52 03 53 58 54 85 12 AC 52 80 11
-00 00 04 50 55 53 48 00 85 12 AC 52 00 12 12 53
-06 50 55 53 48 2E 42 00 85 12 AC 52 40 12 6C 52
-04 43 41 4C 4C 00 85 12 AC 52 80 12 1A 53 0E 4A
-0D 12 84 12 34 45 14 40 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 41 06 53 03 53 3E 3D 86 12
-00 38 5A 53 02 53 3C 00 86 12 00 34 20 53 03 30
-3E 3D 86 12 00 30 6E 53 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C 82 53 03 55 3E 3D
-86 12 00 28 78 53 03 30 3C 3E 86 12 00 24 96 53
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D 8C 53
-04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 1C 52 04 45 4C 53 45 00 1A 42 C6 1D BA 40
-00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
-30 53 05 42 45 47 49 4E 30 40 28 40 C0 53 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 1D 30 4D A0 52 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 AE 53 18 44 BE 44 64 53 06 52
-45 50 45 41 54 00 0D 12 84 12 42 54 C6 53 BE 44
-72 54 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
-98 42 C6 1D 00 00 30 4D 02 54 03 42 57 31 85 12
-70 54 00 00 8A 54 03 42 57 32 85 12 70 54 00 00
-96 54 03 42 57 33 85 12 70 54 00 00 AE 54 3D 41
-1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
-A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 AC 54 00 00 CE 54 03 46 57 32 85 12
-AC 54 00 00 DA 54 03 46 57 33 85 12 AC 54 00 00
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 07 24 3E E0
-00 04 3E B0 00 10 02 24 3E E0 00 08 0D 12 84 12
-F0 48 5C 48 BE 44 E6 54 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C F1 3F 82 48 60 4F 30 55
-92 53 C4 1D 3E 40 2C 00 84 12 8A 45 AE 46 34 40
-80 48 0C 51 46 55 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E 5E 54 04 52 52 43 4D 00 85 12 2A 55 50 00
-74 55 04 52 52 41 4D 00 85 12 2A 55 50 01 82 55
-04 52 4C 41 4D 00 85 12 2A 55 50 02 90 55 04 52
-52 55 4D 00 85 12 2A 55 50 03 A0 53 05 50 55 53
-48 4D 85 12 2A 55 00 15 AC 55 04 50 4F 50 4D 00
-85 12 2A 55 00 17 9E 55 06 52 52 43 4D 2E 41 00
-85 12 2A 55 40 00 C8 55 06 52 52 41 4D 2E 41 00
-85 12 2A 55 40 01 D8 55 06 52 4C 41 4D 2E 41 00
-85 12 2A 55 40 02 E8 55 06 52 52 55 4D 2E 41 00
-85 12 2A 55 40 03 BA 55 07 50 55 53 48 4D 2E 41
-85 12 2A 55 00 14 08 56 06 50 4F 50 4D 2E 41 00
-85 12 2A 55 00 16 A2 54 05 43 41 4C 4C 41 0D 12
-84 12 82 48 60 4F 38 56 1B 42 C6 1D A2 53 C6 1D
-6E 4E 3C 40 34 01 7E 90 52 00 0B 20 7E 40 20 00
-B0 12 0E 50 5C 0E 0C DE 8B 4C 00 00 3E 4F 3D 41
-30 4D 2C 53 7E 90 40 00 0B 20 92 53 C4 1D 7E 40
-20 00 B0 12 0E 50 EE 23 1C 53 3E 40 2B 00 E8 3F
-A2 53 C6 1D 7E 90 23 00 09 20 3C 40 3B 01 92 53
-C4 1D B0 12 84 4F BB 4F 02 00 DC 3F 7E 90 26 00
-02 20 2C 53 F4 3F 7E 40 28 00 1C 83 B0 12 84 4F
-BB 4F 02 00 3E 40 29 00 CB 3F 0D 12 84 12 82 48
-60 4F C4 56 69 4E 3E 4F 3C 4F 2C 4C 1B 42 C6 1D
-A2 53 C6 1D 79 90 52 00 0A 20 B0 12 0E 50 5E 0E
-5E 0E 0E DC 8B 4E 00 00 0E 4B 3D 41 30 4D 79 90
-23 00 0D 20 3C C0 40 00 92 53 C4 1D A2 53 C6 1D
-B0 12 84 4F BB 4F 02 00 3E F0 0F 00 E8 3F 79 90
-26 00 03 20 3C E0 E0 00 EF 3F 3C C0 F0 00 79 90
-40 00 12 20 92 53 C4 1D B0 12 0E 50 D8 23 3C D0
-10 00 3E 40 2B 00 B0 12 0E 50 92 92 C0 1D C4 1D
-CE 27 92 53 C4 1D CB 3F 3C D0 30 00 A2 53 C6 1D
-3E 40 28 00 B0 12 84 4F BB 4F 02 00 3E 40 29 00
-EA 3F 0D 12 84 12 82 48 60 4F 6C 57 3B 4F 2C 4B
-69 4E 7E 40 20 00 79 90 52 00 03 20 B0 12 0E 50
-B0 3F 3C C0 F0 00 A2 53 C6 1D 79 90 26 00 09 20
-3C D0 60 00 92 53 C4 1D B0 12 84 4F BB 4F 02 00
-A0 3F 3C D0 70 00 3E 40 28 00 B0 12 84 4F BB 4F
-02 00 3E 40 29 00 E2 3F 0A 40 2C 00 BA 56 62 57
-E2 43 BE 44 76 51 04 4D 4F 56 41 00 85 12 B8 57
-C0 00 28 56 04 43 4D 50 41 00 85 12 B8 57 D0 00
-3C 54 04 41 44 44 41 00 85 12 B8 57 E0 00 F8 55
-04 53 55 42 41 00 85 12 B8 57 F0 00 0D 12 84 12
-82 48 60 4F 06 58 69 4E 3E 4F 3C 40 00 18 79 90
-52 00 05 20 B0 12 0E 50 0E 4C 3D 41 30 4D 82 43
-90 5C 79 90 23 00 0B 20 92 53 C4 1D B0 12 84 4F
-2F 53 3E F0 0F 00 5E 0A 5E 0E 0C DE ED 3F 79 90
-26 00 F2 27 79 90 40 00 12 20 92 53 C4 1D B0 12
-0E 50 E2 23 3E 40 2B 00 92 53 C4 1D B0 12 0E 50
-92 92 C0 1D C4 1D D8 27 92 53 C4 1D D5 3F 3E 40
-28 00 B0 12 84 4F 8F 4E 00 00 3E 40 29 00 B0 12
-0E 50 3E 4F 3E F0 0F 00 0C DE EA 3F 0D 12 84 12
-82 48 60 4F 96 58 3C 4F 69 4E 3E 40 20 00 79 90
-52 00 BA 27 82 43 90 5C 79 90 26 00 08 20 92 53
-C4 1D B0 12 84 4F 2F 53 3E F0 0F 00 BE 3F 3E 40
-28 00 B0 12 84 4F F7 3F B2 4F C4 1D 1B 42 C6 1D
-A2 53 C6 1D 0C 4E 3E 4F 1C D2 90 5C 82 43 90 5C
-3C DE 8B 4C 00 00 30 4D 0A 40 C4 1D 3E 44 0A 40
-2C 00 FC 57 8C 58 C8 58 3A 40 56 51 C6 57 04 4D
-4F 56 58 00 85 12 E8 58 40 00 00 40 FE 58 06 4D
-4F 56 58 2E 41 00 85 12 E8 58 00 00 40 40 0E 59
-06 4D 4F 56 58 2E 42 00 85 12 E8 58 40 00 40 40
-E2 57 04 41 44 44 58 00 85 12 E8 58 40 00 00 50
-32 59 06 41 44 44 58 2E 41 00 85 12 E8 58 00 00
-40 50 42 59 06 41 44 44 58 2E 42 00 85 12 E8 58
-40 00 40 50 54 59 05 41 44 44 43 58 85 12 E8 58
-40 00 00 60 66 59 07 41 44 44 43 58 2E 41 85 12
-E8 58 00 00 40 60 76 59 07 41 44 44 43 58 2E 42
-85 12 E8 58 40 00 40 60 F0 57 05 53 55 42 43 58
-85 12 E8 58 40 00 00 70 9A 59 07 53 55 42 43 58
-2E 41 85 12 E8 58 00 00 40 70 AA 59 07 53 55 42
-43 58 2E 42 85 12 E8 58 40 00 40 70 BC 59 04 53
-55 42 58 00 85 12 E8 58 40 00 00 80 CE 59 06 53
-55 42 58 2E 41 00 85 12 E8 58 00 00 40 80 DE 59
-06 53 55 42 58 2E 42 00 85 12 E8 58 40 00 40 80
-D4 57 04 43 4D 50 58 00 85 12 E8 58 40 00 00 90
-02 5A 06 43 4D 50 58 2E 41 00 85 12 E8 58 00 00
-40 90 12 5A 06 43 4D 50 58 2E 42 00 85 12 E8 58
-40 00 40 90 E4 53 05 44 41 44 44 58 85 12 E8 58
-40 00 00 A0 36 5A 07 44 41 44 44 58 2E 41 85 12
-E8 58 00 00 40 A0 46 5A 07 44 41 44 44 58 2E 42
-85 12 E8 58 40 00 40 A0 24 5A 04 42 49 54 58 00
-85 12 E8 58 40 00 00 B0 6A 5A 06 42 49 54 58 2E
-41 00 85 12 E8 58 00 00 40 B0 7A 5A 06 42 49 54
-58 2E 42 00 85 12 E8 58 40 00 40 B0 8C 5A 04 42
-49 43 58 00 85 12 E8 58 40 00 00 C0 9E 5A 06 42
-49 43 58 2E 41 00 85 12 E8 58 00 00 40 C0 AE 5A
-06 42 49 43 58 2E 42 00 85 12 E8 58 40 00 40 C0
-C0 5A 04 42 49 53 58 00 85 12 E8 58 40 00 00 D0
-D2 5A 06 42 49 53 58 2E 41 00 85 12 E8 58 00 00
-40 D0 E2 5A 06 42 49 53 58 2E 42 00 85 12 E8 58
-40 00 40 D0 86 52 04 58 4F 52 58 00 85 12 E8 58
-40 00 00 E0 06 5B 06 58 4F 52 58 2E 41 00 85 12
-E8 58 00 00 40 E0 16 5B 06 58 4F 52 58 2E 42 00
-85 12 E8 58 40 00 40 E0 88 59 04 41 4E 44 58 00
-85 12 E8 58 40 00 00 F0 3A 5B 06 41 4E 44 58 2E
-41 00 85 12 E8 58 00 00 40 F0 4A 5B 06 41 4E 44
-58 2E 42 00 85 12 E8 58 40 00 40 F0 0A 40 C4 1D
-3E 44 82 48 FC 57 C8 58 3A 40 AC 52 F0 59 04 52
-52 43 58 00 85 12 6C 5B 40 00 00 10 7E 5B 06 52
-52 43 58 2E 41 00 85 12 6C 5B 00 00 40 10 8E 5B
-06 52 52 43 58 2E 42 00 85 12 6C 5B 40 00 40 10
-A0 5B 04 52 52 55 58 00 85 12 6C 5B 40 01 00 10
-B2 5B 06 52 52 55 58 2E 41 00 85 12 6C 5B 00 01
-40 10 C2 5B 06 52 52 55 58 2E 42 00 85 12 6C 5B
-40 01 40 10 D4 5B 05 53 57 50 42 58 85 12 6C 5B
-40 00 80 10 E6 5B 07 53 57 50 42 58 2E 41 85 12
-6C 5B 00 00 80 10 F6 5B 04 52 52 41 58 00 85 12
-6C 5B 40 00 00 11 08 5C 06 52 52 41 58 2E 41 00
-85 12 6C 5B 00 00 40 11 18 5C 06 52 52 41 58 2E
-42 00 85 12 6C 5B 40 00 40 11 2A 5C 04 53 58 54
-58 00 85 12 6C 5B 40 00 80 11 3C 5C 06 53 58 54
-58 2E 41 00 85 12 6C 5B 00 00 80 11 18 56 05 50
-55 53 48 58 85 12 6C 5B 40 00 00 12 5E 5C 07 50
-55 53 48 58 2E 41 85 12 6C 5B 00 00 40 12 6E 5C
-07 50 55 53 48 58 2E 42 85 12 6C 5B 40 00 40 12
-00 00 4C 5C 03 52 50 54 0D 12 84 12 82 48 60 4F
-A2 5C 29 4E 7E 40 20 00 79 90 52 00 06 20 B0 12
-0E 50 03 24 3E D0 80 00 05 3C B0 12 84 4F 1E 83
-3E F0 0F 00 82 4E 90 5C 3E 4F 3D 41 30 4D D2 C3
-23 02 E2 B2 60 02 02 24 30 40 E0 41 1A 52 04 20
-19 62 06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A
-49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20
-8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20
-B0 12 56 5D 5A 53 FC 23 39 40 05 00 D2 49 14 20
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93
-46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23
-30 41 1A 43 E1 3F 19 43 3A 43 8A 10 C2 4A 4E 06
-82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
-19 83 F3 23 5A 42 4C 06 30 41 94 5C 08 52 45 41
-44 5F 53 57 58 00 1C D3 F2 40 51 00 19 20 B0 12
-CE 5C 38 20 B0 12 56 5D 6A 53 04 24 FB 23 D9 42
-4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02
-F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41
-4C 54 09 57 52 49 54 45 5F 53 57 58 2C D3 F0 40
-58 00 47 C2 B0 12 CE 5C 15 20 3A 40 FE FF 29 43
-B0 12 5A 5D D2 49 00 1E 4E 06 03 43 19 53 39 90
-00 02 F8 23 39 40 03 00 B0 12 58 5D 7A C0 E1 00
-6A 92 D9 27 8C 10 1C 52 4C 06 D2 D3 23 02 0D 12
-84 12 16 43 14 40 0B 3C 20 53 44 20 45 72 72 6F
-72 21 24 5E 2F 83 8F 4E 00 00 B2 40 10 00 DC 1D
-0E 4C 84 12 FE 44 36 41 B0 12 8A 41 0E 93 9C 24
-E2 B2 60 02 99 20 B2 40 81 A9 40 06 B2 40 30 00
-46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3 40 06
-39 42 B0 12 58 5D D2 C3 23 02 2C 42 B2 40 95 00
-14 20 B2 40 00 40 18 20 B0 12 52 5D 02 24 30 40
-04 5E B0 12 56 5D 7A 93 FC 23 B2 40 87 AA 14 20
-92 43 16 20 B2 40 00 48 18 20 B0 12 52 5D 29 42
-B0 12 58 5D 92 43 14 20 82 43 16 20 78 43 3C 42
-B2 40 00 77 18 20 B0 12 52 5D B2 40 40 69 18 20
-B0 12 10 5D 03 24 58 83 F3 23 D9 3F 0C 5C A2 43
-16 20 B2 40 00 50 18 20 B0 12 10 5D D0 23 92 D3
-40 06 82 43 46 06 92 C3 40 06 09 43 B0 12 86 5D
-38 40 00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20
-5A 48 C2 01 92 43 02 20 7A 80 06 00 0F 24 7A 82
-0D 24 A2 43 02 20 6A 53 09 24 5A 53 07 24 6A 52
-05 24 3A 50 0B 20 0C 4A 30 40 0A 5E 09 43 B0 12
-86 5D D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20
-1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20
-09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24 82 49
-0E 20 39 50 20 00 19 82 12 20 19 82 12 20 82 49
-10 20 92 42 02 20 2C 20 30 41 B0 12 AA 40 39 40
-E0 00 29 83 89 43 38 20 FC 23 82 43 32 20 30 41
-92 4B 0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20
-58 42 22 20 92 93 02 20 08 24 59 42 24 20 89 10
-0A 59 88 10 08 58 0A 6A 88 10 08 58 30 41 82 43
-1C 20 92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93
-22 20 14 24 92 42 22 20 D0 04 92 42 24 20 D2 04
-92 42 12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04
-1C 20 92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B
-0E 00 22 20 92 4B 10 00 24 20 B0 12 BE 5F 5A 4B
-03 00 82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24
-F8 90 20 00 00 1E 03 20 18 53 19 83 F9 23 30 41
-1B 42 32 20 82 43 1E 20 B2 90 00 02 20 20 AB 20
-BB 80 00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92
-12 20 03 00 14 28 CB 43 03 00 B0 12 90 5F 1A 52
-08 20 09 43 B0 12 86 5D 8B 43 10 00 9B 48 00 1E
-0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40
-00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20
-82 2C BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20
-B0 12 FE 5F 1A 42 1A 20 19 42 1C 20 6C 3E 3C 42
-3B 40 38 20 09 43 CB 93 02 00 10 24 9B 92 24 20
-0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50
-1C 00 3B 90 18 21 EF 23 0C 5C 30 41 0C 43 82 4B
-32 20 8B 49 00 00 09 93 0A 24 99 52 C4 1D 16 00
-4A 93 05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A
-02 00 CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20
-06 00 18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00
-9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E
-10 00 9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43
-1E 20 6A 93 5C 27 C9 37 8B 43 16 00 7A 93 02 24
-07 38 95 3F B2 40 1C 21 CA 40 B2 40 54 43 6E 42
-9B 42 C0 1D 18 00 9B 82 C4 1D 18 00 9B 42 C2 1D
-1A 00 9B 52 C4 1D 1A 00 82 3F CB 43 02 00 2B 4B
-82 4B 32 20 0B 93 06 24 92 4B 16 00 1E 20 B0 12
-7E 60 22 C3 30 41 1B 42 32 20 0B 93 FB 27 EB 93
-02 00 04 20 B0 12 54 66 B0 12 1C 66 CB 93 02 00
-E4 37 1E 4B 18 00 9F 4B 1A 00 00 00 31 50 06 00
-3D 41 B0 12 7A 61 02 24 30 40 34 43 B2 40 3C 1D
-CA 40 B2 40 70 42 6E 42 30 40 16 43 46 4E 85 52
-45 41 44 22 5A 43 19 3C BA 4C 86 57 52 49 54 45
-22 00 6A 43 12 3C AE 4D 84 44 45 4C 22 00 6A 42
-0C 3C CE 4A 05 43 4C 4F 53 45 B0 12 96 61 30 4D
-68 4C 85 4C 4F 41 44 22 7A 43 2F 83 8F 4E 00 00
-0E 4A 82 93 BE 1D 0B 24 0D 12 84 12 0A 40 0A 40
-B8 47 B8 47 42 45 0A 40 4E 62 B8 47 BE 44 0D 12
-84 12 0A 40 22 00 8A 45 18 48 4C 62 3D 41 36 4F
-0E 56 82 4E 36 20 1C 43 92 42 2C 20 22 20 92 42
-2E 20 24 20 0E 96 8D 24 F6 90 3A 00 01 00 01 20
-26 53 F6 90 5C 00 00 00 08 20 16 53 92 42 02 20
-22 20 82 43 24 20 0E 96 70 24 82 46 34 20 B0 12
-BE 5F 35 40 20 00 A2 93 02 20 04 24 92 92 22 20
-02 20 02 24 15 42 12 20 B0 12 A4 60 2C 43 0A 43
-08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E 61 24
-39 42 F8 96 00 1E 04 20 18 53 19 83 FA 23 16 53
-F6 90 2E 00 FF FF 19 24 39 50 03 00 B0 12 1C 60
-06 20 F6 90 5C 00 FF FF 29 24 0E 96 27 28 16 42
-34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20 82 63
-1C 20 15 83 D1 23 2C 42 3C 3C F6 90 2E 00 FE FF
-EE 27 B0 12 1C 60 EB 23 39 40 03 00 F8 96 00 1E
-04 20 18 53 19 83 FA 23 09 3C 0E 96 E0 2F F6 90
-5C 00 FF FF DC 23 B0 12 1C 60 D9 23 18 42 30 20
-92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00
-0B 1E 14 24 82 93 24 20 06 20 82 93 22 20 03 20
-92 42 02 20 22 20 0E 96 8E 2F 92 42 22 20 2C 20
-92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12
-AE 60 35 40 D4 40 36 40 E2 40 3A 4F 3E 4F 0A 93
-04 24 7A 93 39 20 0C 93 01 20 30 4D 0D 12 84 12
-16 43 14 40 0B 3C 20 4F 70 65 6E 45 72 72 6F 72
-3A 40 22 5E D2 42 01 2B 3E 5F 30 4D 04 62 04 42
-4F 4F 54 00 39 40 38 5E 2E 93 01 2C 30 41 E2 B2
-60 02 02 24 10 49 02 00 89 12 3F 40 7E 1C 8F 43
-00 00 82 43 BE 1D B2 40 00 1C 00 1C 31 40 E0 1C
-84 12 14 40 0F 4C 4F 41 44 22 20 42 4F 4F 54 2E
-34 54 48 22 3A 40 A0 48 1A 93 BB 20 0C 93 C6 23
-30 4D DE 61 04 52 45 41 44 00 2F 83 8F 4E 00 00
-1E 42 32 20 B0 12 30 60 1E 82 32 20 30 4D 2C 43
-12 12 2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20
-A6 24 1A 52 08 20 09 43 B0 12 86 5D 09 43 28 93
-03 24 89 93 02 1E 03 20 89 93 00 1E 07 24 09 58
-39 90 00 02 F4 23 91 53 00 00 E7 3F 0C 43 6A 41
-B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11
-8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20
-82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20
-82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52
-08 20 09 43 B0 12 CC 5D 3A 41 1A 52 0C 20 09 43
-B0 12 CC 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00
-A2 04 FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40
-20 00 C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42
-B5 04 C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40
-00 08 C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80
-BC 07 C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41
-22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E
-29 92 06 38 39 80 03 00 B0 12 70 65 39 40 03 00
-7A 4B C8 4A 00 1E 82 9B 36 20 12 28 0D 12 3D 40
-0F 00 3C 40 20 65 7A 9C F3 27 1D 83 FC 23 3D 41
-6A 9C E6 27 3A 80 21 00 EB 3B 18 53 19 83 E8 23
-09 93 06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23
-30 41 2A 93 DC 20 2C 93 0E 24 0C 93 AB 24 0D 12
-84 12 14 40 0C 3C 20 57 72 69 74 65 45 72 72 6F
-72 00 3A 40 22 5E B0 12 2E 64 92 42 26 20 22 20
-92 42 28 20 24 20 B0 12 AC 64 B0 12 A4 60 18 42
-30 20 F8 40 20 00 0B 1E B0 12 C4 64 88 43 0C 1E
-88 4A 0E 1E 88 49 10 1E 88 49 12 1E 98 42 24 20
-14 1E 98 42 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E
-1C 43 1B 42 34 20 82 9B 36 20 C9 27 FB 90 2E 00
-00 00 C5 27 39 40 0B 00 B0 12 40 65 B0 12 5E 66
-2A 43 B0 12 AE 60 0C 93 BA 23 30 4D 1A 4B 04 00
-19 4B 06 00 B0 12 86 5D B0 12 C4 64 18 4B 08 00
-88 49 12 1E 88 4A 16 1E 88 49 18 1E 98 4B 12 00
-1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B 06 00
-30 40 CC 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42
-1A 20 19 42 1C 20 30 40 CC 5D B2 40 00 02 1E 20
-1B 42 32 20 B0 12 54 66 82 43 1E 20 DB 53 03 00
-DB 92 12 20 03 00 25 20 CB 43 03 00 B0 12 90 5F
-08 12 0A 12 B0 12 2E 64 2A 91 08 24 B0 12 AC 64
-2A 41 1A 52 08 20 09 43 B0 12 86 5D 3A 41 38 41
-98 42 26 20 00 1E 92 93 02 20 03 24 98 42 28 20
-02 1E B0 12 AC 64 9B 42 26 20 0E 00 9B 42 28 20
-10 00 30 40 FE 5F EA 61 05 57 52 49 54 45 B0 12
-6A 66 30 4D 58 4B 13 00 59 4B 14 00 89 10 09 58
-58 4B 15 00 5B 42 12 20 0A 43 3C 42 08 11 09 10
-4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42
-32 20 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48
-10 00 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01 12 00
-3A F0 FF 01 82 4A 1E 20 B0 12 A0 60 30 4D 0C 93
-3B 20 38 90 E0 01 03 2C C8 93 20 1E 02 24 7C 40
-E5 00 C8 4C 00 1E B0 12 5E 66 B0 12 9C 5F 82 4A
-2A 20 0B 4A 1A 52 08 20 09 43 B0 12 86 5D 1A 48
-00 1E 88 43 00 1E 92 93 02 20 09 24 19 48 02 1E
-88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93
-0E 24 82 4A 22 20 82 49 24 20 B0 12 9C 5F 0B 9A
-E6 27 0A 12 0A 4B B0 12 AC 64 3A 41 DA 3F 0A 4B
-B0 12 AC 64 B0 12 96 61 30 4D FA 44 08 54 45 52
-4D 32 53 44 22 00 B0 12 C2 41 A2 C2 EC 06 0D 12
-84 12 FE 61 0A 40 02 00 28 40 18 48 4E 62 E0 67
-B0 12 B6 41 A2 C2 EC 06 0A 43 92 B3 EC 06 FD 27
-59 42 CC 06 69 92 19 24 CA 49 00 1E 1A 53 79 90
-0A 00 0D 20 B0 12 C2 41 A2 C2 EC 06 84 12 0A 40
-0A 00 FA 42 16 68 B0 12 B6 41 A2 C2 EC 06 3A 90
-00 02 E3 2B B0 12 6A 66 DF 3F 3D 41 82 4A 1E 20
-B0 12 96 61 92 B3 EC 06 FD 27 59 42 CC 06 79 90
-0A 00 F8 23 B0 12 C2 41 A2 C2 EC 06 30 4D
+39 40 10 02 08 49 28 53 99 3F 12 43 05 44 45 46
+45 52 B0 12 60 49 BA 40 30 40 FC FF BA 40 E2 4D
+FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 02 4A 82 49
+53 00 0D 12 82 93 BE 1D 06 24 84 12 F6 48 0A 40
+74 4A A8 47 AE 44 84 12 E0 48 74 4A AE 44 1A 4A
+04 43 4F 44 45 00 B0 12 60 49 A2 82 C6 1D 82 43
+78 5C 0D 12 84 12 48 4D 1A 4D AE 44 7E 4A 07 48
+44 4E 43 4F 44 45 B2 40 1E 4D DA 1D EC 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 B6 49 6E 4D
+A2 4D AE 44 A0 4A 07 43 4F 44 45 4E 4E 4D 30 12
+AA 4A A6 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 6E 4D A2 4D AE 44 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+BE 4A 85 48 49 32 4C 4F 0D 12 84 12 28 40 82 4C
+A8 47 0A 49 AE 4A AE 44 34 4A 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 4A 4B 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 40 00 00 26 44 62 48 7A 45 F8 47
+EE 43 34 40 E2 4B FC 43 14 40 06 5B 54 48 45 4E
+5D 00 54 4B BC 4B 78 4B 9A 4B AE 44 FC 43 14 40
+06 5B 45 4C 53 45 5D 00 54 4B D2 4B 78 4B 98 4B
+AE 44 14 40 04 5B 49 46 5D 00 54 4B 9A 4B 3A 40
+98 4B D0 43 14 40 05 0D 0A 6B 6F 20 AA 43 BC 40
+AC 40 3A 40 9A 4B 88 4B 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D F8 4B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 62 48 7A 45 E2 45
+06 4C AE 44 0C 4C 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 16 4C 3E 44 AE 44 3E 4C B2 4E
+0A 18 B2 4E 0C 18 BE 12 3E 4F 3D 41 DB 3C BA 47
+06 4D 41 52 4B 45 52 00 B0 12 60 49 BA 40 85 12
+FC FF BA 40 3C 4C FE FF 28 83 8A 48 00 00 9A 42
+C8 1D 02 00 BA 40 AA 40 04 00 B2 50 06 00 C6 1D
+9D 3E 2E 53 30 4D 5C 4A 05 44 4F 45 53 3E 1A 42
+BA 1D BA 40 85 12 00 00 8A 4D 02 00 3D 41 30 4D
+74 45 0A 56 4F 43 41 42 55 4C 41 52 59 00 0D 12
+84 12 22 4A 0A 40 10 00 0A 40 00 00 3E 40 0A 40
+00 00 A8 47 60 40 BE 4C 28 40 0A 40 C8 1D EE 43
+2E 44 A8 47 36 44 8E 4C 0A 40 CA 1D 36 44 AE 44
+DE 48 05 46 4F 52 54 48 85 12 D8 4C 42 4D AC 63
+E0 61 E2 4C 32 4B D4 42 FA 61 88 4D 14 4E 02 64
+AA 67 C6 66 00 00 9E 63 1C 49 42 46 00 00 C6 48
+09 41 53 53 45 4D 42 4C 45 52 85 12 D8 4C 44 5B
+DC 5A 40 5A 00 55 92 53 00 00 08 59 00 00 68 5C
+64 5D F6 53 AA 5D 10 5B 00 00 00 00 DA 54 0C 4D
+10 4D 04 41 4C 53 4F 00 3A 40 0C 00 39 40 D6 1D
+08 49 28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23
+30 4D 28 49 08 50 52 45 56 49 4F 55 53 00 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 60 45 04 4F 4E 4C 59 00 82 43
+CC 1D 30 4D 88 4C 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 CA 1D DA 1D 30 4D E8 4C 8E 4D A2 4D
+B2 4D 3A 4E 82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 64 4D 09 50 57 52 5F 53 54 41 54 45
+85 12 AA 4D 3E 4D 16 68 2E 45 09 52 53 54 5F 53
+54 41 54 45 92 42 0A 18 F6 4D 92 42 0C 18 F4 4D
+EF 3F E6 4D 08 50 57 52 5F 48 45 52 45 00 92 42
+C6 1D F6 4D 92 42 C8 1D F4 4D 30 4D FA 4D 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18 92 42
+C8 1D 0C 18 EC 3F 3E 90 0E 00 D2 27 2E 92 DA 37
+0E 93 CE 37 39 40 10 00 29 83 B9 43 80 FF FC 23
+B9 40 96 4E FE FF 29 83 B9 40 E2 41 FE FF 39 90
+AE FF F9 23 39 40 14 18 B2 49 E4 41 B2 49 FA 40
+B2 49 02 40 B2 49 02 42 B2 49 BC FF B2 49 0A 18
+B2 49 0C 18 B7 3F B2 D0 03 00 04 01 B2 D0 10 00
+00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40 80 1C
+39 40 00 10 29 83 89 43 00 1C FC 23 B2 D3 06 02
+B2 40 FC FF 02 02 B2 43 26 02 B2 D3 22 02 B2 43
+42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
+26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00
+40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00
+62 01 82 43 66 01 B2 40 33 00 64 01 D2 43 61 01
+39 40 40 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00
+A2 04 B2 42 B0 01 1E 42 08 18 82 43 08 18 1E D2
+9E 01 B0 12 F8 40 00 42 38 40 C0 1D 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
+12 12 C4 1D 84 12 7A 45 E2 45 3E 44 34 40 88 4F
+9E 46 34 40 A2 4F 9C 4F 8A 4F 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 A4 4F B2 41 C4 1D 3E 41 84 12 0A 40 2B 00
+7A 45 E2 45 3E 44 34 40 C0 4F 9E 46 34 40 60 48
+08 44 7A 45 9E 46 34 40 60 48 CC 4F 3E 5F E7 3F
+32 B0 00 02 01 24 3E 4F 30 41 3E 40 28 00 B0 12
+6C 4F B0 12 D0 4F 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 40 29 00 1C 15 92 92 C0 1D C4 1D 02 20
+30 40 CE 49 12 12 C4 1D 92 53 C4 1D 84 12 7A 45
+9E 46 34 40 22 50 18 50 21 53 3E 90 10 00 84 2D
+BE 2B 24 50 B2 41 C4 1D BA 3F 0D 12 84 12 62 48
+48 4F 34 50 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
+3E 4F 7A 90 23 00 29 20 92 53 C4 1D B0 12 6C 4F
+B0 12 D0 4F 3C 40 00 03 0E 93 1C 24 3C 40 10 03
+1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
+2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
+3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D
+89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 09 20
+3C 40 10 02 92 53 C4 1D B0 12 6C 4F B0 12 D0 4F
+EB 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
+B0 12 F6 4F 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+F6 4F 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
+0C 5E D8 3F B0 12 F6 4F FA 23 3C 50 10 00 B0 12
+DA 4F EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
+84 12 62 48 48 4F 08 51 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C5 3F B0 12 F6 4F E0 23
+3C 50 80 00 B0 12 DA 4F DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 40 00 13 A8 47 AE 44 0A 40
+2C 00 2A 50 FE 50 48 51 09 4B 2E 4E 0E DC A0 3F
+20 4B 03 4D 4F 56 85 12 3E 51 00 40 52 51 05 4D
+4F 56 2E 42 85 12 3E 51 40 40 00 00 03 41 44 44
+85 12 3E 51 00 50 6C 51 05 41 44 44 2E 42 85 12
+3E 51 40 50 78 51 04 41 44 44 43 00 85 12 3E 51
+00 60 86 51 06 41 44 44 43 2E 42 00 85 12 3E 51
+40 60 2C 51 04 53 55 42 43 00 85 12 3E 51 00 70
+A4 51 06 53 55 42 43 2E 42 00 85 12 3E 51 40 70
+B2 51 03 53 55 42 85 12 3E 51 00 80 C2 51 05 53
+55 42 2E 42 85 12 3E 51 40 80 F6 4A 03 43 4D 50
+85 12 3E 51 00 90 DC 51 05 43 4D 50 2E 42 85 12
+3E 51 40 90 D0 4A 04 44 41 44 44 00 85 12 3E 51
+00 A0 F6 51 06 44 41 44 44 2E 42 00 85 12 3E 51
+40 A0 E8 51 03 42 49 54 85 12 3E 51 00 B0 14 52
+05 42 49 54 2E 42 85 12 3E 51 40 B0 20 52 03 42
+49 43 85 12 3E 51 00 C0 2E 52 05 42 49 43 2E 42
+85 12 3E 51 40 C0 3A 52 03 42 49 53 85 12 3E 51
+00 D0 48 52 05 42 49 53 2E 42 85 12 3E 51 40 D0
+00 00 03 58 4F 52 85 12 3E 51 00 E0 62 52 05 58
+4F 52 2E 42 85 12 3E 51 40 E0 94 51 03 41 4E 44
+85 12 3E 51 00 F0 7C 52 05 41 4E 44 2E 42 85 12
+3E 51 40 F0 62 48 2A 50 9A 52 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F CE 51 03 52 52 43
+85 12 94 52 00 10 AC 52 05 52 52 43 2E 42 85 12
+94 52 40 10 B8 52 04 53 57 50 42 00 85 12 94 52
+80 10 C6 52 03 52 52 41 85 12 94 52 00 11 D4 52
+05 52 52 41 2E 42 85 12 94 52 40 11 E0 52 03 53
+58 54 85 12 94 52 80 11 00 00 04 50 55 53 48 00
+85 12 94 52 00 12 FA 52 06 50 55 53 48 2E 42 00
+85 12 94 52 40 12 54 52 04 43 41 4C 4C 00 85 12
+94 52 80 12 1A 53 0E 4A 0D 12 84 12 24 45 14 40
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 41
+EE 52 03 53 3E 3D 86 12 00 38 42 53 02 53 3C 00
+86 12 00 34 08 53 03 30 3E 3D 86 12 00 30 56 53
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C 6A 53 03 55 3E 3D 86 12 00 28 60 53 03 30
+3C 3E 86 12 00 24 7E 53 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
+C6 1D 0E 4A 30 4D 74 53 04 54 48 45 4E 00 1A 42
+C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 04 52 04 45 4C 53
+45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
+2F 83 8F 4A 00 00 E3 3F 18 53 05 42 45 47 49 4E
+30 40 28 40 A8 53 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
+30 4D 88 52 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 96 53
+08 44 AE 44 4C 53 06 52 45 50 45 41 54 00 0D 12
+84 12 2A 54 AE 53 AE 44 5A 54 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
+EA 53 03 42 57 31 85 12 58 54 00 00 72 54 03 42
+57 32 85 12 58 54 00 00 7E 54 03 42 57 33 85 12
+58 54 00 00 96 54 3D 41 1A 42 C6 1D 28 4E B2 92
+C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 94 54 00 00
+B6 54 03 46 57 32 85 12 94 54 00 00 C2 54 03 46
+57 33 85 12 94 54 00 00 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 07 24 3E E0 00 04 3E B0 00 10 02 24
+3E E0 00 08 0D 12 84 12 E0 48 3C 48 AE 44 CE 54
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+F1 3F 62 48 48 4F 18 55 92 53 C4 1D 3E 40 2C 00
+84 12 7A 45 9E 46 34 40 60 48 F4 50 2E 55 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E 46 54 04 52 52 43
+4D 00 85 12 12 55 50 00 5C 55 04 52 52 41 4D 00
+85 12 12 55 50 01 6A 55 04 52 4C 41 4D 00 85 12
+12 55 50 02 78 55 04 52 52 55 4D 00 85 12 12 55
+50 03 88 53 05 50 55 53 48 4D 85 12 12 55 00 15
+94 55 04 50 4F 50 4D 00 85 12 12 55 00 17 86 55
+06 52 52 43 4D 2E 41 00 85 12 12 55 40 00 B0 55
+06 52 52 41 4D 2E 41 00 85 12 12 55 40 01 C0 55
+06 52 4C 41 4D 2E 41 00 85 12 12 55 40 02 D0 55
+06 52 52 55 4D 2E 41 00 85 12 12 55 40 03 A2 55
+07 50 55 53 48 4D 2E 41 85 12 12 55 00 14 F0 55
+06 50 4F 50 4D 2E 41 00 85 12 12 55 00 16 8A 54
+05 43 41 4C 4C 41 0D 12 84 12 62 48 48 4F 20 56
+1B 42 C6 1D A2 53 C6 1D 6E 4E 3C 40 34 01 7E 90
+52 00 0B 20 7E 40 20 00 B0 12 F6 4F 5C 0E 0C DE
+8B 4C 00 00 3E 4F 3D 41 30 4D 2C 53 7E 90 40 00
+0B 20 92 53 C4 1D 7E 40 20 00 B0 12 F6 4F EE 23
+1C 53 3E 40 2B 00 E8 3F A2 53 C6 1D 7E 90 23 00
+09 20 3C 40 3B 01 92 53 C4 1D B0 12 6C 4F BB 4F
+02 00 DC 3F 7E 90 26 00 02 20 2C 53 F4 3F 7E 40
+28 00 1C 83 B0 12 6C 4F BB 4F 02 00 3E 40 29 00
+CB 3F 0D 12 84 12 62 48 48 4F AC 56 69 4E 3E 4F
+3C 4F 2C 4C 1B 42 C6 1D A2 53 C6 1D 79 90 52 00
+0A 20 B0 12 F6 4F 5E 0E 5E 0E 0E DC 8B 4E 00 00
+0E 4B 3D 41 30 4D 79 90 23 00 0D 20 3C C0 40 00
+92 53 C4 1D A2 53 C6 1D B0 12 6C 4F BB 4F 02 00
+3E F0 0F 00 E8 3F 79 90 26 00 03 20 3C E0 E0 00
+EF 3F 3C C0 F0 00 79 90 40 00 12 20 92 53 C4 1D
+B0 12 F6 4F D8 23 3C D0 10 00 3E 40 2B 00 B0 12
+F6 4F 92 92 C0 1D C4 1D CE 27 92 53 C4 1D CB 3F
+3C D0 30 00 A2 53 C6 1D 3E 40 28 00 B0 12 6C 4F
+BB 4F 02 00 3E 40 29 00 EA 3F 0D 12 84 12 62 48
+48 4F 54 57 3B 4F 2C 4B 69 4E 7E 40 20 00 79 90
+52 00 03 20 B0 12 F6 4F B0 3F 3C C0 F0 00 A2 53
+C6 1D 79 90 26 00 09 20 3C D0 60 00 92 53 C4 1D
+B0 12 6C 4F BB 4F 02 00 A0 3F 3C D0 70 00 3E 40
+28 00 B0 12 6C 4F BB 4F 02 00 3E 40 29 00 E2 3F
+0A 40 2C 00 A2 56 4A 57 D2 43 AE 44 5E 51 04 4D
+4F 56 41 00 85 12 A0 57 C0 00 10 56 04 43 4D 50
+41 00 85 12 A0 57 D0 00 24 54 04 41 44 44 41 00
+85 12 A0 57 E0 00 E0 55 04 53 55 42 41 00 85 12
+A0 57 F0 00 0D 12 84 12 62 48 48 4F EE 57 69 4E
+3E 4F 3C 40 00 18 79 90 52 00 05 20 B0 12 F6 4F
+0E 4C 3D 41 30 4D 82 43 78 5C 79 90 23 00 0B 20
+92 53 C4 1D B0 12 6C 4F 2F 53 3E F0 0F 00 5E 0A
+5E 0E 0C DE ED 3F 79 90 26 00 F2 27 79 90 40 00
+12 20 92 53 C4 1D B0 12 F6 4F E2 23 3E 40 2B 00
+92 53 C4 1D B0 12 F6 4F 92 92 C0 1D C4 1D D8 27
+92 53 C4 1D D5 3F 3E 40 28 00 B0 12 6C 4F 8F 4E
+00 00 3E 40 29 00 B0 12 F6 4F 3E 4F 3E F0 0F 00
+0C DE EA 3F 0D 12 84 12 62 48 48 4F 7E 58 3C 4F
+69 4E 3E 40 20 00 79 90 52 00 BA 27 82 43 78 5C
+79 90 26 00 08 20 92 53 C4 1D B0 12 6C 4F 2F 53
+3E F0 0F 00 BE 3F 3E 40 28 00 B0 12 6C 4F F7 3F
+B2 4F C4 1D 1B 42 C6 1D A2 53 C6 1D 0C 4E 3E 4F
+1C D2 78 5C 82 43 78 5C 3C DE 8B 4C 00 00 30 4D
+0A 40 C4 1D 2E 44 0A 40 2C 00 E4 57 74 58 B0 58
+3A 40 3E 51 AE 57 04 4D 4F 56 58 00 85 12 D0 58
+40 00 00 40 E6 58 06 4D 4F 56 58 2E 41 00 85 12
+D0 58 00 00 40 40 F6 58 06 4D 4F 56 58 2E 42 00
+85 12 D0 58 40 00 40 40 CA 57 04 41 44 44 58 00
+85 12 D0 58 40 00 00 50 1A 59 06 41 44 44 58 2E
+41 00 85 12 D0 58 00 00 40 50 2A 59 06 41 44 44
+58 2E 42 00 85 12 D0 58 40 00 40 50 3C 59 05 41
+44 44 43 58 85 12 D0 58 40 00 00 60 4E 59 07 41
+44 44 43 58 2E 41 85 12 D0 58 00 00 40 60 5E 59
+07 41 44 44 43 58 2E 42 85 12 D0 58 40 00 40 60
+D8 57 05 53 55 42 43 58 85 12 D0 58 40 00 00 70
+82 59 07 53 55 42 43 58 2E 41 85 12 D0 58 00 00
+40 70 92 59 07 53 55 42 43 58 2E 42 85 12 D0 58
+40 00 40 70 A4 59 04 53 55 42 58 00 85 12 D0 58
+40 00 00 80 B6 59 06 53 55 42 58 2E 41 00 85 12
+D0 58 00 00 40 80 C6 59 06 53 55 42 58 2E 42 00
+85 12 D0 58 40 00 40 80 BC 57 04 43 4D 50 58 00
+85 12 D0 58 40 00 00 90 EA 59 06 43 4D 50 58 2E
+41 00 85 12 D0 58 00 00 40 90 FA 59 06 43 4D 50
+58 2E 42 00 85 12 D0 58 40 00 40 90 CC 53 05 44
+41 44 44 58 85 12 D0 58 40 00 00 A0 1E 5A 07 44
+41 44 44 58 2E 41 85 12 D0 58 00 00 40 A0 2E 5A
+07 44 41 44 44 58 2E 42 85 12 D0 58 40 00 40 A0
+0C 5A 04 42 49 54 58 00 85 12 D0 58 40 00 00 B0
+52 5A 06 42 49 54 58 2E 41 00 85 12 D0 58 00 00
+40 B0 62 5A 06 42 49 54 58 2E 42 00 85 12 D0 58
+40 00 40 B0 74 5A 04 42 49 43 58 00 85 12 D0 58
+40 00 00 C0 86 5A 06 42 49 43 58 2E 41 00 85 12
+D0 58 00 00 40 C0 96 5A 06 42 49 43 58 2E 42 00
+85 12 D0 58 40 00 40 C0 A8 5A 04 42 49 53 58 00
+85 12 D0 58 40 00 00 D0 BA 5A 06 42 49 53 58 2E
+41 00 85 12 D0 58 00 00 40 D0 CA 5A 06 42 49 53
+58 2E 42 00 85 12 D0 58 40 00 40 D0 6E 52 04 58
+4F 52 58 00 85 12 D0 58 40 00 00 E0 EE 5A 06 58
+4F 52 58 2E 41 00 85 12 D0 58 00 00 40 E0 FE 5A
+06 58 4F 52 58 2E 42 00 85 12 D0 58 40 00 40 E0
+70 59 04 41 4E 44 58 00 85 12 D0 58 40 00 00 F0
+22 5B 06 41 4E 44 58 2E 41 00 85 12 D0 58 00 00
+40 F0 32 5B 06 41 4E 44 58 2E 42 00 85 12 D0 58
+40 00 40 F0 0A 40 C4 1D 2E 44 62 48 E4 57 B0 58
+3A 40 94 52 D8 59 04 52 52 43 58 00 85 12 54 5B
+40 00 00 10 66 5B 06 52 52 43 58 2E 41 00 85 12
+54 5B 00 00 40 10 76 5B 06 52 52 43 58 2E 42 00
+85 12 54 5B 40 00 40 10 88 5B 04 52 52 55 58 00
+85 12 54 5B 40 01 00 10 9A 5B 06 52 52 55 58 2E
+41 00 85 12 54 5B 00 01 40 10 AA 5B 06 52 52 55
+58 2E 42 00 85 12 54 5B 40 01 40 10 BC 5B 05 53
+57 50 42 58 85 12 54 5B 40 00 80 10 CE 5B 07 53
+57 50 42 58 2E 41 85 12 54 5B 00 00 80 10 DE 5B
+04 52 52 41 58 00 85 12 54 5B 40 00 00 11 F0 5B
+06 52 52 41 58 2E 41 00 85 12 54 5B 00 00 40 11
+00 5C 06 52 52 41 58 2E 42 00 85 12 54 5B 40 00
+40 11 12 5C 04 53 58 54 58 00 85 12 54 5B 40 00
+80 11 24 5C 06 53 58 54 58 2E 41 00 85 12 54 5B
+00 00 80 11 00 56 05 50 55 53 48 58 85 12 54 5B
+40 00 00 12 46 5C 07 50 55 53 48 58 2E 41 85 12
+54 5B 00 00 40 12 56 5C 07 50 55 53 48 58 2E 42
+85 12 54 5B 40 00 40 12 00 00 34 5C 03 52 50 54
+0D 12 84 12 62 48 48 4F 8A 5C 29 4E 7E 40 20 00
+79 90 52 00 06 20 B0 12 F6 4F 03 24 3E D0 80 00
+05 3C B0 12 6C 4F 1E 83 3E F0 0F 00 82 4E 78 5C
+3E 4F 3D 41 30 4D D2 C3 23 02 E2 B2 60 02 02 24
+30 40 E2 41 1A 52 04 20 19 62 06 20 92 43 14 20
+A2 93 02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49
+18 20 0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49
+17 20 89 10 C2 49 18 20 B0 12 3E 5D 5A 53 FC 23
+39 40 05 00 D2 49 14 20 4E 06 82 93 46 06 05 24
+92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83
+0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06
+FD 27 5A 92 4C 06 F3 23 30 41 1A 43 E1 3F 19 43
+3A 43 8A 10 C2 4A 4E 06 82 93 46 06 05 24 92 B3
+6C 06 FD 27 C2 93 4C 06 19 83 F3 23 5A 42 4C 06
+30 41 7C 5C 08 52 45 41 44 5F 53 57 58 00 1C D3
+F2 40 51 00 19 20 B0 12 B6 5C 38 20 B0 12 3E 5D
+6A 53 04 24 FB 23 D9 42 4C 06 FF 1D F2 43 4E 06
+03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0
+03 00 D2 D3 23 02 30 41 34 54 09 57 52 49 54 45
+5F 53 57 58 2C D3 F0 40 58 00 5F C2 B0 12 B6 5C
+15 20 3A 40 FE FF 29 43 B0 12 42 5D D2 49 00 1E
+4E 06 03 43 19 53 39 90 00 02 F8 23 39 40 03 00
+B0 12 40 5D 7A C0 E1 00 6A 92 D9 27 8C 10 1C 52
+4C 06 D2 D3 23 02 0D 12 84 12 18 43 14 40 0B 3C
+20 53 44 20 45 72 72 6F 72 21 0C 5E 2F 83 8F 4E
+00 00 B2 40 10 00 DC 1D 0E 4C 84 12 EE 44 36 41
+B0 12 8C 41 0E 93 9C 24 E2 B2 60 02 99 20 B2 40
+81 A9 40 06 B2 40 30 00 46 06 D2 D3 25 02 B2 D0
+C0 04 0C 02 92 C3 40 06 39 42 B0 12 40 5D D2 C3
+23 02 2C 42 B2 40 95 00 14 20 B2 40 00 40 18 20
+B0 12 3A 5D 02 24 30 40 EC 5D B0 12 3E 5D 7A 93
+FC 23 B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48
+18 20 B0 12 3A 5D 29 42 B0 12 40 5D 92 43 14 20
+82 43 16 20 78 43 3C 42 B2 40 00 77 18 20 B0 12
+3A 5D B2 40 40 69 18 20 B0 12 F8 5C 03 24 58 83
+F3 23 D9 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20
+B0 12 F8 5C D0 23 92 D3 40 06 82 43 46 06 92 C3
+40 06 09 43 B0 12 6E 5D 38 40 00 1E 92 48 C6 01
+04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20
+7A 80 06 00 0F 24 7A 82 0D 24 A2 43 02 20 6A 53
+09 24 5A 53 07 24 6A 52 05 24 3A 50 0B 20 0C 4A
+30 40 F2 5D 09 43 B0 12 6E 5D D2 48 0D 00 12 20
+19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93 02 20
+1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20 09 5A
+A2 93 02 20 04 24 82 49 0E 20 39 50 20 00 19 82
+12 20 19 82 12 20 82 49 10 20 92 42 02 20 2C 20
+30 41 B0 12 AA 40 39 40 E0 00 29 83 89 43 38 20
+FC 23 82 43 32 20 30 41 92 4B 0E 00 22 20 92 4B
+10 00 24 20 5A 42 23 20 58 42 22 20 92 93 02 20
+08 24 59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A
+88 10 08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20
+C2 93 24 20 03 20 92 93 22 20 14 24 92 42 22 20
+D0 04 92 42 24 20 D2 04 92 42 12 20 C8 04 92 42
+E4 04 1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20
+82 63 1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00
+24 20 B0 12 A6 5F 5A 4B 03 00 82 5A 1A 20 82 63
+1C 20 30 41 09 93 07 24 F8 90 20 00 00 1E 03 20
+18 53 19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20
+B2 90 00 02 20 20 AB 20 BB 80 00 02 12 00 8B 73
+14 00 DB 53 03 00 DB 92 12 20 03 00 14 28 CB 43
+03 00 B0 12 78 5F 1A 52 08 20 09 43 B0 12 6E 5D
+8B 43 10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24
+9B 48 02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00
+0B 20 92 9B 12 00 1E 20 82 2C BB 90 00 02 12 00
+03 2C 92 4B 12 00 20 20 B0 12 E6 5F 1A 42 1A 20
+19 42 1C 20 6C 3E 3C 42 3B 40 38 20 09 43 CB 93
+02 00 10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20
+0A 00 07 24 09 4B 3B 50 1C 00 3B 90 18 21 EF 23
+0C 5C 30 41 0C 43 82 4B 32 20 8B 49 00 00 09 93
+0A 24 99 52 C4 1D 16 00 4A 93 05 34 C9 93 02 00
+02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42
+1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48
+08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48
+1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00
+9B 48 1E 1E 14 00 82 43 1E 20 6A 93 5C 27 C9 37
+8B 43 16 00 7A 93 02 24 07 38 95 3F B2 40 1C 21
+CA 40 B2 40 44 43 70 42 9B 42 C0 1D 18 00 9B 82
+C4 1D 18 00 9B 42 C2 1D 1A 00 9B 52 C4 1D 1A 00
+82 3F CB 43 02 00 2B 4B 82 4B 32 20 0B 93 06 24
+92 4B 16 00 1E 20 B0 12 66 60 22 C3 30 41 1B 42
+32 20 0B 93 FB 27 EB 93 02 00 04 20 B0 12 42 66
+B0 12 0A 66 CB 93 02 00 E4 37 1E 4B 18 00 9F 4B
+1A 00 00 00 31 50 06 00 3D 41 B0 12 62 61 02 24
+30 40 36 43 B2 40 3C 1D CA 40 B2 40 72 42 70 42
+30 40 18 43 2E 4E 85 52 45 41 44 22 5A 43 19 3C
+A2 4C 86 57 52 49 54 45 22 00 6A 43 12 3C 96 4D
+84 44 45 4C 22 00 6A 42 0C 3C E6 4A 05 43 4C 4F
+53 45 B0 12 7E 61 30 4D 50 4C 85 4C 4F 41 44 22
+7A 43 2F 83 8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24
+0D 12 84 12 0A 40 0A 40 A8 47 A8 47 32 45 0A 40
+36 62 A8 47 AE 44 0D 12 84 12 0A 40 22 00 7A 45
+F8 47 34 62 3D 41 36 4F 0E 56 82 4E 36 20 1C 43
+92 42 2C 20 22 20 92 42 2E 20 24 20 0E 96 8D 24
+F6 90 3A 00 01 00 01 20 26 53 F6 90 5C 00 00 00
+08 20 16 53 92 42 02 20 22 20 82 43 24 20 0E 96
+70 24 82 46 34 20 B0 12 A6 5F 35 40 20 00 A2 93
+02 20 04 24 92 92 22 20 02 20 02 24 15 42 12 20
+B0 12 8C 60 2C 43 0A 43 08 4A 58 0E 08 58 82 48
+30 20 C8 93 00 1E 61 24 39 42 F8 96 00 1E 04 20
+18 53 19 83 FA 23 16 53 F6 90 2E 00 FF FF 19 24
+39 50 03 00 B0 12 04 60 06 20 F6 90 5C 00 FF FF
+29 24 0E 96 27 28 16 42 34 20 1A 53 3A 90 10 00
+DB 23 92 53 1A 20 82 63 1C 20 15 83 D1 23 2C 42
+3C 3C F6 90 2E 00 FE FF EE 27 B0 12 04 60 EB 23
+39 40 03 00 F8 96 00 1E 04 20 18 53 19 83 FA 23
+09 3C 0E 96 E0 2F F6 90 5C 00 FF FF DC 23 B0 12
+04 60 D9 23 18 42 30 20 92 48 1A 1E 22 20 92 48
+14 1E 24 20 F8 B0 10 00 0B 1E 14 24 82 93 24 20
+06 20 82 93 22 20 03 20 92 42 02 20 22 20 0E 96
+8E 2F 92 42 22 20 2C 20 92 42 24 20 2E 20 8F 43
+00 00 03 3C 2A 4F B0 12 96 60 35 40 D4 40 36 40
+E2 40 3A 4F 3E 4F 0A 93 04 24 7A 93 3C 20 0C 93
+01 20 30 4D 0D 12 84 12 18 43 14 40 0B 3C 20 4F
+70 65 6E 45 72 72 6F 72 3A 40 0A 5E 26 4C 05 5B
+50 46 41 5D 2E 53 2E 4E 30 4D EC 61 04 42 4F 4F
+54 00 39 40 20 5E 2E 93 01 2C 30 41 E2 B2 60 02
+02 24 10 49 02 00 89 12 3F 40 7E 1C 8F 43 00 00
+82 43 BE 1D B2 40 00 1C 00 1C 31 40 E0 1C 84 12
+14 40 0F 4C 4F 41 44 22 20 42 4F 4F 54 2E 34 54
+48 22 3A 40 90 48 1A 93 BB 20 0C 93 C3 23 30 4D
+C6 61 04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42
+32 20 B0 12 18 60 1E 82 32 20 30 4D 2C 43 12 12
+2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20 A6 24
+1A 52 08 20 09 43 B0 12 6E 5D 09 43 28 93 03 24
+89 93 02 1E 03 20 89 93 00 1E 07 24 09 58 39 90
+00 02 F4 23 91 53 00 00 E7 3F 0C 43 6A 41 B9 43
+00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10
+09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49
+26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43
+28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20
+09 43 B0 12 B4 5D 3A 41 1A 52 0C 20 09 43 B0 12
+B4 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04
+FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00
+C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04
+C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08
+C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07
+C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A
+2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92
+06 38 39 80 03 00 B0 12 5E 65 39 40 03 00 7A 4B
+C8 4A 00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00
+3C 40 0E 65 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C
+E6 27 3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93
+06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41
+2A 93 DC 20 2C 93 0E 24 0C 93 AB 24 0D 12 84 12
+14 40 0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00
+3A 40 0A 5E B0 12 1C 64 92 42 26 20 22 20 92 42
+28 20 24 20 B0 12 9A 64 B0 12 8C 60 18 42 30 20
+F8 40 20 00 0B 1E B0 12 B2 64 88 43 0C 1E 88 4A
+0E 1E 88 49 10 1E 88 49 12 1E 98 42 24 20 14 1E
+98 42 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43
+1B 42 34 20 82 9B 36 20 C9 27 FB 90 2E 00 00 00
+C5 27 39 40 0B 00 B0 12 2E 65 B0 12 4C 66 2A 43
+B0 12 96 60 0C 93 BA 23 30 4D 1A 4B 04 00 19 4B
+06 00 B0 12 6E 5D B0 12 B2 64 18 4B 08 00 88 49
+12 1E 88 4A 16 1E 88 49 18 1E 98 4B 12 00 1C 1E
+98 4B 14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40
+B4 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20
+19 42 1C 20 30 40 B4 5D B2 40 00 02 1E 20 1B 42
+32 20 B0 12 42 66 82 43 1E 20 DB 53 03 00 DB 92
+12 20 03 00 25 20 CB 43 03 00 B0 12 78 5F 08 12
+0A 12 B0 12 1C 64 2A 91 08 24 B0 12 9A 64 2A 41
+1A 52 08 20 09 43 B0 12 6E 5D 3A 41 38 41 98 42
+26 20 00 1E 92 93 02 20 03 24 98 42 28 20 02 1E
+B0 12 9A 64 9B 42 26 20 0E 00 9B 42 28 20 10 00
+30 40 E6 5F D2 61 05 57 52 49 54 45 B0 12 58 66
+30 4D 58 4B 13 00 59 4B 14 00 89 10 09 58 58 4B
+15 00 5B 42 12 20 0A 43 3C 42 08 11 09 10 4A 10
+1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42 32 20
+19 5B 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48 10 00
+CB 4A 03 00 1A 4B 12 00 BB C0 FF 01 12 00 3A F0
+FF 01 82 4A 1E 20 B0 12 88 60 30 4D 0C 93 3B 20
+38 90 E0 01 03 2C C8 93 20 1E 02 24 7C 40 E5 00
+C8 4C 00 1E B0 12 4C 66 B0 12 84 5F 82 4A 2A 20
+0B 4A 1A 52 08 20 09 43 B0 12 6E 5D 1A 48 00 1E
+88 43 00 1E 92 93 02 20 09 24 19 48 02 1E 88 43
+02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24
+82 4A 22 20 82 49 24 20 B0 12 84 5F 0B 9A E6 27
+0A 12 0A 4B B0 12 9A 64 3A 41 DA 3F 0A 4B B0 12
+9A 64 B0 12 7E 61 30 4D EA 44 08 54 45 52 4D 32
+53 44 22 00 0D 12 84 12 E6 61 0A 40 02 00 28 40
+F8 47 36 62 C6 67 B0 12 B8 41 0A 43 92 B3 EC 06
+FD 27 59 42 CC 06 69 92 11 24 CA 49 00 1E 1A 53
+79 90 0A 00 05 20 84 12 DC 43 EC 67 B0 12 B8 41
+3A 90 00 02 EB 2B B0 12 58 66 E7 3F 92 B3 EC 06
+FD 27 F2 90 0A 00 CC 06 F9 23 82 4A 1E 20 B0 12
+7E 61 3D 41 30 4D
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 82 42 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 AE 4E
+77 00 10 00 12 00 14 00 16 00 00 00 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 84 42 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 96 4E
 q
index f804f43..937328d 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 20 68 58 4D 33 01
-10 00 81 B7 94 41 80 5F C6 41 3E 5E 96 42 20 68
-58 4D 7C 42 F2 43 26 43 00 43 3C 1D C0 44 D4 40
-E2 40 EE 40 20 00 0A 00 88 48 A2 48 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF 1E 68 50 4D 34 01
+10 00 C1 B3 94 41 78 5F DA 41 36 5E 96 42 1E 68
+50 4D 7C 42 F2 43 26 43 00 43 3C 1D C0 44 D4 40
+E2 40 EE 40 20 00 0A 00 00 00 00 00 00 00 00 00
 @4000
-B0 12 C6 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -19,11 +19,11 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
 02 00 D2 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
-8F 4E 00 00 3E 41 30 4D B0 12 80 5F 39 40 22 18
+8F 4E 00 00 3E 41 30 4D B0 12 78 5F 39 40 22 18
 B2 49 7A 42 B2 49 F0 43 B2 49 24 43 B2 49 FE 42
 B2 49 CA 40 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 60 4E 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 41 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 58 4E 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 41 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 40 0A 40 DE 1D 40 44 34 43
 14 40 04 1B 5B 37 6D 00 BC 43 08 44 34 40 86 41
@@ -38,7 +38,7 @@ B2 40 11 00 CE 05 E2 C2 23 02 30 41 00 00 04 57
 49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
 44 00 B0 12 94 41 F2 B0 40 00 40 02 02 20 B2 43
 08 18 B2 40 04 A5 20 01 EE 41 04 57 41 52 4D 00
-B0 12 3E 5E 84 12 14 40 07 0D 0A 1B 5B 37 6D 23
+B0 12 36 5E 84 12 14 40 07 0D 0A 1B 5B 37 6D 23
 BC 43 36 45 14 40 19 46 61 73 74 46 6F 72 74 68
 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
 BC 43 0A 40 40 FF 28 40 34 44 00 45 14 40 0A 62
@@ -52,7 +52,7 @@ FD 27 C2 48 CE 05 30 4D CA 42 2D 83 92 B3 DC 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 DC 05 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53
 DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 43
-2F 83 8F 4E 00 00 B0 12 C6 41 92 B3 DC 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA 41 92 B3 DC 05 FD 27
 1E 42 CC 05 B0 12 C8 41 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 43 08 4E 3E 4F C8 3F 1C 43 04 45
 43 48 4F 00 B2 40 C2 48 C2 42 82 43 DE 1D 30 4D
@@ -62,7 +62,7 @@ DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 43
 1B 42 20 20 02 3C 78 43 2D 83 0C 9B 16 2C 58 4C
 00 1E 1C 53 78 90 20 00 09 2C 78 90 0A 00 F5 23
 3D 41 82 4C 1E 20 3C 40 20 00 A6 3F 0E 99 8E 27
-CA 48 00 00 1A 53 1E 53 89 3F 1A 15 B0 12 36 60
+CA 48 00 00 1A 53 1E 53 89 3F 1A 15 B0 12 2E 60
 19 17 DC 3F 00 00 04 54 59 50 45 00 0E 93 11 24
 0D 12 3D 40 D8 43 28 4F 2F 83 8F 4E 00 00 7E 48
 8F 48 02 00 10 42 24 43 DA 43 2D 83 1E 83 F3 23
@@ -86,7 +86,7 @@ B2 1D C8 4A 00 00 30 4D 7A 44 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D B6 43 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-70 44 AE 44 EE 40 EE 44 CA 44 BC 43 84 48 22 43
+70 44 AE 44 EE 40 EE 44 CA 44 BC 43 74 48 22 43
 C0 44 42 43 01 2E 0E 93 E3 37 38 43 E2 3F E8 44
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40
 BA 47 0A 40 22 00 8C 45 5A 45 B2 40 20 00 B4 1D
@@ -128,34 +128,34 @@ B0 12 66 40 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D 46 44 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D B8 47 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D B8 47 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 40 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D C6 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D DA 44 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 40 84 48 8C 45 40 48
-94 26 3D 40 48 48 D6 3E 4A 48 0A 4E 3E 4F 3D 40
-64 48 2E 27 3D 40 3A 48 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 66 48 3E 4F 3D 40 3A 48 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 40 74 48 8C 45 30 48
+9C 26 3D 40 38 48 DE 3E 3A 48 0A 4E 3E 4F 3D 40
+54 48 36 27 3D 40 2A 48 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 56 48 3E 4F 3D 40 2A 48 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 F6 49
-CC 3F 6E 48 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F 5E 48 86 12 20 00 46 44 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 EE 43
-BC 40 34 48 24 44 56 44 14 40 0C 73 74 61 63 6B
+BC 40 24 48 24 44 56 44 14 40 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40
 5E 44 14 40 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 41 3A 40 9E 48 CC 47 86 41 42 4F 52 54 22 00
+2A 41 3A 40 9E 48 7A 48 86 41 42 4F 52 54 22 00
 0D 12 84 12 44 45 0A 40 2A 41 BA 47 C0 44 EE 45
-01 27 0D 12 84 12 84 48 8C 45 F4 45 34 40 82 48
+01 27 0D 12 84 12 74 48 8C 45 F4 45 34 40 72 48
 C0 44 00 00 83 5B 27 5D 0D 12 84 12 F2 48 0A 40
 0A 40 BA 47 BA 47 C0 44 04 49 81 5B 82 43 BE 1D
 30 4D 6C 44 01 5D B2 43 BE 1D 30 4D 24 49 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 84 48 8C 45 F4 45 08 44
-34 40 82 48 56 44 34 40 66 49 0A 40 0A 40 BA 47
+4F 4E 45 00 0D 12 84 12 74 48 8C 45 F4 45 08 44
+34 40 72 48 56 44 34 40 66 49 0A 40 0A 40 BA 47
 BA 47 0A 40 BA 47 BA 47 C0 44 1A 49 01 3A 30 12
-B6 49 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 84 48
+B6 49 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 74 48
 8C 45 84 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -165,487 +165,487 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 40 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 41 6C 49 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A 40 C0 44 BA 47 C8 49 1C 49
-C0 44 2A 48 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D 14 48 06 43 52 45
+C0 44 1A 48 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D 04 48 06 43 52 45
 41 54 45 00 B0 12 72 49 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F FA 49 07 3A 4E 4F 4E 41 4D 45 30 12
 B6 49 2F 83 8F 4E 00 00 1A 42 C6 1D 1A B3 0A 63
 0E 4A 39 40 10 02 08 49 28 53 99 3F 2E 43 05 44
 45 46 45 52 B0 12 72 49 BA 40 30 40 FC FF BA 40
-FC 4D FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 14 4A
+F4 4D FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 14 4A
 82 49 53 00 0D 12 82 93 BE 1D 06 24 84 12 08 49
 0A 40 86 4A BA 47 C0 44 84 12 F2 48 86 4A C0 44
 2C 4A 04 43 4F 44 45 00 B0 12 72 49 A2 82 C6 1D
-82 43 96 5C 0D 12 84 12 62 4D 34 4D C0 44 B2 4A
-07 43 4F 44 45 4E 4E 4D 30 12 BC 4A BA 3F 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 C8 49 88 4D
-C0 44 D8 48 03 41 53 4D B2 40 38 4D DA 1D DC 3F
-E0 4A 06 45 4E 44 41 53 4D 00 0D 12 84 12 E8 4A
-BC 4D C0 44 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 88 4D 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F 90 4A 85 48 49 32
-4C 4F 0D 12 84 12 28 40 9C 4C BA 47 1C 49 C0 4A
-C0 44 46 4A 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-64 4B 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 40
-00 00 38 44 84 48 8C 45 1A 48 00 44 34 40 FC 4B
-0E 44 14 40 06 5B 54 48 45 4E 5D 00 6E 4B D6 4B
-92 4B B4 4B C0 44 0E 44 14 40 06 5B 45 4C 53 45
-5D 00 6E 4B EC 4B 92 4B B2 4B C0 44 14 40 04 5B
-49 46 5D 00 6E 4B B4 4B 3A 40 B2 4B E2 43 14 40
-05 0D 0A 6B 6F 20 BC 43 BC 40 AC 40 3A 40 B4 4B
-A2 4B 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 12 4C 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 84 48 8C 45 F4 45 20 4C C0 44 26 4C
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-30 4C 50 44 C0 44 58 4C B2 4E 0A 18 B2 4E 0C 18
-BE 12 3E 4F 3D 41 DB 3C DC 47 06 4D 41 52 4B 45
-52 00 B0 12 72 49 BA 40 85 12 FC FF BA 40 56 4C
-FE FF 28 83 8A 48 00 00 9A 42 C8 1D 02 00 BA 40
-AA 40 04 00 B2 50 06 00 C6 1D 99 3E 2E 53 30 4D
-6E 4A 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 85 12
-00 00 8A 4D 02 00 3D 41 30 4D 86 45 0A 56 4F 43
-41 42 55 4C 41 52 59 00 0D 12 84 12 34 4A 0A 40
-10 00 0A 40 00 00 3E 40 0A 40 00 00 BA 47 60 40
-D8 4C 28 40 0A 40 C8 1D 00 44 40 44 BA 47 48 44
-A8 4C 0A 40 CA 1D 48 44 C0 44 F0 48 05 46 4F 52
-54 48 85 12 F2 4C 5C 4D C4 63 FE 61 FC 4C 4C 4B
-BC 63 18 62 A2 4D 2E 4E 1A 64 C2 67 DE 66 00 00
-40 4C 2E 49 54 46 00 00 F4 4A 09 41 53 53 45 4D
-42 4C 45 52 85 12 F2 4C 62 5B FA 5A 5E 5A 1E 55
-B0 53 00 00 26 59 00 00 86 5C 82 5D 14 54 C8 5D
-2E 5B 00 00 00 00 F8 54 26 4D 2A 4D 04 41 4C 53
-4F 00 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
-18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 49 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 38 40 CA 1D
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-72 45 04 4F 4E 4C 59 00 82 43 CC 1D 30 4D A2 4C
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 1D
-DA 1D 30 4D 02 4D A8 4D BC 4D CC 4D 3A 4E 82 4A
-C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 7E 4D
-09 50 57 52 5F 53 54 41 54 45 85 12 C4 4D 58 4D
-20 68 40 45 09 52 53 54 5F 53 54 41 54 45 92 42
-0A 18 10 4E 92 42 0C 18 0E 4E EF 3F 00 4E 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 10 4E 92 42
-C8 1D 0E 4E 30 4D 14 4E 08 52 53 54 5F 48 45 52
-45 00 92 42 C6 1D 0A 18 92 42 C8 1D 0C 18 EC 3F
-3E 90 0E 00 D2 27 2E 92 DA 37 0E 93 CE 37 39 40
-10 00 29 83 B9 43 80 FF FC 23 B9 40 B0 4E FE FF
-29 83 B9 40 02 42 FE FF 39 90 AE FF F9 23 39 40
-14 18 B2 49 04 42 B2 49 FA 40 B2 49 02 40 B2 49
-22 42 B2 49 F0 FF B2 49 0A 18 B2 49 0C 18 B7 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 10 29 83
-89 43 00 1C FC 23 B2 D3 06 02 B2 40 FC FF 02 02
-B2 43 26 02 B2 D3 22 02 E2 D2 25 02 B2 43 42 02
-B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43 26 03
-F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00 40 01
-D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01
-82 43 66 01 B2 40 33 00 64 01 D2 43 61 01 39 40
-40 00 18 42 00 18 18 83 FE 23 19 83 FA 23 F2 D0
-10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00 A2 04
-B2 42 B0 01 1E 42 08 18 82 43 08 18 1E D2 9E 01
-B0 12 F8 40 20 42 38 40 C0 1D 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
-C4 1D 84 12 8C 45 F4 45 50 44 34 40 A6 4F B0 46
-34 40 C0 4F BA 4F A8 4F 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-C2 4F B2 41 C4 1D 3E 41 84 12 0A 40 2B 00 8C 45
-F4 45 50 44 34 40 DE 4F B0 46 34 40 82 48 1A 44
-8C 45 B0 46 34 40 82 48 EA 4F 3E 5F E7 3F 32 B0
-00 02 01 24 3E 4F 30 41 3E 40 28 00 B0 12 8A 4F
-B0 12 EE 4F 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 40 29 00 1C 15 92 92 C0 1D C4 1D 02 20 30 40
-E0 49 12 12 C4 1D 92 53 C4 1D 84 12 8C 45 B0 46
-34 40 40 50 36 50 21 53 3E 90 10 00 84 2D BE 2B
-42 50 B2 41 C4 1D BA 3F 0D 12 84 12 84 48 66 4F
-52 50 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F
-7A 90 23 00 29 20 92 53 C4 1D B0 12 8A 4F B0 12
-EE 4F 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
-18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
-10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
-08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
-00 00 3E 4F 3D 41 30 4D 7A 90 26 00 09 20 3C 40
-10 02 92 53 C4 1D B0 12 8A 4F B0 12 EE 4F EB 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12
-14 50 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 14 50
-92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E
-D8 3F B0 12 14 50 FA 23 3C 50 10 00 B0 12 F8 4F
-EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12
-84 48 66 4F 26 51 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C5 3F B0 12 14 50 E0 23 3C 50
-80 00 B0 12 F8 4F DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A 40 00 13 BA 47 C0 44 0A 40 2C 00
-48 50 1C 51 66 51 09 4B 2E 4E 0E DC A0 3F 3A 4B
-03 4D 4F 56 85 12 5C 51 00 40 70 51 05 4D 4F 56
-2E 42 85 12 5C 51 40 40 00 00 03 41 44 44 85 12
-5C 51 00 50 8A 51 05 41 44 44 2E 42 85 12 5C 51
-40 50 96 51 04 41 44 44 43 00 85 12 5C 51 00 60
-A4 51 06 41 44 44 43 2E 42 00 85 12 5C 51 40 60
-4A 51 04 53 55 42 43 00 85 12 5C 51 00 70 C2 51
-06 53 55 42 43 2E 42 00 85 12 5C 51 40 70 D0 51
-03 53 55 42 85 12 5C 51 00 80 E0 51 05 53 55 42
-2E 42 85 12 5C 51 40 80 16 4B 03 43 4D 50 85 12
-5C 51 00 90 FA 51 05 43 4D 50 2E 42 85 12 5C 51
-40 90 02 4B 04 44 41 44 44 00 85 12 5C 51 00 A0
-14 52 06 44 41 44 44 2E 42 00 85 12 5C 51 40 A0
-06 52 03 42 49 54 85 12 5C 51 00 B0 32 52 05 42
-49 54 2E 42 85 12 5C 51 40 B0 3E 52 03 42 49 43
-85 12 5C 51 00 C0 4C 52 05 42 49 43 2E 42 85 12
-5C 51 40 C0 58 52 03 42 49 53 85 12 5C 51 00 D0
-66 52 05 42 49 53 2E 42 85 12 5C 51 40 D0 00 00
-03 58 4F 52 85 12 5C 51 00 E0 80 52 05 58 4F 52
-2E 42 85 12 5C 51 40 E0 B2 51 03 41 4E 44 85 12
-5C 51 00 F0 9A 52 05 41 4E 44 2E 42 85 12 5C 51
-40 F0 84 48 48 50 B8 52 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F EC 51 03 52 52 43 85 12
-B2 52 00 10 CA 52 05 52 52 43 2E 42 85 12 B2 52
-40 10 D6 52 04 53 57 50 42 00 85 12 B2 52 80 10
-E4 52 03 52 52 41 85 12 B2 52 00 11 F2 52 05 52
-52 41 2E 42 85 12 B2 52 40 11 FE 52 03 53 58 54
-85 12 B2 52 80 11 00 00 04 50 55 53 48 00 85 12
-B2 52 00 12 18 53 06 50 55 53 48 2E 42 00 85 12
-B2 52 40 12 72 52 04 43 41 4C 4C 00 85 12 B2 52
-80 12 1A 53 0E 4A 0D 12 84 12 36 45 14 40 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 41 0C 53
-03 53 3E 3D 86 12 00 38 60 53 02 53 3C 00 86 12
-00 34 26 53 03 30 3E 3D 86 12 00 30 74 53 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-88 53 03 55 3E 3D 86 12 00 28 7E 53 03 30 3C 3E
-86 12 00 24 9C 53 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D
-0E 4A 30 4D 92 53 04 54 48 45 4E 00 1A 42 C6 1D
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 22 52 04 45 4C 53 45 00
-1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83
-8F 4A 00 00 E3 3F 36 53 05 42 45 47 49 4E 30 40
-28 40 C6 53 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D
-A6 52 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 B4 53 1A 44
-C0 44 6A 53 06 52 45 50 45 41 54 00 0D 12 84 12
-48 54 CC 53 C0 44 78 54 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 08 54
-03 42 57 31 85 12 76 54 00 00 90 54 03 42 57 32
-85 12 76 54 00 00 9C 54 03 42 57 33 85 12 76 54
-00 00 B4 54 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D
-88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 B2 54 00 00 D4 54
-03 46 57 32 85 12 B2 54 00 00 E0 54 03 46 57 33
-85 12 B2 54 00 00 00 00 05 3F 47 4F 54 4F 3E 90
-00 30 07 24 3E E0 00 04 3E B0 00 10 02 24 3E E0
-00 08 0D 12 84 12 F2 48 5E 48 C0 44 EC 54 04 47
-4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C F1 3F
-84 48 66 4F 36 55 92 53 C4 1D 3E 40 2C 00 84 12
-8C 45 B0 46 34 40 82 48 12 51 4C 55 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E 64 54 04 52 52 43 4D 00
-85 12 30 55 50 00 7A 55 04 52 52 41 4D 00 85 12
-30 55 50 01 88 55 04 52 4C 41 4D 00 85 12 30 55
-50 02 96 55 04 52 52 55 4D 00 85 12 30 55 50 03
-A6 53 05 50 55 53 48 4D 85 12 30 55 00 15 B2 55
-04 50 4F 50 4D 00 85 12 30 55 00 17 A4 55 06 52
-52 43 4D 2E 41 00 85 12 30 55 40 00 CE 55 06 52
-52 41 4D 2E 41 00 85 12 30 55 40 01 DE 55 06 52
-4C 41 4D 2E 41 00 85 12 30 55 40 02 EE 55 06 52
-52 55 4D 2E 41 00 85 12 30 55 40 03 C0 55 07 50
-55 53 48 4D 2E 41 85 12 30 55 00 14 0E 56 06 50
-4F 50 4D 2E 41 00 85 12 30 55 00 16 A8 54 05 43
-41 4C 4C 41 0D 12 84 12 84 48 66 4F 3E 56 1B 42
-C6 1D A2 53 C6 1D 6E 4E 3C 40 34 01 7E 90 52 00
-0B 20 7E 40 20 00 B0 12 14 50 5C 0E 0C DE 8B 4C
-00 00 3E 4F 3D 41 30 4D 2C 53 7E 90 40 00 0B 20
-92 53 C4 1D 7E 40 20 00 B0 12 14 50 EE 23 1C 53
-3E 40 2B 00 E8 3F A2 53 C6 1D 7E 90 23 00 09 20
-3C 40 3B 01 92 53 C4 1D B0 12 8A 4F BB 4F 02 00
-DC 3F 7E 90 26 00 02 20 2C 53 F4 3F 7E 40 28 00
-1C 83 B0 12 8A 4F BB 4F 02 00 3E 40 29 00 CB 3F
-0D 12 84 12 84 48 66 4F CA 56 69 4E 3E 4F 3C 4F
-2C 4C 1B 42 C6 1D A2 53 C6 1D 79 90 52 00 0A 20
-B0 12 14 50 5E 0E 5E 0E 0E DC 8B 4E 00 00 0E 4B
-3D 41 30 4D 79 90 23 00 0D 20 3C C0 40 00 92 53
-C4 1D A2 53 C6 1D B0 12 8A 4F BB 4F 02 00 3E F0
-0F 00 E8 3F 79 90 26 00 03 20 3C E0 E0 00 EF 3F
-3C C0 F0 00 79 90 40 00 12 20 92 53 C4 1D B0 12
-14 50 D8 23 3C D0 10 00 3E 40 2B 00 B0 12 14 50
-92 92 C0 1D C4 1D CE 27 92 53 C4 1D CB 3F 3C D0
-30 00 A2 53 C6 1D 3E 40 28 00 B0 12 8A 4F BB 4F
-02 00 3E 40 29 00 EA 3F 0D 12 84 12 84 48 66 4F
-72 57 3B 4F 2C 4B 69 4E 7E 40 20 00 79 90 52 00
-03 20 B0 12 14 50 B0 3F 3C C0 F0 00 A2 53 C6 1D
-79 90 26 00 09 20 3C D0 60 00 92 53 C4 1D B0 12
-8A 4F BB 4F 02 00 A0 3F 3C D0 70 00 3E 40 28 00
-B0 12 8A 4F BB 4F 02 00 3E 40 29 00 E2 3F 0A 40
-2C 00 C0 56 68 57 E4 43 C0 44 7C 51 04 4D 4F 56
-41 00 85 12 BE 57 C0 00 2E 56 04 43 4D 50 41 00
-85 12 BE 57 D0 00 42 54 04 41 44 44 41 00 85 12
-BE 57 E0 00 FE 55 04 53 55 42 41 00 85 12 BE 57
-F0 00 0D 12 84 12 84 48 66 4F 0C 58 69 4E 3E 4F
-3C 40 00 18 79 90 52 00 05 20 B0 12 14 50 0E 4C
-3D 41 30 4D 82 43 96 5C 79 90 23 00 0B 20 92 53
-C4 1D B0 12 8A 4F 2F 53 3E F0 0F 00 5E 0A 5E 0E
-0C DE ED 3F 79 90 26 00 F2 27 79 90 40 00 12 20
-92 53 C4 1D B0 12 14 50 E2 23 3E 40 2B 00 92 53
-C4 1D B0 12 14 50 92 92 C0 1D C4 1D D8 27 92 53
-C4 1D D5 3F 3E 40 28 00 B0 12 8A 4F 8F 4E 00 00
-3E 40 29 00 B0 12 14 50 3E 4F 3E F0 0F 00 0C DE
-EA 3F 0D 12 84 12 84 48 66 4F 9C 58 3C 4F 69 4E
-3E 40 20 00 79 90 52 00 BA 27 82 43 96 5C 79 90
-26 00 08 20 92 53 C4 1D B0 12 8A 4F 2F 53 3E F0
-0F 00 BE 3F 3E 40 28 00 B0 12 8A 4F F7 3F B2 4F
-C4 1D 1B 42 C6 1D A2 53 C6 1D 0C 4E 3E 4F 1C D2
-96 5C 82 43 96 5C 3C DE 8B 4C 00 00 30 4D 0A 40
-C4 1D 40 44 0A 40 2C 00 02 58 92 58 CE 58 3A 40
-5C 51 CC 57 04 4D 4F 56 58 00 85 12 EE 58 40 00
-00 40 04 59 06 4D 4F 56 58 2E 41 00 85 12 EE 58
-00 00 40 40 14 59 06 4D 4F 56 58 2E 42 00 85 12
-EE 58 40 00 40 40 E8 57 04 41 44 44 58 00 85 12
-EE 58 40 00 00 50 38 59 06 41 44 44 58 2E 41 00
-85 12 EE 58 00 00 40 50 48 59 06 41 44 44 58 2E
-42 00 85 12 EE 58 40 00 40 50 5A 59 05 41 44 44
-43 58 85 12 EE 58 40 00 00 60 6C 59 07 41 44 44
-43 58 2E 41 85 12 EE 58 00 00 40 60 7C 59 07 41
-44 44 43 58 2E 42 85 12 EE 58 40 00 40 60 F6 57
-05 53 55 42 43 58 85 12 EE 58 40 00 00 70 A0 59
-07 53 55 42 43 58 2E 41 85 12 EE 58 00 00 40 70
-B0 59 07 53 55 42 43 58 2E 42 85 12 EE 58 40 00
-40 70 C2 59 04 53 55 42 58 00 85 12 EE 58 40 00
-00 80 D4 59 06 53 55 42 58 2E 41 00 85 12 EE 58
-00 00 40 80 E4 59 06 53 55 42 58 2E 42 00 85 12
-EE 58 40 00 40 80 DA 57 04 43 4D 50 58 00 85 12
-EE 58 40 00 00 90 08 5A 06 43 4D 50 58 2E 41 00
-85 12 EE 58 00 00 40 90 18 5A 06 43 4D 50 58 2E
-42 00 85 12 EE 58 40 00 40 90 EA 53 05 44 41 44
-44 58 85 12 EE 58 40 00 00 A0 3C 5A 07 44 41 44
-44 58 2E 41 85 12 EE 58 00 00 40 A0 4C 5A 07 44
-41 44 44 58 2E 42 85 12 EE 58 40 00 40 A0 2A 5A
-04 42 49 54 58 00 85 12 EE 58 40 00 00 B0 70 5A
-06 42 49 54 58 2E 41 00 85 12 EE 58 00 00 40 B0
-80 5A 06 42 49 54 58 2E 42 00 85 12 EE 58 40 00
-40 B0 92 5A 04 42 49 43 58 00 85 12 EE 58 40 00
-00 C0 A4 5A 06 42 49 43 58 2E 41 00 85 12 EE 58
-00 00 40 C0 B4 5A 06 42 49 43 58 2E 42 00 85 12
-EE 58 40 00 40 C0 C6 5A 04 42 49 53 58 00 85 12
-EE 58 40 00 00 D0 D8 5A 06 42 49 53 58 2E 41 00
-85 12 EE 58 00 00 40 D0 E8 5A 06 42 49 53 58 2E
-42 00 85 12 EE 58 40 00 40 D0 8C 52 04 58 4F 52
-58 00 85 12 EE 58 40 00 00 E0 0C 5B 06 58 4F 52
-58 2E 41 00 85 12 EE 58 00 00 40 E0 1C 5B 06 58
-4F 52 58 2E 42 00 85 12 EE 58 40 00 40 E0 8E 59
-04 41 4E 44 58 00 85 12 EE 58 40 00 00 F0 40 5B
-06 41 4E 44 58 2E 41 00 85 12 EE 58 00 00 40 F0
-50 5B 06 41 4E 44 58 2E 42 00 85 12 EE 58 40 00
-40 F0 0A 40 C4 1D 40 44 84 48 02 58 CE 58 3A 40
-B2 52 F6 59 04 52 52 43 58 00 85 12 72 5B 40 00
-00 10 84 5B 06 52 52 43 58 2E 41 00 85 12 72 5B
-00 00 40 10 94 5B 06 52 52 43 58 2E 42 00 85 12
-72 5B 40 00 40 10 A6 5B 04 52 52 55 58 00 85 12
-72 5B 40 01 00 10 B8 5B 06 52 52 55 58 2E 41 00
-85 12 72 5B 00 01 40 10 C8 5B 06 52 52 55 58 2E
-42 00 85 12 72 5B 40 01 40 10 DA 5B 05 53 57 50
-42 58 85 12 72 5B 40 00 80 10 EC 5B 07 53 57 50
-42 58 2E 41 85 12 72 5B 00 00 80 10 FC 5B 04 52
-52 41 58 00 85 12 72 5B 40 00 00 11 0E 5C 06 52
-52 41 58 2E 41 00 85 12 72 5B 00 00 40 11 1E 5C
-06 52 52 41 58 2E 42 00 85 12 72 5B 40 00 40 11
-30 5C 04 53 58 54 58 00 85 12 72 5B 40 00 80 11
-42 5C 06 53 58 54 58 2E 41 00 85 12 72 5B 00 00
-80 11 1E 56 05 50 55 53 48 58 85 12 72 5B 40 00
-00 12 64 5C 07 50 55 53 48 58 2E 41 85 12 72 5B
-00 00 40 12 74 5C 07 50 55 53 48 58 2E 42 85 12
-72 5B 40 00 40 12 00 00 52 5C 03 52 50 54 0D 12
-84 12 84 48 66 4F A8 5C 29 4E 7E 40 20 00 79 90
-52 00 06 20 B0 12 14 50 03 24 3E D0 80 00 05 3C
-B0 12 8A 4F 1E 83 3E F0 0F 00 82 4E 96 5C 3E 4F
-3D 41 30 4D D2 C3 23 02 E2 B2 60 02 02 24 30 40
-02 42 1A 52 04 20 19 62 06 20 92 43 14 20 A2 93
-02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20
-0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20
-89 10 C2 49 18 20 B0 12 5C 5D 5A 53 FC 23 39 40
-05 00 D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3
-6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30
-F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27
-5A 92 4C 06 F3 23 30 41 1A 43 E1 3F 19 43 3A 43
-8A 10 C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06
-FD 27 C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41
-9A 5C 08 52 45 41 44 5F 53 57 58 00 1C D3 F2 40
-51 00 19 20 B0 12 D4 5C 38 20 B0 12 5C 5D 6A 53
-04 24 FB 23 D9 42 4C 06 FF 1D F2 43 4E 06 03 43
-19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0 03 00
-D2 D3 23 02 30 41 52 54 09 57 52 49 54 45 5F 53
-57 58 2C D3 F0 40 58 00 41 C2 B0 12 D4 5C 15 20
-3A 40 FE FF 29 43 B0 12 60 5D D2 49 00 1E 4E 06
-03 43 19 53 39 90 00 02 F8 23 39 40 03 00 B0 12
-5E 5D 7A C0 E1 00 6A 92 D9 27 8C 10 1C 52 4C 06
-D2 D3 23 02 0D 12 84 12 34 43 14 40 0B 3C 20 53
-44 20 45 72 72 6F 72 21 2A 5E 2F 83 8F 4E 00 00
-B2 40 10 00 DC 1D 0E 4C 84 12 00 45 36 41 B0 12
-9C 41 0E 93 9C 24 E2 B2 60 02 99 20 B2 40 81 A9
-40 06 B2 40 30 00 46 06 D2 D3 25 02 B2 D0 C0 04
-0C 02 92 C3 40 06 39 42 B0 12 5E 5D D2 C3 23 02
-2C 42 B2 40 95 00 14 20 B2 40 00 40 18 20 B0 12
-58 5D 02 24 30 40 0A 5E B0 12 5C 5D 7A 93 FC 23
-B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48 18 20
-B0 12 58 5D 29 42 B0 12 5E 5D 92 43 14 20 82 43
-16 20 78 43 3C 42 B2 40 00 77 18 20 B0 12 58 5D
-B2 40 40 69 18 20 B0 12 16 5D 03 24 58 83 F3 23
-D9 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20 B0 12
-16 5D D0 23 92 D3 40 06 82 43 46 06 92 C3 40 06
-09 43 B0 12 8C 5D 38 40 00 1E 92 48 C6 01 04 20
-92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80
-06 00 0F 24 7A 82 0D 24 A2 43 02 20 6A 53 09 24
-5A 53 07 24 6A 52 05 24 3A 50 0B 20 0C 4A 30 40
-10 5E 09 43 B0 12 8C 5D D2 48 0D 00 12 20 19 48
-0E 00 82 49 08 20 1A 48 16 00 0A 93 02 20 1A 48
-24 00 82 4A 0A 20 09 5A 82 49 0C 20 09 5A A2 93
-02 20 04 24 82 49 0E 20 39 50 20 00 19 82 12 20
-19 82 12 20 82 49 10 20 92 42 02 20 2C 20 30 41
-B0 12 AA 40 39 40 E0 00 29 83 89 43 38 20 FC 23
-82 43 32 20 30 41 92 4B 0E 00 22 20 92 4B 10 00
-24 20 5A 42 23 20 58 42 22 20 92 93 02 20 08 24
-59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10
-08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93
-24 20 03 20 92 93 22 20 14 24 92 42 22 20 D0 04
-92 42 24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04
-1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63
-1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20
-B0 12 C4 5F 5A 4B 03 00 82 5A 1A 20 82 63 1C 20
-30 41 09 93 07 24 F8 90 20 00 00 1E 03 20 18 53
-19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90
-00 02 20 20 AB 20 BB 80 00 02 12 00 8B 73 14 00
-DB 53 03 00 DB 92 12 20 03 00 14 28 CB 43 03 00
-B0 12 96 5F 1A 52 08 20 09 43 B0 12 8C 5D 8B 43
-10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24 9B 48
-02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00 0B 20
-92 9B 12 00 1E 20 82 2C BB 90 00 02 12 00 03 2C
-92 4B 12 00 20 20 B0 12 04 60 1A 42 1A 20 19 42
-1C 20 6C 3E 3C 42 3B 40 38 20 09 43 CB 93 02 00
-10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20 0A 00
-07 24 09 4B 3B 50 1C 00 3B 90 18 21 EF 23 0C 5C
-30 41 0C 43 82 4B 32 20 8B 49 00 00 09 93 0A 24
-99 52 C4 1D 16 00 4A 93 05 34 C9 93 02 00 02 34
-5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42 1A 20
-04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48 08 00
-9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E
-0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00 9B 48
-1E 1E 14 00 82 43 1E 20 6A 93 5C 27 C9 37 8B 43
-16 00 7A 93 02 24 07 38 95 3F B2 40 1C 21 CA 40
-B2 40 56 43 7A 42 9B 42 C0 1D 18 00 9B 82 C4 1D
-18 00 9B 42 C2 1D 1A 00 9B 52 C4 1D 1A 00 82 3F
-CB 43 02 00 2B 4B 82 4B 32 20 0B 93 06 24 92 4B
-16 00 1E 20 B0 12 84 60 22 C3 30 41 1B 42 32 20
-0B 93 FB 27 EB 93 02 00 04 20 B0 12 5A 66 B0 12
-22 66 CB 93 02 00 E4 37 1E 4B 18 00 9F 4B 1A 00
-00 00 31 50 06 00 3D 41 B0 12 80 61 02 24 30 40
-4A 43 B2 40 3C 1D CA 40 B2 40 7C 42 7A 42 30 40
-34 43 48 4E 85 52 45 41 44 22 5A 43 19 3C BC 4C
-86 57 52 49 54 45 22 00 6A 43 12 3C B0 4D 84 44
-45 4C 22 00 6A 42 0C 3C D0 4A 05 43 4C 4F 53 45
-B0 12 9C 61 30 4D 6A 4C 85 4C 4F 41 44 22 7A 43
-2F 83 8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24 0D 12
-84 12 0A 40 0A 40 BA 47 BA 47 44 45 0A 40 54 62
-BA 47 C0 44 0D 12 84 12 0A 40 22 00 8C 45 1A 48
-52 62 3D 41 36 4F 0E 56 82 4E 36 20 1C 43 92 42
-2C 20 22 20 92 42 2E 20 24 20 0E 96 8D 24 F6 90
-3A 00 01 00 01 20 26 53 F6 90 5C 00 00 00 08 20
-16 53 92 42 02 20 22 20 82 43 24 20 0E 96 70 24
-82 46 34 20 B0 12 C4 5F 35 40 20 00 A2 93 02 20
-04 24 92 92 22 20 02 20 02 24 15 42 12 20 B0 12
-AA 60 2C 43 0A 43 08 4A 58 0E 08 58 82 48 30 20
-C8 93 00 1E 61 24 39 42 F8 96 00 1E 04 20 18 53
-19 83 FA 23 16 53 F6 90 2E 00 FF FF 19 24 39 50
-03 00 B0 12 22 60 06 20 F6 90 5C 00 FF FF 29 24
-0E 96 27 28 16 42 34 20 1A 53 3A 90 10 00 DB 23
-92 53 1A 20 82 63 1C 20 15 83 D1 23 2C 42 3C 3C
-F6 90 2E 00 FE FF EE 27 B0 12 22 60 EB 23 39 40
-03 00 F8 96 00 1E 04 20 18 53 19 83 FA 23 09 3C
-0E 96 E0 2F F6 90 5C 00 FF FF DC 23 B0 12 22 60
-D9 23 18 42 30 20 92 48 1A 1E 22 20 92 48 14 1E
-24 20 F8 B0 10 00 0B 1E 14 24 82 93 24 20 06 20
-82 93 22 20 03 20 92 42 02 20 22 20 0E 96 8E 2F
-92 42 22 20 2C 20 92 42 24 20 2E 20 8F 43 00 00
-03 3C 2A 4F B0 12 B4 60 35 40 D4 40 36 40 E2 40
-3A 4F 3E 4F 0A 93 04 24 7A 93 39 20 0C 93 01 20
-30 4D 0D 12 84 12 34 43 14 40 0B 3C 20 4F 70 65
-6E 45 72 72 6F 72 3A 40 28 5E F8 42 01 2B 3E 5F
-30 4D 0A 62 04 42 4F 4F 54 00 39 40 3E 5E 2E 93
-01 2C 30 41 E2 B2 60 02 02 24 10 49 02 00 89 12
-3F 40 7E 1C 8F 43 00 00 82 43 BE 1D B2 40 00 1C
-00 1C 31 40 E0 1C 84 12 14 40 0F 4C 4F 41 44 22
-20 42 4F 4F 54 2E 34 54 48 22 3A 40 A2 48 1A 93
-BB 20 0C 93 C6 23 30 4D E4 61 04 52 45 41 44 00
-2F 83 8F 4E 00 00 1E 42 32 20 B0 12 36 60 1E 82
-32 20 30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58
-2A 41 82 9A 0A 20 A6 24 1A 52 08 20 09 43 B0 12
-8C 5D 09 43 28 93 03 24 89 93 02 1E 03 20 89 93
-00 1E 07 24 09 58 39 90 00 02 F4 23 91 53 00 00
-E7 3F 0C 43 6A 41 B9 43 00 1E 28 93 0F 24 B9 40
-FF 0F 02 1E 09 11 8A 10 09 5A 5A 41 01 00 0A 11
-09 10 82 4A 28 20 82 49 26 20 07 3C 09 11 C2 49
-26 20 C2 4A 27 20 82 43 28 20 3A 41 82 4A 2A 20
-30 41 0A 12 1A 52 08 20 09 43 B0 12 D2 5D 3A 41
-1A 52 0C 20 09 43 B0 12 D2 5D F2 B0 40 00 A2 04
-29 20 F2 B0 10 00 A2 04 FC 27 5A 42 B0 04 4A 11
-59 42 B4 04 F2 40 20 00 C0 04 D2 42 B1 04 C8 04
-1A 52 E4 04 D2 42 B5 04 C8 04 19 52 E4 04 D2 42
-B2 04 C0 04 B2 40 00 08 C8 04 1A 52 E4 04 92 42
-B6 04 C0 04 B2 80 BC 07 C0 04 B2 40 00 02 C8 04
-19 52 E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E
-3F 5B 5C 5D 7C 2E 29 92 06 38 39 80 03 00 B0 12
-76 65 39 40 03 00 7A 4B C8 4A 00 1E 82 9B 36 20
-12 28 0D 12 3D 40 0F 00 3C 40 26 65 7A 9C F3 27
-1D 83 FC 23 3D 41 6A 9C E6 27 3A 80 21 00 EB 3B
-18 53 19 83 E8 23 09 93 06 24 F8 40 20 00 00 1E
-18 53 19 83 FA 23 30 41 2A 93 DC 20 2C 93 0E 24
-0C 93 AB 24 0D 12 84 12 14 40 0C 3C 20 57 72 69
-74 65 45 72 72 6F 72 00 3A 40 28 5E B0 12 34 64
-92 42 26 20 22 20 92 42 28 20 24 20 B0 12 B2 64
-B0 12 AA 60 18 42 30 20 F8 40 20 00 0B 1E B0 12
-CA 64 88 43 0C 1E 88 4A 0E 1E 88 49 10 1E 88 49
-12 1E 98 42 24 20 14 1E 98 42 22 20 1A 1E 88 43
-1C 1E 88 43 1E 1E 1C 43 1B 42 34 20 82 9B 36 20
-C9 27 FB 90 2E 00 00 00 C5 27 39 40 0B 00 B0 12
-46 65 B0 12 64 66 2A 43 B0 12 B4 60 0C 93 BA 23
-30 4D 1A 4B 04 00 19 4B 06 00 B0 12 8C 5D B0 12
-CA 64 18 4B 08 00 88 49 12 1E 88 4A 16 1E 88 49
-18 1E 98 4B 12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B
-04 00 19 4B 06 00 30 40 D2 5D 9B 52 1E 20 12 00
-8B 63 14 00 1A 42 1A 20 19 42 1C 20 30 40 D2 5D
-B2 40 00 02 1E 20 1B 42 32 20 B0 12 5A 66 82 43
-1E 20 DB 53 03 00 DB 92 12 20 03 00 25 20 CB 43
-03 00 B0 12 96 5F 08 12 0A 12 B0 12 34 64 2A 91
-08 24 B0 12 B2 64 2A 41 1A 52 08 20 09 43 B0 12
-8C 5D 3A 41 38 41 98 42 26 20 00 1E 92 93 02 20
-03 24 98 42 28 20 02 1E B0 12 B2 64 9B 42 26 20
-0E 00 9B 42 28 20 10 00 30 40 04 60 F0 61 05 57
-52 49 54 45 B0 12 70 66 30 4D 58 4B 13 00 59 4B
-14 00 89 10 09 58 58 4B 15 00 5B 42 12 20 0A 43
-3C 42 08 11 09 10 4A 10 1C 83 0B 11 FA 2B 0A 11
-1C 83 FD 37 1B 42 32 20 19 5B 0A 00 18 6B 0C 00
-8B 49 0E 00 8B 48 10 00 CB 4A 03 00 1A 4B 12 00
-BB C0 FF 01 12 00 3A F0 FF 01 82 4A 1E 20 B0 12
-A6 60 30 4D 0C 93 3B 20 38 90 E0 01 03 2C C8 93
-20 1E 02 24 7C 40 E5 00 C8 4C 00 1E B0 12 64 66
-B0 12 A2 5F 82 4A 2A 20 0B 4A 1A 52 08 20 09 43
-B0 12 8C 5D 1A 48 00 1E 88 43 00 1E 92 93 02 20
-09 24 19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90
-FF 0F 02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20
-B0 12 A2 5F 0B 9A E6 27 0A 12 0A 4B B0 12 B2 64
-3A 41 DA 3F 0A 4B B0 12 B2 64 B0 12 9C 61 30 4D
-FC 44 08 54 45 52 4D 32 53 44 22 00 0D 12 84 12
-04 62 0A 40 02 00 28 40 1A 48 54 62 DE 67 3D 41
-0A 43 B0 12 C6 41 92 B3 DC 05 FD 27 59 42 CC 05
-C2 49 CE 05 69 92 0D 24 CA 49 00 1E 1A 53 3A 90
-FF 01 F1 2B 03 24 B0 12 70 66 EA 3F B0 12 C8 41
-EA 3F B0 12 C8 41 82 4A 1E 20 B0 12 9C 61 30 4D
+82 43 8E 5C 0D 12 84 12 5A 4D 2C 4D C0 44 90 4A
+07 48 44 4E 43 4F 44 45 B2 40 30 4D DA 1D EC 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 C8 49
+80 4D B4 4D C0 44 B2 4A 07 43 4F 44 45 4E 4E 4D
+30 12 BC 4A A6 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 80 4D B4 4D C0 44
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F D0 4A 85 48 49 32 4C 4F 0D 12 84 12 28 40
+94 4C BA 47 1C 49 C0 4A C0 44 46 4A 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 5C 4B 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 40 00 00 38 44 74 48 8C 45
+0A 48 00 44 34 40 F4 4B 0E 44 14 40 06 5B 54 48
+45 4E 5D 00 66 4B CE 4B 8A 4B AC 4B C0 44 0E 44
+14 40 06 5B 45 4C 53 45 5D 00 66 4B E4 4B 8A 4B
+AA 4B C0 44 14 40 04 5B 49 46 5D 00 66 4B AC 4B
+3A 40 AA 4B E2 43 14 40 05 0D 0A 6B 6F 20 BC 43
+BC 40 AC 40 3A 40 AC 4B 9A 4B 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 0A 4C 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 74 48 8C 45
+F4 45 18 4C C0 44 1E 4C 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 28 4C 50 44 C0 44 50 4C
+B2 4E 0A 18 B2 4E 0C 18 BE 12 3E 4F 3D 41 DB 3C
+CC 47 06 4D 41 52 4B 45 52 00 B0 12 72 49 BA 40
+85 12 FC FF BA 40 4E 4C FE FF 28 83 8A 48 00 00
+9A 42 C8 1D 02 00 BA 40 AA 40 04 00 B2 50 06 00
+C6 1D 9D 3E 2E 53 30 4D 6E 4A 05 44 4F 45 53 3E
+1A 42 BA 1D BA 40 85 12 00 00 8A 4D 02 00 3D 41
+30 4D 86 45 0A 56 4F 43 41 42 55 4C 41 52 59 00
+0D 12 84 12 34 4A 0A 40 10 00 0A 40 00 00 3E 40
+0A 40 00 00 BA 47 60 40 D0 4C 28 40 0A 40 C8 1D
+00 44 40 44 BA 47 48 44 A0 4C 0A 40 CA 1D 48 44
+C0 44 F0 48 05 46 4F 52 54 48 85 12 EA 4C 54 4D
+C2 63 F6 61 F4 4C 44 4B F8 42 10 62 9A 4D 26 4E
+18 64 C0 67 DC 66 00 00 B4 63 2E 49 54 46 00 00
+D8 48 09 41 53 53 45 4D 42 4C 45 52 85 12 EA 4C
+5A 5B F2 5A 56 5A 16 55 A8 53 00 00 1E 59 00 00
+7E 5C 7A 5D 0C 54 C0 5D 26 5B 00 00 00 00 F0 54
+1E 4D 22 4D 04 41 4C 53 4F 00 3A 40 0C 00 39 40
+D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
+FA 23 30 4D 3A 49 08 50 52 45 56 49 4F 55 53 00
+3A 40 0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 72 45 04 4F 4E 4C 59 00
+82 43 CC 1D 30 4D 9A 4C 0B 44 45 46 49 4E 49 54
+49 4F 4E 53 92 42 CA 1D DA 1D 30 4D FA 4C A0 4D
+B4 4D C4 4D 3A 4E 82 4A C8 1D 2E 4E 82 4E C6 1D
+3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
+FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
+3E 4F 3D 41 30 4D 76 4D 09 50 57 52 5F 53 54 41
+54 45 85 12 BC 4D 50 4D 1E 68 40 45 09 52 53 54
+5F 53 54 41 54 45 92 42 0A 18 08 4E 92 42 0C 18
+06 4E EF 3F F8 4D 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 08 4E 92 42 C8 1D 06 4E 30 4D 0C 4E
+08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18
+92 42 C8 1D 0C 18 EC 3F 3E 90 0E 00 D2 27 2E 92
+DA 37 0E 93 CE 37 39 40 10 00 29 83 B9 43 80 FF
+FC 23 B9 40 A8 4E FE FF 29 83 B9 40 02 42 FE FF
+39 90 AE FF F9 23 39 40 14 18 B2 49 04 42 B2 49
+FA 40 B2 49 02 40 B2 49 22 42 B2 49 F0 FF B2 49
+0A 18 B2 49 0C 18 B7 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 10 29 83 89 43 00 1C FC 23 B2 D3
+06 02 B2 40 FC FF 02 02 B2 43 26 02 B2 D3 22 02
+E2 D2 25 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02
+B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40 A5 00
+41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00
+61 01 B2 40 48 00 62 01 82 43 66 01 B2 40 33 00
+64 01 D2 43 61 01 39 40 40 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 F2 D0 10 00 2A 03 F2 40 A5 00
+A1 04 F2 C0 40 00 A2 04 B2 42 B0 01 1E 42 08 18
+82 43 08 18 1E D2 9E 01 B0 12 F8 40 20 42 38 40
+C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
+30 4D 1C 15 0E 12 12 12 C4 1D 84 12 8C 45 F4 45
+50 44 34 40 9E 4F B0 46 34 40 B8 4F B2 4F A0 4F
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 BA 4F B2 41 C4 1D 3E 41
+84 12 0A 40 2B 00 8C 45 F4 45 50 44 34 40 D6 4F
+B0 46 34 40 72 48 1A 44 8C 45 B0 46 34 40 72 48
+E2 4F 3E 5F E7 3F 32 B0 00 02 01 24 3E 4F 30 41
+3E 40 28 00 B0 12 82 4F B0 12 E6 4F 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 40 29 00 1C 15 92 92
+C0 1D C4 1D 02 20 30 40 E0 49 12 12 C4 1D 92 53
+C4 1D 84 12 8C 45 B0 46 34 40 38 50 2E 50 21 53
+3E 90 10 00 84 2D BE 2B 3A 50 B2 41 C4 1D BA 3F
+0D 12 84 12 74 48 5E 4F 4A 50 0C 43 1B 42 C6 1D
+A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 29 20 92 53
+C4 1D B0 12 82 4F B0 12 E6 4F 3C 40 00 03 0E 93
+1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
+14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
+0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
+C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
+7A 90 26 00 09 20 3C 40 10 02 92 53 C4 1D B0 12
+82 4F B0 12 E6 4F EB 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 1D B0 12 0C 50 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 0C 50 92 92 C0 1D C4 1D 02 24
+92 53 C4 1D 8E 10 0C 5E D8 3F B0 12 0C 50 FA 23
+3C 50 10 00 B0 12 F0 4F EF 3F 0C 43 1B 42 C6 1D
+A2 53 C6 1D 0D 12 84 12 74 48 5E 4F 1E 51 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C5 3F
+B0 12 0C 50 E0 23 3C 50 80 00 B0 12 F0 4F DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A 40 00 13
+BA 47 C0 44 0A 40 2C 00 40 50 14 51 5E 51 09 4B
+2E 4E 0E DC A0 3F 32 4B 03 4D 4F 56 85 12 54 51
+00 40 68 51 05 4D 4F 56 2E 42 85 12 54 51 40 40
+00 00 03 41 44 44 85 12 54 51 00 50 82 51 05 41
+44 44 2E 42 85 12 54 51 40 50 8E 51 04 41 44 44
+43 00 85 12 54 51 00 60 9C 51 06 41 44 44 43 2E
+42 00 85 12 54 51 40 60 42 51 04 53 55 42 43 00
+85 12 54 51 00 70 BA 51 06 53 55 42 43 2E 42 00
+85 12 54 51 40 70 C8 51 03 53 55 42 85 12 54 51
+00 80 D8 51 05 53 55 42 2E 42 85 12 54 51 40 80
+08 4B 03 43 4D 50 85 12 54 51 00 90 F2 51 05 43
+4D 50 2E 42 85 12 54 51 40 90 E2 4A 04 44 41 44
+44 00 85 12 54 51 00 A0 0C 52 06 44 41 44 44 2E
+42 00 85 12 54 51 40 A0 FE 51 03 42 49 54 85 12
+54 51 00 B0 2A 52 05 42 49 54 2E 42 85 12 54 51
+40 B0 36 52 03 42 49 43 85 12 54 51 00 C0 44 52
+05 42 49 43 2E 42 85 12 54 51 40 C0 50 52 03 42
+49 53 85 12 54 51 00 D0 5E 52 05 42 49 53 2E 42
+85 12 54 51 40 D0 00 00 03 58 4F 52 85 12 54 51
+00 E0 78 52 05 58 4F 52 2E 42 85 12 54 51 40 E0
+AA 51 03 41 4E 44 85 12 54 51 00 F0 92 52 05 41
+4E 44 2E 42 85 12 54 51 40 F0 74 48 40 50 B0 52
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+E4 51 03 52 52 43 85 12 AA 52 00 10 C2 52 05 52
+52 43 2E 42 85 12 AA 52 40 10 CE 52 04 53 57 50
+42 00 85 12 AA 52 80 10 DC 52 03 52 52 41 85 12
+AA 52 00 11 EA 52 05 52 52 41 2E 42 85 12 AA 52
+40 11 F6 52 03 53 58 54 85 12 AA 52 80 11 00 00
+04 50 55 53 48 00 85 12 AA 52 00 12 10 53 06 50
+55 53 48 2E 42 00 85 12 AA 52 40 12 6A 52 04 43
+41 4C 4C 00 85 12 AA 52 80 12 1A 53 0E 4A 0D 12
+84 12 36 45 14 40 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 41 04 53 03 53 3E 3D 86 12 00 38
+58 53 02 53 3C 00 86 12 00 34 1E 53 03 30 3E 3D
+86 12 00 30 6C 53 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C 80 53 03 55 3E 3D 86 12
+00 28 76 53 03 30 3C 3E 86 12 00 24 94 53 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D
+8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D 8A 53 04 54
+48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+1A 52 04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C
+00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 2E 53
+05 42 45 47 49 4E 30 40 28 40 BE 53 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 1D 30 4D 9E 52 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 AC 53 1A 44 C0 44 62 53 06 52 45 50
+45 41 54 00 0D 12 84 12 40 54 C4 53 C0 44 70 54
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42
+C6 1D 00 00 30 4D 00 54 03 42 57 31 85 12 6E 54
+00 00 88 54 03 42 57 32 85 12 6E 54 00 00 94 54
+03 42 57 33 85 12 6E 54 00 00 AC 54 3D 41 1A 42
+C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53
+C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 AA 54 00 00 CC 54 03 46 57 32 85 12 AA 54
+00 00 D8 54 03 46 57 33 85 12 AA 54 00 00 00 00
+05 3F 47 4F 54 4F 3E 90 00 30 07 24 3E E0 00 04
+3E B0 00 10 02 24 3E E0 00 08 0D 12 84 12 F2 48
+4E 48 C0 44 E4 54 04 47 4F 54 4F 00 2F 83 8F 4E
+00 00 3E 40 00 3C F1 3F 74 48 5E 4F 2E 55 92 53
+C4 1D 3E 40 2C 00 84 12 8C 45 B0 46 34 40 72 48
+0A 51 44 55 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+5C 54 04 52 52 43 4D 00 85 12 28 55 50 00 72 55
+04 52 52 41 4D 00 85 12 28 55 50 01 80 55 04 52
+4C 41 4D 00 85 12 28 55 50 02 8E 55 04 52 52 55
+4D 00 85 12 28 55 50 03 9E 53 05 50 55 53 48 4D
+85 12 28 55 00 15 AA 55 04 50 4F 50 4D 00 85 12
+28 55 00 17 9C 55 06 52 52 43 4D 2E 41 00 85 12
+28 55 40 00 C6 55 06 52 52 41 4D 2E 41 00 85 12
+28 55 40 01 D6 55 06 52 4C 41 4D 2E 41 00 85 12
+28 55 40 02 E6 55 06 52 52 55 4D 2E 41 00 85 12
+28 55 40 03 B8 55 07 50 55 53 48 4D 2E 41 85 12
+28 55 00 14 06 56 06 50 4F 50 4D 2E 41 00 85 12
+28 55 00 16 A0 54 05 43 41 4C 4C 41 0D 12 84 12
+74 48 5E 4F 36 56 1B 42 C6 1D A2 53 C6 1D 6E 4E
+3C 40 34 01 7E 90 52 00 0B 20 7E 40 20 00 B0 12
+0C 50 5C 0E 0C DE 8B 4C 00 00 3E 4F 3D 41 30 4D
+2C 53 7E 90 40 00 0B 20 92 53 C4 1D 7E 40 20 00
+B0 12 0C 50 EE 23 1C 53 3E 40 2B 00 E8 3F A2 53
+C6 1D 7E 90 23 00 09 20 3C 40 3B 01 92 53 C4 1D
+B0 12 82 4F BB 4F 02 00 DC 3F 7E 90 26 00 02 20
+2C 53 F4 3F 7E 40 28 00 1C 83 B0 12 82 4F BB 4F
+02 00 3E 40 29 00 CB 3F 0D 12 84 12 74 48 5E 4F
+C2 56 69 4E 3E 4F 3C 4F 2C 4C 1B 42 C6 1D A2 53
+C6 1D 79 90 52 00 0A 20 B0 12 0C 50 5E 0E 5E 0E
+0E DC 8B 4E 00 00 0E 4B 3D 41 30 4D 79 90 23 00
+0D 20 3C C0 40 00 92 53 C4 1D A2 53 C6 1D B0 12
+82 4F BB 4F 02 00 3E F0 0F 00 E8 3F 79 90 26 00
+03 20 3C E0 E0 00 EF 3F 3C C0 F0 00 79 90 40 00
+12 20 92 53 C4 1D B0 12 0C 50 D8 23 3C D0 10 00
+3E 40 2B 00 B0 12 0C 50 92 92 C0 1D C4 1D CE 27
+92 53 C4 1D CB 3F 3C D0 30 00 A2 53 C6 1D 3E 40
+28 00 B0 12 82 4F BB 4F 02 00 3E 40 29 00 EA 3F
+0D 12 84 12 74 48 5E 4F 6A 57 3B 4F 2C 4B 69 4E
+7E 40 20 00 79 90 52 00 03 20 B0 12 0C 50 B0 3F
+3C C0 F0 00 A2 53 C6 1D 79 90 26 00 09 20 3C D0
+60 00 92 53 C4 1D B0 12 82 4F BB 4F 02 00 A0 3F
+3C D0 70 00 3E 40 28 00 B0 12 82 4F BB 4F 02 00
+3E 40 29 00 E2 3F 0A 40 2C 00 B8 56 60 57 E4 43
+C0 44 74 51 04 4D 4F 56 41 00 85 12 B6 57 C0 00
+26 56 04 43 4D 50 41 00 85 12 B6 57 D0 00 3A 54
+04 41 44 44 41 00 85 12 B6 57 E0 00 F6 55 04 53
+55 42 41 00 85 12 B6 57 F0 00 0D 12 84 12 74 48
+5E 4F 04 58 69 4E 3E 4F 3C 40 00 18 79 90 52 00
+05 20 B0 12 0C 50 0E 4C 3D 41 30 4D 82 43 8E 5C
+79 90 23 00 0B 20 92 53 C4 1D B0 12 82 4F 2F 53
+3E F0 0F 00 5E 0A 5E 0E 0C DE ED 3F 79 90 26 00
+F2 27 79 90 40 00 12 20 92 53 C4 1D B0 12 0C 50
+E2 23 3E 40 2B 00 92 53 C4 1D B0 12 0C 50 92 92
+C0 1D C4 1D D8 27 92 53 C4 1D D5 3F 3E 40 28 00
+B0 12 82 4F 8F 4E 00 00 3E 40 29 00 B0 12 0C 50
+3E 4F 3E F0 0F 00 0C DE EA 3F 0D 12 84 12 74 48
+5E 4F 94 58 3C 4F 69 4E 3E 40 20 00 79 90 52 00
+BA 27 82 43 8E 5C 79 90 26 00 08 20 92 53 C4 1D
+B0 12 82 4F 2F 53 3E F0 0F 00 BE 3F 3E 40 28 00
+B0 12 82 4F F7 3F B2 4F C4 1D 1B 42 C6 1D A2 53
+C6 1D 0C 4E 3E 4F 1C D2 8E 5C 82 43 8E 5C 3C DE
+8B 4C 00 00 30 4D 0A 40 C4 1D 40 44 0A 40 2C 00
+FA 57 8A 58 C6 58 3A 40 54 51 C4 57 04 4D 4F 56
+58 00 85 12 E6 58 40 00 00 40 FC 58 06 4D 4F 56
+58 2E 41 00 85 12 E6 58 00 00 40 40 0C 59 06 4D
+4F 56 58 2E 42 00 85 12 E6 58 40 00 40 40 E0 57
+04 41 44 44 58 00 85 12 E6 58 40 00 00 50 30 59
+06 41 44 44 58 2E 41 00 85 12 E6 58 00 00 40 50
+40 59 06 41 44 44 58 2E 42 00 85 12 E6 58 40 00
+40 50 52 59 05 41 44 44 43 58 85 12 E6 58 40 00
+00 60 64 59 07 41 44 44 43 58 2E 41 85 12 E6 58
+00 00 40 60 74 59 07 41 44 44 43 58 2E 42 85 12
+E6 58 40 00 40 60 EE 57 05 53 55 42 43 58 85 12
+E6 58 40 00 00 70 98 59 07 53 55 42 43 58 2E 41
+85 12 E6 58 00 00 40 70 A8 59 07 53 55 42 43 58
+2E 42 85 12 E6 58 40 00 40 70 BA 59 04 53 55 42
+58 00 85 12 E6 58 40 00 00 80 CC 59 06 53 55 42
+58 2E 41 00 85 12 E6 58 00 00 40 80 DC 59 06 53
+55 42 58 2E 42 00 85 12 E6 58 40 00 40 80 D2 57
+04 43 4D 50 58 00 85 12 E6 58 40 00 00 90 00 5A
+06 43 4D 50 58 2E 41 00 85 12 E6 58 00 00 40 90
+10 5A 06 43 4D 50 58 2E 42 00 85 12 E6 58 40 00
+40 90 E2 53 05 44 41 44 44 58 85 12 E6 58 40 00
+00 A0 34 5A 07 44 41 44 44 58 2E 41 85 12 E6 58
+00 00 40 A0 44 5A 07 44 41 44 44 58 2E 42 85 12
+E6 58 40 00 40 A0 22 5A 04 42 49 54 58 00 85 12
+E6 58 40 00 00 B0 68 5A 06 42 49 54 58 2E 41 00
+85 12 E6 58 00 00 40 B0 78 5A 06 42 49 54 58 2E
+42 00 85 12 E6 58 40 00 40 B0 8A 5A 04 42 49 43
+58 00 85 12 E6 58 40 00 00 C0 9C 5A 06 42 49 43
+58 2E 41 00 85 12 E6 58 00 00 40 C0 AC 5A 06 42
+49 43 58 2E 42 00 85 12 E6 58 40 00 40 C0 BE 5A
+04 42 49 53 58 00 85 12 E6 58 40 00 00 D0 D0 5A
+06 42 49 53 58 2E 41 00 85 12 E6 58 00 00 40 D0
+E0 5A 06 42 49 53 58 2E 42 00 85 12 E6 58 40 00
+40 D0 84 52 04 58 4F 52 58 00 85 12 E6 58 40 00
+00 E0 04 5B 06 58 4F 52 58 2E 41 00 85 12 E6 58
+00 00 40 E0 14 5B 06 58 4F 52 58 2E 42 00 85 12
+E6 58 40 00 40 E0 86 59 04 41 4E 44 58 00 85 12
+E6 58 40 00 00 F0 38 5B 06 41 4E 44 58 2E 41 00
+85 12 E6 58 00 00 40 F0 48 5B 06 41 4E 44 58 2E
+42 00 85 12 E6 58 40 00 40 F0 0A 40 C4 1D 40 44
+74 48 FA 57 C6 58 3A 40 AA 52 EE 59 04 52 52 43
+58 00 85 12 6A 5B 40 00 00 10 7C 5B 06 52 52 43
+58 2E 41 00 85 12 6A 5B 00 00 40 10 8C 5B 06 52
+52 43 58 2E 42 00 85 12 6A 5B 40 00 40 10 9E 5B
+04 52 52 55 58 00 85 12 6A 5B 40 01 00 10 B0 5B
+06 52 52 55 58 2E 41 00 85 12 6A 5B 00 01 40 10
+C0 5B 06 52 52 55 58 2E 42 00 85 12 6A 5B 40 01
+40 10 D2 5B 05 53 57 50 42 58 85 12 6A 5B 40 00
+80 10 E4 5B 07 53 57 50 42 58 2E 41 85 12 6A 5B
+00 00 80 10 F4 5B 04 52 52 41 58 00 85 12 6A 5B
+40 00 00 11 06 5C 06 52 52 41 58 2E 41 00 85 12
+6A 5B 00 00 40 11 16 5C 06 52 52 41 58 2E 42 00
+85 12 6A 5B 40 00 40 11 28 5C 04 53 58 54 58 00
+85 12 6A 5B 40 00 80 11 3A 5C 06 53 58 54 58 2E
+41 00 85 12 6A 5B 00 00 80 11 16 56 05 50 55 53
+48 58 85 12 6A 5B 40 00 00 12 5C 5C 07 50 55 53
+48 58 2E 41 85 12 6A 5B 00 00 40 12 6C 5C 07 50
+55 53 48 58 2E 42 85 12 6A 5B 40 00 40 12 00 00
+4A 5C 03 52 50 54 0D 12 84 12 74 48 5E 4F A0 5C
+29 4E 7E 40 20 00 79 90 52 00 06 20 B0 12 0C 50
+03 24 3E D0 80 00 05 3C B0 12 82 4F 1E 83 3E F0
+0F 00 82 4E 8E 5C 3E 4F 3D 41 30 4D D2 C3 23 02
+E2 B2 60 02 02 24 30 40 02 42 1A 52 04 20 19 62
+06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69
+82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10
+C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12
+54 5D 5A 53 FC 23 39 40 05 00 D2 49 14 20 4E 06
+82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
+59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93 46 06
+03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23 30 41
+1A 43 E1 3F 19 43 3A 43 8A 10 C2 4A 4E 06 82 93
+46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83
+F3 23 5A 42 4C 06 30 41 92 5C 08 52 45 41 44 5F
+53 57 58 00 1C D3 F2 40 51 00 19 20 B0 12 CC 5C
+38 20 B0 12 54 5D 6A 53 04 24 FB 23 D9 42 4C 06
+FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23
+F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41 4A 54
+09 57 52 49 54 45 5F 53 57 58 2C D3 F0 40 58 00
+49 C2 B0 12 CC 5C 15 20 3A 40 FE FF 29 43 B0 12
+58 5D D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02
+F8 23 39 40 03 00 B0 12 56 5D 7A C0 E1 00 6A 92
+D9 27 8C 10 1C 52 4C 06 D2 D3 23 02 0D 12 84 12
+34 43 14 40 0B 3C 20 53 44 20 45 72 72 6F 72 21
+22 5E 2F 83 8F 4E 00 00 B2 40 10 00 DC 1D 0E 4C
+84 12 00 45 36 41 B0 12 9C 41 0E 93 9C 24 E2 B2
+60 02 99 20 B2 40 81 A9 40 06 B2 40 30 00 46 06
+D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3 40 06 39 42
+B0 12 56 5D D2 C3 23 02 2C 42 B2 40 95 00 14 20
+B2 40 00 40 18 20 B0 12 50 5D 02 24 30 40 02 5E
+B0 12 54 5D 7A 93 FC 23 B2 40 87 AA 14 20 92 43
+16 20 B2 40 00 48 18 20 B0 12 50 5D 29 42 B0 12
+56 5D 92 43 14 20 82 43 16 20 78 43 3C 42 B2 40
+00 77 18 20 B0 12 50 5D B2 40 40 69 18 20 B0 12
+0E 5D 03 24 58 83 F3 23 D9 3F 0C 5C A2 43 16 20
+B2 40 00 50 18 20 B0 12 0E 5D D0 23 92 D3 40 06
+82 43 46 06 92 C3 40 06 09 43 B0 12 84 5D 38 40
+00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20 5A 48
+C2 01 92 43 02 20 7A 80 06 00 0F 24 7A 82 0D 24
+A2 43 02 20 6A 53 09 24 5A 53 07 24 6A 52 05 24
+3A 50 0B 20 0C 4A 30 40 08 5E 09 43 B0 12 84 5D
+D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20 1A 48
+16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20 09 5A
+82 49 0C 20 09 5A A2 93 02 20 04 24 82 49 0E 20
+39 50 20 00 19 82 12 20 19 82 12 20 82 49 10 20
+92 42 02 20 2C 20 30 41 B0 12 AA 40 39 40 E0 00
+29 83 89 43 38 20 FC 23 82 43 32 20 30 41 92 4B
+0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20 58 42
+22 20 92 93 02 20 08 24 59 42 24 20 89 10 0A 59
+88 10 08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20
+92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20
+14 24 92 42 22 20 D0 04 92 42 24 20 D2 04 92 42
+12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20
+92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00
+22 20 92 4B 10 00 24 20 B0 12 BC 5F 5A 4B 03 00
+82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90
+20 00 00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42
+32 20 82 43 1E 20 B2 90 00 02 20 20 AB 20 BB 80
+00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20
+03 00 14 28 CB 43 03 00 B0 12 8E 5F 1A 52 08 20
+09 43 B0 12 84 5D 8B 43 10 00 9B 48 00 1E 0E 00
+92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02
+20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20 82 2C
+BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12
+FC 5F 1A 42 1A 20 19 42 1C 20 6C 3E 3C 42 3B 40
+38 20 09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00
+04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50 1C 00
+3B 90 18 21 EF 23 0C 5C 30 41 0C 43 82 4B 32 20
+8B 49 00 00 09 93 0A 24 99 52 C4 1D 16 00 4A 93
+05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00
+CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00
+18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48
+14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00
+9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20
+6A 93 5C 27 C9 37 8B 43 16 00 7A 93 02 24 07 38
+95 3F B2 40 1C 21 CA 40 B2 40 56 43 7A 42 9B 42
+C0 1D 18 00 9B 82 C4 1D 18 00 9B 42 C2 1D 1A 00
+9B 52 C4 1D 1A 00 82 3F CB 43 02 00 2B 4B 82 4B
+32 20 0B 93 06 24 92 4B 16 00 1E 20 B0 12 7C 60
+22 C3 30 41 1B 42 32 20 0B 93 FB 27 EB 93 02 00
+04 20 B0 12 58 66 B0 12 20 66 CB 93 02 00 E4 37
+1E 4B 18 00 9F 4B 1A 00 00 00 31 50 06 00 3D 41
+B0 12 78 61 02 24 30 40 4A 43 B2 40 3C 1D CA 40
+B2 40 7C 42 7A 42 30 40 34 43 40 4E 85 52 45 41
+44 22 5A 43 19 3C B4 4C 86 57 52 49 54 45 22 00
+6A 43 12 3C A8 4D 84 44 45 4C 22 00 6A 42 0C 3C
+F8 4A 05 43 4C 4F 53 45 B0 12 94 61 30 4D 62 4C
+85 4C 4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A
+82 93 BE 1D 0B 24 0D 12 84 12 0A 40 0A 40 BA 47
+BA 47 44 45 0A 40 4C 62 BA 47 C0 44 0D 12 84 12
+0A 40 22 00 8C 45 0A 48 4A 62 3D 41 36 4F 0E 56
+82 4E 36 20 1C 43 92 42 2C 20 22 20 92 42 2E 20
+24 20 0E 96 8D 24 F6 90 3A 00 01 00 01 20 26 53
+F6 90 5C 00 00 00 08 20 16 53 92 42 02 20 22 20
+82 43 24 20 0E 96 70 24 82 46 34 20 B0 12 BC 5F
+35 40 20 00 A2 93 02 20 04 24 92 92 22 20 02 20
+02 24 15 42 12 20 B0 12 A2 60 2C 43 0A 43 08 4A
+58 0E 08 58 82 48 30 20 C8 93 00 1E 61 24 39 42
+F8 96 00 1E 04 20 18 53 19 83 FA 23 16 53 F6 90
+2E 00 FF FF 19 24 39 50 03 00 B0 12 1A 60 06 20
+F6 90 5C 00 FF FF 29 24 0E 96 27 28 16 42 34 20
+1A 53 3A 90 10 00 DB 23 92 53 1A 20 82 63 1C 20
+15 83 D1 23 2C 42 3C 3C F6 90 2E 00 FE FF EE 27
+B0 12 1A 60 EB 23 39 40 03 00 F8 96 00 1E 04 20
+18 53 19 83 FA 23 09 3C 0E 96 E0 2F F6 90 5C 00
+FF FF DC 23 B0 12 1A 60 D9 23 18 42 30 20 92 48
+1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00 0B 1E
+14 24 82 93 24 20 06 20 82 93 22 20 03 20 92 42
+02 20 22 20 0E 96 8E 2F 92 42 22 20 2C 20 92 42
+24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12 AC 60
+35 40 D4 40 36 40 E2 40 3A 4F 3E 4F 0A 93 04 24
+7A 93 3C 20 0C 93 01 20 30 4D 0D 12 84 12 34 43
+14 40 0B 3C 20 4F 70 65 6E 45 72 72 6F 72 3A 40
+20 5E 38 4C 05 5B 50 46 41 5D 2E 53 2E 4E 30 4D
+02 62 04 42 4F 4F 54 00 39 40 36 5E 2E 93 01 2C
+30 41 E2 B2 60 02 02 24 10 49 02 00 89 12 3F 40
+7E 1C 8F 43 00 00 82 43 BE 1D B2 40 00 1C 00 1C
+31 40 E0 1C 84 12 14 40 0F 4C 4F 41 44 22 20 42
+4F 4F 54 2E 34 54 48 22 3A 40 A2 48 1A 93 BB 20
+0C 93 C3 23 30 4D DC 61 04 52 45 41 44 00 2F 83
+8F 4E 00 00 1E 42 32 20 B0 12 2E 60 1E 82 32 20
+30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41
+82 9A 0A 20 A6 24 1A 52 08 20 09 43 B0 12 84 5D
+09 43 28 93 03 24 89 93 02 1E 03 20 89 93 00 1E
+07 24 09 58 39 90 00 02 F4 23 91 53 00 00 E7 3F
+0C 43 6A 41 B9 43 00 1E 28 93 0F 24 B9 40 FF 0F
+02 1E 09 11 8A 10 09 5A 5A 41 01 00 0A 11 09 10
+82 4A 28 20 82 49 26 20 07 3C 09 11 C2 49 26 20
+C2 4A 27 20 82 43 28 20 3A 41 82 4A 2A 20 30 41
+0A 12 1A 52 08 20 09 43 B0 12 CA 5D 3A 41 1A 52
+0C 20 09 43 B0 12 CA 5D F2 B0 40 00 A2 04 29 20
+F2 B0 10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42
+B4 04 F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52
+E4 04 D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04
+C0 04 B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04
+C0 04 B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52
+E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B
+5C 5D 7C 2E 29 92 06 38 39 80 03 00 B0 12 74 65
+39 40 03 00 7A 4B C8 4A 00 1E 82 9B 36 20 12 28
+0D 12 3D 40 0F 00 3C 40 24 65 7A 9C F3 27 1D 83
+FC 23 3D 41 6A 9C E6 27 3A 80 21 00 EB 3B 18 53
+19 83 E8 23 09 93 06 24 F8 40 20 00 00 1E 18 53
+19 83 FA 23 30 41 2A 93 DC 20 2C 93 0E 24 0C 93
+AB 24 0D 12 84 12 14 40 0C 3C 20 57 72 69 74 65
+45 72 72 6F 72 00 3A 40 20 5E B0 12 32 64 92 42
+26 20 22 20 92 42 28 20 24 20 B0 12 B0 64 B0 12
+A2 60 18 42 30 20 F8 40 20 00 0B 1E B0 12 C8 64
+88 43 0C 1E 88 4A 0E 1E 88 49 10 1E 88 49 12 1E
+98 42 24 20 14 1E 98 42 22 20 1A 1E 88 43 1C 1E
+88 43 1E 1E 1C 43 1B 42 34 20 82 9B 36 20 C9 27
+FB 90 2E 00 00 00 C5 27 39 40 0B 00 B0 12 44 65
+B0 12 62 66 2A 43 B0 12 AC 60 0C 93 BA 23 30 4D
+1A 4B 04 00 19 4B 06 00 B0 12 84 5D B0 12 C8 64
+18 4B 08 00 88 49 12 1E 88 4A 16 1E 88 49 18 1E
+98 4B 12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00
+19 4B 06 00 30 40 CA 5D 9B 52 1E 20 12 00 8B 63
+14 00 1A 42 1A 20 19 42 1C 20 30 40 CA 5D B2 40
+00 02 1E 20 1B 42 32 20 B0 12 58 66 82 43 1E 20
+DB 53 03 00 DB 92 12 20 03 00 25 20 CB 43 03 00
+B0 12 8E 5F 08 12 0A 12 B0 12 32 64 2A 91 08 24
+B0 12 B0 64 2A 41 1A 52 08 20 09 43 B0 12 84 5D
+3A 41 38 41 98 42 26 20 00 1E 92 93 02 20 03 24
+98 42 28 20 02 1E B0 12 B0 64 9B 42 26 20 0E 00
+9B 42 28 20 10 00 30 40 FC 5F E8 61 05 57 52 49
+54 45 B0 12 6E 66 30 4D 58 4B 13 00 59 4B 14 00
+89 10 09 58 58 4B 15 00 5B 42 12 20 0A 43 3C 42
+08 11 09 10 4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83
+FD 37 1B 42 32 20 19 5B 0A 00 18 6B 0C 00 8B 49
+0E 00 8B 48 10 00 CB 4A 03 00 1A 4B 12 00 BB C0
+FF 01 12 00 3A F0 FF 01 82 4A 1E 20 B0 12 9E 60
+30 4D 0C 93 3B 20 38 90 E0 01 03 2C C8 93 20 1E
+02 24 7C 40 E5 00 C8 4C 00 1E B0 12 62 66 B0 12
+9A 5F 82 4A 2A 20 0B 4A 1A 52 08 20 09 43 B0 12
+84 5D 1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24
+19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F
+02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12
+9A 5F 0B 9A E6 27 0A 12 0A 4B B0 12 B0 64 3A 41
+DA 3F 0A 4B B0 12 B0 64 B0 12 94 61 30 4D FC 44
+08 54 45 52 4D 32 53 44 22 00 0D 12 84 12 FC 61
+0A 40 02 00 28 40 0A 48 4C 62 DC 67 3D 41 0A 43
+B0 12 DA 41 92 B3 DC 05 FD 27 59 42 CC 05 C2 49
+CE 05 69 92 0D 24 CA 49 00 1E 1A 53 3A 90 FF 01
+F1 2B 03 24 B0 12 6E 66 EA 3F B0 12 C8 41 EA 3F
+B0 12 C8 41 82 4A 1E 20 B0 12 94 61 30 4D
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -654,5 +654,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
-96 42 02 42 02 42 02 42 02 42 02 42 02 42 B0 4E
+96 42 02 42 02 42 02 42 02 42 02 42 02 42 A8 4E
 q
index 86b93a4..070a11c 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF 3C 68 56 4D 33 01
-10 00 81 87 B4 41 68 5F B6 41 26 5E 82 42 3C 68
-56 4D 70 42 F0 43 FE 42 DA 42 3C 1D BE 44 D4 40
-E2 40 EE 40 20 00 0A 00 86 48 A0 48 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF 04 68 3E 4D 34 01
+10 00 C1 87 B6 41 50 5F B8 41 0E 5E 84 42 04 68
+3E 4D 72 42 E0 43 00 43 DC 42 3C 1D AE 44 D4 40
+E2 40 EE 40 20 00 0A 00 00 00 00 00 00 00 00 00
 @4000
-B0 12 B6 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,82 +17,81 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
-8F 4E 00 00 3E 41 30 4D B0 12 68 5F 39 40 22 18
-B2 49 6E 42 B2 49 EE 43 B2 49 FC 42 B2 49 D8 42
+8F 4E 00 00 3E 41 30 4D B0 12 50 5F 39 40 22 18
+B2 49 70 42 B2 49 DE 43 B2 49 FE 42 B2 49 DA 42
 B2 49 CA 40 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 5E 4E 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 41 B0 12 F8 40
-0A 40 DE 1D 3E 44 16 43 4A 43 34 40 86 48 14 40
-05 1B 5B 37 6D 40 BA 43 0A 40 02 18 3E 44 34 45
-06 44 34 40 7C 41 14 40 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 BA 43 FE 44 BA 43 14 40
-04 1B 5B 30 6D 00 BA 43 86 48 2E 93 13 28 B2 D0
-C0 07 C0 06 18 42 02 18 08 11 38 D0 00 04 82 48
-D4 06 F2 D0 03 00 6A 02 92 C3 C0 06 A2 D2 EA 06
-92 C3 30 01 30 41 48 43 A2 B3 EC 06 FD 27 C2 48
-CE 06 A2 B2 EC 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 41 F2 B0 40 00 40 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC 41 04 57 41 52 4D 00 B0 12
-26 5E 78 40 03 00 B0 12 B8 41 84 12 14 40 07 0D
-0A 1B 5B 37 6D 40 BA 43 0A 40 02 18 3E 44 34 45
-0A 40 23 00 FA 42 34 45 14 40 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 BA 43 0A 40 40 FF 28 40 32 44 FE 44
-14 40 0A 62 79 74 65 73 20 66 72 65 65 00 3A 40
-7C 41 00 00 06 41 43 43 45 50 54 00 30 40 70 42
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 EC 06 B2 B0 10 00 C0 06 B8 22
-3A 17 92 B3 EC 06 FD 27 58 42 CC 06 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 41 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA 42 2F 83 8F 4E 00 00
-58 43 B0 12 B8 41 92 B3 EC 06 FD 27 1E 42 CC 06
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE 42 08 4E
-3E 4F A2 B3 EC 06 FD 27 C2 48 CE 06 30 4D F4 42
-04 45 43 48 4F 00 B2 40 C2 48 08 43 82 43 DE 1D
-38 40 05 00 B0 12 B8 41 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 43 92 43 DE 1D 28 42
-F1 3F 2C 43 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 0D 12 3D 40 74 43 1B 42 32 20 9B 42
+B2 49 DC 1D 3D 41 30 40 46 4E 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 41 0E 12 B0 12
+F8 40 0A 40 DE 1D 2E 44 18 43 EE 40 34 40 8A 41
+14 40 05 1B 5B 37 6D 40 AA 43 0A 40 02 18 2E 44
+24 45 F6 43 34 40 7E 41 14 40 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 AA 43 EE 44 AA 43
+14 40 04 1B 5B 30 6D 00 AA 43 76 48 2E 93 13 28
+B2 D0 C0 07 C0 06 18 42 02 18 08 11 38 D0 00 04
+82 48 D4 06 F2 D0 03 00 6A 02 92 C3 C0 06 A2 D2
+EA 06 92 C3 30 01 30 41 48 43 A2 B3 EC 06 FD 27
+C2 48 CE 06 A2 B2 EC 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 41 F2 B0 40 00 40 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE 41 04 57 41 52 4D 00
+B0 12 0E 5E 78 40 03 00 B0 12 BA 41 84 12 14 40
+07 0D 0A 1B 5B 37 6D 40 AA 43 0A 40 02 18 2E 44
+24 45 0A 40 23 00 FC 42 24 45 14 40 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 AA 43 0A 40 40 FF 28 40 22 44
+EE 44 14 40 0A 62 79 74 65 73 20 66 72 65 65 00
+3A 40 7E 41 00 00 06 41 43 43 45 50 54 00 30 40
+72 42 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 EC 06 B2 B0 10 00 C0 06
+B7 22 3A 17 92 B3 EC 06 FD 27 58 42 CC 06 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 41 82 93 DE 1D 02 24 92 53 DE 1D 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC 42 2F 83 8F 4E
+00 00 58 43 B0 12 BA 41 92 B3 EC 06 FD 27 1E 42
+CC 06 30 4D 00 00 04 45 4D 49 54 00 30 40 00 43
+08 4E 3E 4F A2 B3 EC 06 FD 27 C2 48 CE 06 30 4D
+F6 42 04 45 43 48 4F 00 B2 40 C2 48 0A 43 82 43
+DE 1D 38 40 05 00 B0 12 BA 41 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A 43 92 43 DE 1D
+28 42 F1 3F 0D 12 3D 40 64 43 1B 42 32 20 9B 42
 1E 20 16 00 3A 4F 09 4E 0E 43 1C 42 1E 20 1B 42
-20 20 02 3C 76 43 2D 83 0C 9B 16 2C 58 4C 00 1E
+20 20 02 3C 66 43 2D 83 0C 9B 16 2C 58 4C 00 1E
 1C 53 78 90 20 00 09 2C 78 90 0A 00 F5 23 3D 41
-82 4C 1E 20 3C 40 20 00 94 3F 0E 99 B2 27 CA 48
-00 00 1A 53 1E 53 AD 3F 1A 15 B0 12 1E 60 19 17
+82 4C 1E 20 3C 40 20 00 9D 3F 0E 99 BB 27 CA 48
+00 00 1A 53 1E 53 B6 3F 1A 15 B0 12 06 60 19 17
 DC 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 D6 43 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FC 42 D8 43 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 41 02 43 52 00 30 40 F0 43
-0D 12 84 12 14 40 02 0D 0A 00 BA 43 BE 44 2F 83
+3D 40 C6 43 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FE 42 C8 43 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 41 02 43 52 00 30 40 E0 43
+0D 12 84 12 14 40 02 0D 0A 00 AA 43 AE 44 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 64 42 01 40 2E 4E
-30 4D 3C 44 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 66 42 01 40 2E 4E
+30 4D 2C 44 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D E8 43 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D D8 43 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E 40 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 78 44 02 23 53 00 0D 12 84 12
-7A 44 B4 44 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D A8 44 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 68 44 02 23 53 00 0D 12 84 12
+6A 44 A4 44 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 98 44 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D B4 43 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D A4 43 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 6E 44
-AC 44 EE 40 EC 44 C8 44 BA 43 82 48 FA 42 BE 44
-44 43 01 2E 0E 93 E3 37 38 43 E2 3F E6 44 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40 B8 47
-0A 40 22 00 8A 45 58 45 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D 32 45
-82 2E 22 00 0D 12 84 12 42 45 0A 40 BA 43 B8 47
-BE 44 F8 41 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 5E 44
+9C 44 EE 40 DC 44 B8 44 AA 43 62 48 FC 42 AE 44
+2E 43 01 2E 0E 93 E3 37 38 43 E2 3F D6 44 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40 A8 47
+0A 40 22 00 7A 45 48 45 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D 22 45
+82 2E 22 00 0D 12 84 12 32 45 0A 40 AA 43 A8 47
+AE 44 FA 41 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -111,12 +110,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 0C 47 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 FC 46 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F 0E 47 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F FE 46 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -128,533 +127,531 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D 44 44 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D B6 47 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D A6 47 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A 40 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-C4 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D D8 44 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 40 82 48 8A 45 3E 48 94 26
-3D 40 46 48 D6 3E 48 48 0A 4E 3E 4F 3D 40 62 48
-2E 27 3D 40 38 48 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 64 48 3E 4F 3D 40 38 48 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 F4 49 CC 3F
-6C 48 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 EC 43 BC 40
-32 48 22 44 54 44 14 40 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40 5C 44
+B4 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D C8 44 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 40 62 48 7A 45 1E 48 9C 26
+3D 40 26 48 DE 3E 28 48 0A 4E 3E 4F 3D 40 42 48
+36 27 3D 40 18 48 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 44 48 3E 4F 3D 40 18 48 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 E4 49 CC 3F
+4C 48 86 12 20 00 34 44 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 DC 43 BC 40
+12 48 12 44 44 44 14 40 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40 4C 44
 14 40 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 41
-3A 40 9C 48 CA 47 86 41 42 4F 52 54 22 00 0D 12
-84 12 42 45 0A 40 2A 41 B8 47 BE 44 EC 45 01 27
-0D 12 84 12 82 48 8A 45 F2 45 34 40 80 48 BE 44
-00 00 83 5B 27 5D 0D 12 84 12 F0 48 0A 40 0A 40
-B8 47 B8 47 BE 44 02 49 81 5B 82 43 BE 1D 30 4D
-6A 44 01 5D B2 43 BE 1D 30 4D 22 49 81 5C 92 42
+3A 40 8C 48 68 48 86 41 42 4F 52 54 22 00 0D 12
+84 12 32 45 0A 40 2A 41 A8 47 AE 44 DC 45 01 27
+0D 12 84 12 62 48 7A 45 E2 45 34 40 60 48 AE 44
+00 00 83 5B 27 5D 0D 12 84 12 E0 48 0A 40 0A 40
+A8 47 A8 47 AE 44 F2 48 81 5B 82 43 BE 1D 30 4D
+5A 44 01 5D B2 43 BE 1D 30 4D 12 49 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 82 48 8A 45 F2 45 06 44 34 40
-80 48 54 44 34 40 64 49 0A 40 0A 40 B8 47 B8 47
-0A 40 B8 47 B8 47 BE 44 18 49 01 3A 30 12 B4 49
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 82 48 8A 45
-82 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 62 48 7A 45 E2 45 F6 43 34 40
+60 48 44 44 34 40 54 49 0A 40 0A 40 A8 47 A8 47
+0A 40 A8 47 A8 47 AE 44 08 49 01 3A 30 12 A4 49
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 62 48 7A 45
+72 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 40 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 41 6A 49 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A 40 BE 44 B8 47 C6 49 1A 49 BE 44
-28 48 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D 12 48 06 43 52 45 41 54
-45 00 B0 12 70 49 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F F8 49 07 3A 4E 4F 4E 41 4D 45 30 12 B4 49
+74 63 68 21 36 41 5A 49 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A 40 AE 44 A8 47 B6 49 0A 49 AE 44
+08 48 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D F2 47 06 43 52 45 41 54
+45 00 B0 12 60 49 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F E8 49 07 3A 4E 4F 4E 41 4D 45 30 12 A4 49
 2F 83 8F 4E 00 00 1A 42 C6 1D 1A B3 0A 63 0E 4A
-39 40 10 02 08 49 28 53 99 3F 10 43 05 44 45 46
-45 52 B0 12 70 49 BA 40 30 40 FC FF BA 40 FA 4D
-FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 12 4A 82 49
-53 00 0D 12 82 93 BE 1D 06 24 84 12 06 49 0A 40
-84 4A B8 47 BE 44 84 12 F0 48 84 4A BE 44 2A 4A
-04 43 4F 44 45 00 B0 12 70 49 A2 82 C6 1D 82 43
-7E 5C 0D 12 84 12 60 4D 32 4D BE 44 B0 4A 07 43
-4F 44 45 4E 4E 4D 30 12 BA 4A BA 3F 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 C6 49 86 4D BE 44
-D6 48 03 41 53 4D B2 40 36 4D DA 1D DC 3F DE 4A
-06 45 4E 44 41 53 4D 00 0D 12 84 12 E6 4A BA 4D
-BE 44 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 86 4D 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F 8E 4A 85 48 49 32 4C 4F
-0D 12 84 12 28 40 9A 4C B8 47 1A 49 BE 4A BE 44
-44 4A 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 62 4B
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 40 00 00
-36 44 82 48 8A 45 18 48 FE 43 34 40 FA 4B 0C 44
-14 40 06 5B 54 48 45 4E 5D 00 6C 4B D4 4B 90 4B
-B2 4B BE 44 0C 44 14 40 06 5B 45 4C 53 45 5D 00
-6C 4B EA 4B 90 4B B0 4B BE 44 14 40 04 5B 49 46
-5D 00 6C 4B B2 4B 3A 40 B0 4B E0 43 14 40 05 0D
-0A 6B 6F 20 BA 43 BC 40 AC 40 3A 40 B2 4B A0 4B
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 10 4C 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 82 48 8A 45 F2 45 1E 4C BE 44 24 4C 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 2E 4C
-4E 44 BE 44 56 4C B2 4E 0A 18 B2 4E 0C 18 BE 12
-3E 4F 3D 41 DB 3C DA 47 06 4D 41 52 4B 45 52 00
-B0 12 70 49 BA 40 85 12 FC FF BA 40 54 4C FE FF
-28 83 8A 48 00 00 9A 42 C8 1D 02 00 BA 40 AA 40
-04 00 B2 50 06 00 C6 1D 99 3E 2E 53 30 4D 6C 4A
-05 44 4F 45 53 3E 1A 42 BA 1D BA 40 85 12 00 00
-8A 4D 02 00 3D 41 30 4D 84 45 0A 56 4F 43 41 42
-55 4C 41 52 59 00 0D 12 84 12 32 4A 0A 40 10 00
-0A 40 00 00 3E 40 0A 40 00 00 B8 47 60 40 D6 4C
-28 40 0A 40 C8 1D FE 43 3E 44 B8 47 46 44 A6 4C
-0A 40 CA 1D 46 44 BE 44 EE 48 05 46 4F 52 54 48
-85 12 F0 4C 5A 4D AC 63 E6 61 FA 4C 4A 4B A4 63
-00 62 A0 4D 2C 4E 02 64 AA 67 C6 66 00 00 3E 4C
-2C 49 52 46 00 00 F2 4A 09 41 53 53 45 4D 42 4C
-45 52 85 12 F0 4C 4A 5B E2 5A 46 5A 06 55 98 53
-00 00 0E 59 00 00 6E 5C 6A 5D FC 53 B0 5D 16 5B
-00 00 00 00 E0 54 24 4D 28 4D 04 41 4C 53 4F 00
-3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 38 49 08 50 52 45
-56 49 4F 55 53 00 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 70 45
-04 4F 4E 4C 59 00 82 43 CC 1D 30 4D A0 4C 0B 44
-45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 1D DA 1D
-30 4D 00 4D A6 4D BA 4D CA 4D 3A 4E 82 4A C8 1D
-2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49 29 83
-18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23
-2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 7C 4D 09 50
-57 52 5F 53 54 41 54 45 85 12 C2 4D 56 4D 3C 68
-3E 45 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
-0E 4E 92 42 0C 18 0C 4E EF 3F FE 4D 08 50 57 52
-5F 48 45 52 45 00 92 42 C6 1D 0E 4E 92 42 C8 1D
-0C 4E 30 4D 12 4E 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0A 18 92 42 C8 1D 0C 18 EC 3F 3E 90
-0E 00 D2 27 2E 92 DA 37 0E 93 CE 37 39 40 10 00
-29 83 B9 43 80 FF FC 23 B9 40 AE 4E FE FF 29 83
-B9 40 E0 41 FE FF 39 90 AE FF F9 23 39 40 14 18
-B2 49 E2 41 B2 49 FA 40 B2 49 02 40 B2 49 00 42
-B2 49 BC FF B2 49 0A 18 B2 49 0C 18 B7 3F B2 D0
-03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A 5C 01
-31 40 E0 1C 3F 40 80 1C 39 40 00 10 29 83 89 43
-00 1C FC 23 B2 D3 06 02 B2 40 FC FF 02 02 B2 43
-26 02 B2 D3 22 02 B2 43 42 02 B2 D3 46 02 B2 43
-62 02 B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40
-A5 00 61 01 82 43 62 01 82 43 66 01 B2 40 33 00
-64 01 D2 43 61 01 39 40 40 00 18 42 00 18 18 83
-FE 23 19 83 FA 23 F2 D0 10 00 2A 03 F2 40 A5 00
-A1 04 F2 C0 40 00 A2 04 B2 42 B0 01 1E 42 08 18
-82 43 08 18 1E D2 9E 01 B0 12 F8 40 FE 41 38 40
-C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
-03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
-30 4D 1C 15 0E 12 12 12 C4 1D 84 12 8A 45 F2 45
-4E 44 34 40 8E 4F AE 46 34 40 A8 4F A2 4F 90 4F
-3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
-2E 83 21 52 1B 17 30 41 AA 4F B2 41 C4 1D 3E 41
-84 12 0A 40 2B 00 8A 45 F2 45 4E 44 34 40 C6 4F
-AE 46 34 40 80 48 18 44 8A 45 AE 46 34 40 80 48
-D2 4F 3E 5F E7 3F 32 B0 00 02 01 24 3E 4F 30 41
-3E 40 28 00 B0 12 72 4F B0 12 D6 4F 19 42 C6 1D
-A2 53 C6 1D 89 4E 00 00 3E 40 29 00 1C 15 92 92
-C0 1D C4 1D 02 20 30 40 DE 49 12 12 C4 1D 92 53
-C4 1D 84 12 8A 45 AE 46 34 40 28 50 1E 50 21 53
-3E 90 10 00 84 2D BE 2B 2A 50 B2 41 C4 1D BA 3F
-0D 12 84 12 82 48 4E 4F 3A 50 0C 43 1B 42 C6 1D
-A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 29 20 92 53
-C4 1D B0 12 72 4F B0 12 D6 4F 3C 40 00 03 0E 93
-1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
-14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
-0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-7A 90 26 00 09 20 3C 40 10 02 92 53 C4 1D B0 12
-72 4F B0 12 D6 4F EB 3F 7A 90 40 00 16 20 3C 40
-20 00 92 53 C4 1D B0 12 FC 4F 0C 20 3C 50 10 00
-3E 40 2B 00 B0 12 FC 4F 92 92 C0 1D C4 1D 02 24
-92 53 C4 1D 8E 10 0C 5E D8 3F B0 12 FC 4F FA 23
-3C 50 10 00 B0 12 E0 4F EF 3F 0C 43 1B 42 C6 1D
-A2 53 C6 1D 0D 12 84 12 82 48 4E 4F 0E 51 FE 90
-26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C5 3F
-B0 12 FC 4F E0 23 3C 50 80 00 B0 12 E0 4F DB 3F
-00 00 04 52 45 54 49 00 0D 12 84 12 0A 40 00 13
-B8 47 BE 44 0A 40 2C 00 30 50 04 51 4E 51 09 4B
-2E 4E 0E DC A0 3F 38 4B 03 4D 4F 56 85 12 44 51
-00 40 58 51 05 4D 4F 56 2E 42 85 12 44 51 40 40
-00 00 03 41 44 44 85 12 44 51 00 50 72 51 05 41
-44 44 2E 42 85 12 44 51 40 50 7E 51 04 41 44 44
-43 00 85 12 44 51 00 60 8C 51 06 41 44 44 43 2E
-42 00 85 12 44 51 40 60 32 51 04 53 55 42 43 00
-85 12 44 51 00 70 AA 51 06 53 55 42 43 2E 42 00
-85 12 44 51 40 70 B8 51 03 53 55 42 85 12 44 51
-00 80 C8 51 05 53 55 42 2E 42 85 12 44 51 40 80
-14 4B 03 43 4D 50 85 12 44 51 00 90 E2 51 05 43
-4D 50 2E 42 85 12 44 51 40 90 00 4B 04 44 41 44
-44 00 85 12 44 51 00 A0 FC 51 06 44 41 44 44 2E
-42 00 85 12 44 51 40 A0 EE 51 03 42 49 54 85 12
-44 51 00 B0 1A 52 05 42 49 54 2E 42 85 12 44 51
-40 B0 26 52 03 42 49 43 85 12 44 51 00 C0 34 52
-05 42 49 43 2E 42 85 12 44 51 40 C0 40 52 03 42
-49 53 85 12 44 51 00 D0 4E 52 05 42 49 53 2E 42
-85 12 44 51 40 D0 00 00 03 58 4F 52 85 12 44 51
-00 E0 68 52 05 58 4F 52 2E 42 85 12 44 51 40 E0
-9A 51 03 41 4E 44 85 12 44 51 00 F0 82 52 05 41
-4E 44 2E 42 85 12 44 51 40 F0 82 48 30 50 A0 52
-0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
-D4 51 03 52 52 43 85 12 9A 52 00 10 B2 52 05 52
-52 43 2E 42 85 12 9A 52 40 10 BE 52 04 53 57 50
-42 00 85 12 9A 52 80 10 CC 52 03 52 52 41 85 12
-9A 52 00 11 DA 52 05 52 52 41 2E 42 85 12 9A 52
-40 11 E6 52 03 53 58 54 85 12 9A 52 80 11 00 00
-04 50 55 53 48 00 85 12 9A 52 00 12 00 53 06 50
-55 53 48 2E 42 00 85 12 9A 52 40 12 5A 52 04 43
-41 4C 4C 00 85 12 9A 52 80 12 1A 53 0E 4A 0D 12
-84 12 34 45 14 40 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 36 41 F4 52 03 53 3E 3D 86 12 00 38
-48 53 02 53 3C 00 86 12 00 34 0E 53 03 30 3E 3D
-86 12 00 30 5C 53 02 30 3C 00 86 12 00 30 00 00
-02 55 3C 00 86 12 00 2C 70 53 03 55 3E 3D 86 12
-00 28 66 53 03 30 3C 3E 86 12 00 24 84 53 02 30
-3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D
-8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D 7A 53 04 54
-48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
-0A 52 04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C
-00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 1E 53
-05 42 45 47 49 4E 30 40 28 40 AE 53 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 C6 1D 30 4D 8E 52 05 41 47 41 49 4E
-0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
-0D 12 84 12 9C 53 18 44 BE 44 52 53 06 52 45 50
-45 41 54 00 0D 12 84 12 30 54 B4 53 BE 44 60 54
-3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42
-C6 1D 00 00 30 4D F0 53 03 42 57 31 85 12 5E 54
-00 00 78 54 03 42 57 32 85 12 5E 54 00 00 84 54
-03 42 57 33 85 12 5E 54 00 00 9C 54 3D 41 1A 42
-C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53
-C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
-85 12 9A 54 00 00 BC 54 03 46 57 32 85 12 9A 54
-00 00 C8 54 03 46 57 33 85 12 9A 54 00 00 00 00
-05 3F 47 4F 54 4F 3E 90 00 30 07 24 3E E0 00 04
-3E B0 00 10 02 24 3E E0 00 08 0D 12 84 12 F0 48
-5C 48 BE 44 D4 54 04 47 4F 54 4F 00 2F 83 8F 4E
-00 00 3E 40 00 3C F1 3F 82 48 4E 4F 1E 55 92 53
-C4 1D 3E 40 2C 00 84 12 8A 45 AE 46 34 40 80 48
-FA 50 34 55 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
-0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
-EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
-4C 54 04 52 52 43 4D 00 85 12 18 55 50 00 62 55
-04 52 52 41 4D 00 85 12 18 55 50 01 70 55 04 52
-4C 41 4D 00 85 12 18 55 50 02 7E 55 04 52 52 55
-4D 00 85 12 18 55 50 03 8E 53 05 50 55 53 48 4D
-85 12 18 55 00 15 9A 55 04 50 4F 50 4D 00 85 12
-18 55 00 17 8C 55 06 52 52 43 4D 2E 41 00 85 12
-18 55 40 00 B6 55 06 52 52 41 4D 2E 41 00 85 12
-18 55 40 01 C6 55 06 52 4C 41 4D 2E 41 00 85 12
-18 55 40 02 D6 55 06 52 52 55 4D 2E 41 00 85 12
-18 55 40 03 A8 55 07 50 55 53 48 4D 2E 41 85 12
-18 55 00 14 F6 55 06 50 4F 50 4D 2E 41 00 85 12
-18 55 00 16 90 54 05 43 41 4C 4C 41 0D 12 84 12
-82 48 4E 4F 26 56 1B 42 C6 1D A2 53 C6 1D 6E 4E
-3C 40 34 01 7E 90 52 00 0B 20 7E 40 20 00 B0 12
-FC 4F 5C 0E 0C DE 8B 4C 00 00 3E 4F 3D 41 30 4D
-2C 53 7E 90 40 00 0B 20 92 53 C4 1D 7E 40 20 00
-B0 12 FC 4F EE 23 1C 53 3E 40 2B 00 E8 3F A2 53
-C6 1D 7E 90 23 00 09 20 3C 40 3B 01 92 53 C4 1D
-B0 12 72 4F BB 4F 02 00 DC 3F 7E 90 26 00 02 20
-2C 53 F4 3F 7E 40 28 00 1C 83 B0 12 72 4F BB 4F
-02 00 3E 40 29 00 CB 3F 0D 12 84 12 82 48 4E 4F
-B2 56 69 4E 3E 4F 3C 4F 2C 4C 1B 42 C6 1D A2 53
-C6 1D 79 90 52 00 0A 20 B0 12 FC 4F 5E 0E 5E 0E
-0E DC 8B 4E 00 00 0E 4B 3D 41 30 4D 79 90 23 00
-0D 20 3C C0 40 00 92 53 C4 1D A2 53 C6 1D B0 12
-72 4F BB 4F 02 00 3E F0 0F 00 E8 3F 79 90 26 00
-03 20 3C E0 E0 00 EF 3F 3C C0 F0 00 79 90 40 00
-12 20 92 53 C4 1D B0 12 FC 4F D8 23 3C D0 10 00
-3E 40 2B 00 B0 12 FC 4F 92 92 C0 1D C4 1D CE 27
-92 53 C4 1D CB 3F 3C D0 30 00 A2 53 C6 1D 3E 40
-28 00 B0 12 72 4F BB 4F 02 00 3E 40 29 00 EA 3F
-0D 12 84 12 82 48 4E 4F 5A 57 3B 4F 2C 4B 69 4E
-7E 40 20 00 79 90 52 00 03 20 B0 12 FC 4F B0 3F
-3C C0 F0 00 A2 53 C6 1D 79 90 26 00 09 20 3C D0
-60 00 92 53 C4 1D B0 12 72 4F BB 4F 02 00 A0 3F
-3C D0 70 00 3E 40 28 00 B0 12 72 4F BB 4F 02 00
-3E 40 29 00 E2 3F 0A 40 2C 00 A8 56 50 57 E2 43
-BE 44 64 51 04 4D 4F 56 41 00 85 12 A6 57 C0 00
-16 56 04 43 4D 50 41 00 85 12 A6 57 D0 00 2A 54
-04 41 44 44 41 00 85 12 A6 57 E0 00 E6 55 04 53
-55 42 41 00 85 12 A6 57 F0 00 0D 12 84 12 82 48
-4E 4F F4 57 69 4E 3E 4F 3C 40 00 18 79 90 52 00
-05 20 B0 12 FC 4F 0E 4C 3D 41 30 4D 82 43 7E 5C
-79 90 23 00 0B 20 92 53 C4 1D B0 12 72 4F 2F 53
-3E F0 0F 00 5E 0A 5E 0E 0C DE ED 3F 79 90 26 00
-F2 27 79 90 40 00 12 20 92 53 C4 1D B0 12 FC 4F
-E2 23 3E 40 2B 00 92 53 C4 1D B0 12 FC 4F 92 92
-C0 1D C4 1D D8 27 92 53 C4 1D D5 3F 3E 40 28 00
-B0 12 72 4F 8F 4E 00 00 3E 40 29 00 B0 12 FC 4F
-3E 4F 3E F0 0F 00 0C DE EA 3F 0D 12 84 12 82 48
-4E 4F 84 58 3C 4F 69 4E 3E 40 20 00 79 90 52 00
-BA 27 82 43 7E 5C 79 90 26 00 08 20 92 53 C4 1D
-B0 12 72 4F 2F 53 3E F0 0F 00 BE 3F 3E 40 28 00
-B0 12 72 4F F7 3F B2 4F C4 1D 1B 42 C6 1D A2 53
-C6 1D 0C 4E 3E 4F 1C D2 7E 5C 82 43 7E 5C 3C DE
-8B 4C 00 00 30 4D 0A 40 C4 1D 3E 44 0A 40 2C 00
-EA 57 7A 58 B6 58 3A 40 44 51 B4 57 04 4D 4F 56
-58 00 85 12 D6 58 40 00 00 40 EC 58 06 4D 4F 56
-58 2E 41 00 85 12 D6 58 00 00 40 40 FC 58 06 4D
-4F 56 58 2E 42 00 85 12 D6 58 40 00 40 40 D0 57
-04 41 44 44 58 00 85 12 D6 58 40 00 00 50 20 59
-06 41 44 44 58 2E 41 00 85 12 D6 58 00 00 40 50
-30 59 06 41 44 44 58 2E 42 00 85 12 D6 58 40 00
-40 50 42 59 05 41 44 44 43 58 85 12 D6 58 40 00
-00 60 54 59 07 41 44 44 43 58 2E 41 85 12 D6 58
-00 00 40 60 64 59 07 41 44 44 43 58 2E 42 85 12
-D6 58 40 00 40 60 DE 57 05 53 55 42 43 58 85 12
-D6 58 40 00 00 70 88 59 07 53 55 42 43 58 2E 41
-85 12 D6 58 00 00 40 70 98 59 07 53 55 42 43 58
-2E 42 85 12 D6 58 40 00 40 70 AA 59 04 53 55 42
-58 00 85 12 D6 58 40 00 00 80 BC 59 06 53 55 42
-58 2E 41 00 85 12 D6 58 00 00 40 80 CC 59 06 53
-55 42 58 2E 42 00 85 12 D6 58 40 00 40 80 C2 57
-04 43 4D 50 58 00 85 12 D6 58 40 00 00 90 F0 59
-06 43 4D 50 58 2E 41 00 85 12 D6 58 00 00 40 90
-00 5A 06 43 4D 50 58 2E 42 00 85 12 D6 58 40 00
-40 90 D2 53 05 44 41 44 44 58 85 12 D6 58 40 00
-00 A0 24 5A 07 44 41 44 44 58 2E 41 85 12 D6 58
-00 00 40 A0 34 5A 07 44 41 44 44 58 2E 42 85 12
-D6 58 40 00 40 A0 12 5A 04 42 49 54 58 00 85 12
-D6 58 40 00 00 B0 58 5A 06 42 49 54 58 2E 41 00
-85 12 D6 58 00 00 40 B0 68 5A 06 42 49 54 58 2E
-42 00 85 12 D6 58 40 00 40 B0 7A 5A 04 42 49 43
-58 00 85 12 D6 58 40 00 00 C0 8C 5A 06 42 49 43
-58 2E 41 00 85 12 D6 58 00 00 40 C0 9C 5A 06 42
-49 43 58 2E 42 00 85 12 D6 58 40 00 40 C0 AE 5A
-04 42 49 53 58 00 85 12 D6 58 40 00 00 D0 C0 5A
-06 42 49 53 58 2E 41 00 85 12 D6 58 00 00 40 D0
-D0 5A 06 42 49 53 58 2E 42 00 85 12 D6 58 40 00
-40 D0 74 52 04 58 4F 52 58 00 85 12 D6 58 40 00
-00 E0 F4 5A 06 58 4F 52 58 2E 41 00 85 12 D6 58
-00 00 40 E0 04 5B 06 58 4F 52 58 2E 42 00 85 12
-D6 58 40 00 40 E0 76 59 04 41 4E 44 58 00 85 12
-D6 58 40 00 00 F0 28 5B 06 41 4E 44 58 2E 41 00
-85 12 D6 58 00 00 40 F0 38 5B 06 41 4E 44 58 2E
-42 00 85 12 D6 58 40 00 40 F0 0A 40 C4 1D 3E 44
-82 48 EA 57 B6 58 3A 40 9A 52 DE 59 04 52 52 43
-58 00 85 12 5A 5B 40 00 00 10 6C 5B 06 52 52 43
-58 2E 41 00 85 12 5A 5B 00 00 40 10 7C 5B 06 52
-52 43 58 2E 42 00 85 12 5A 5B 40 00 40 10 8E 5B
-04 52 52 55 58 00 85 12 5A 5B 40 01 00 10 A0 5B
-06 52 52 55 58 2E 41 00 85 12 5A 5B 00 01 40 10
-B0 5B 06 52 52 55 58 2E 42 00 85 12 5A 5B 40 01
-40 10 C2 5B 05 53 57 50 42 58 85 12 5A 5B 40 00
-80 10 D4 5B 07 53 57 50 42 58 2E 41 85 12 5A 5B
-00 00 80 10 E4 5B 04 52 52 41 58 00 85 12 5A 5B
-40 00 00 11 F6 5B 06 52 52 41 58 2E 41 00 85 12
-5A 5B 00 00 40 11 06 5C 06 52 52 41 58 2E 42 00
-85 12 5A 5B 40 00 40 11 18 5C 04 53 58 54 58 00
-85 12 5A 5B 40 00 80 11 2A 5C 06 53 58 54 58 2E
-41 00 85 12 5A 5B 00 00 80 11 06 56 05 50 55 53
-48 58 85 12 5A 5B 40 00 00 12 4C 5C 07 50 55 53
-48 58 2E 41 85 12 5A 5B 00 00 40 12 5C 5C 07 50
-55 53 48 58 2E 42 85 12 5A 5B 40 00 40 12 00 00
-3A 5C 03 52 50 54 0D 12 84 12 82 48 4E 4F 90 5C
-29 4E 7E 40 20 00 79 90 52 00 06 20 B0 12 FC 4F
-03 24 3E D0 80 00 05 3C B0 12 72 4F 1E 83 3E F0
-0F 00 82 4E 7E 5C 3E 4F 3D 41 30 4D D2 C3 23 02
-E2 B2 60 02 02 24 30 40 E0 41 1A 52 04 20 19 62
-06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69
-82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10
-C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12
-44 5D 5A 53 FC 23 39 40 05 00 D2 49 14 20 4E 06
-82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
-59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93 46 06
-03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23 30 41
-1A 43 E1 3F 19 43 3A 43 8A 10 C2 4A 4E 06 82 93
-46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83
-F3 23 5A 42 4C 06 30 41 82 5C 08 52 45 41 44 5F
-53 57 58 00 1C D3 F2 40 51 00 19 20 B0 12 BC 5C
-38 20 B0 12 44 5D 6A 53 04 24 FB 23 D9 42 4C 06
-FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23
-F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41 3A 54
-09 57 52 49 54 45 5F 53 57 58 2C D3 F0 40 58 00
-59 C2 B0 12 BC 5C 15 20 3A 40 FE FF 29 43 B0 12
-48 5D D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02
-F8 23 39 40 03 00 B0 12 46 5D 7A C0 E1 00 6A 92
-D9 27 8C 10 1C 52 4C 06 D2 D3 23 02 0D 12 84 12
-16 43 14 40 0B 3C 20 53 44 20 45 72 72 6F 72 21
-12 5E 2F 83 8F 4E 00 00 B2 40 10 00 DC 1D 0E 4C
-84 12 FE 44 36 41 B0 12 8A 41 0E 93 9C 24 E2 B2
-60 02 99 20 B2 40 81 A9 40 06 B2 40 03 00 46 06
-D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3 40 06 39 42
-B0 12 46 5D D2 C3 23 02 2C 42 B2 40 95 00 14 20
-B2 40 00 40 18 20 B0 12 40 5D 02 24 30 40 F2 5D
-B0 12 44 5D 7A 93 FC 23 B2 40 87 AA 14 20 92 43
-16 20 B2 40 00 48 18 20 B0 12 40 5D 29 42 B0 12
-46 5D 92 43 14 20 82 43 16 20 78 43 3C 42 B2 40
-00 77 18 20 B0 12 40 5D B2 40 40 69 18 20 B0 12
-FE 5C 03 24 58 83 F3 23 D9 3F 0C 5C A2 43 16 20
-B2 40 00 50 18 20 B0 12 FE 5C D0 23 92 D3 40 06
-82 43 46 06 92 C3 40 06 09 43 B0 12 74 5D 38 40
-00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20 5A 48
-C2 01 92 43 02 20 7A 80 06 00 0F 24 7A 82 0D 24
-A2 43 02 20 6A 53 09 24 5A 53 07 24 6A 52 05 24
-3A 50 0B 20 0C 4A 30 40 F8 5D 09 43 B0 12 74 5D
-D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20 1A 48
-16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20 09 5A
-82 49 0C 20 09 5A A2 93 02 20 04 24 82 49 0E 20
-39 50 20 00 19 82 12 20 19 82 12 20 82 49 10 20
-92 42 02 20 2C 20 30 41 B0 12 AA 40 39 40 E0 00
-29 83 89 43 38 20 FC 23 82 43 32 20 30 41 92 4B
-0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20 58 42
-22 20 92 93 02 20 08 24 59 42 24 20 89 10 0A 59
-88 10 08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20
-92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20
-14 24 92 42 22 20 D0 04 92 42 24 20 D2 04 92 42
-12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20
-92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00
-22 20 92 4B 10 00 24 20 B0 12 AC 5F 5A 4B 03 00
-82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90
-20 00 00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42
-32 20 82 43 1E 20 B2 90 00 02 20 20 AB 20 BB 80
-00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20
-03 00 14 28 CB 43 03 00 B0 12 7E 5F 1A 52 08 20
-09 43 B0 12 74 5D 8B 43 10 00 9B 48 00 1E 0E 00
-92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02
-20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20 82 2C
-BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12
-EC 5F 1A 42 1A 20 19 42 1C 20 6C 3E 3C 42 3B 40
-38 20 09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00
-04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50 1C 00
-3B 90 18 21 EF 23 0C 5C 30 41 0C 43 82 4B 32 20
-8B 49 00 00 09 93 0A 24 99 52 C4 1D 16 00 4A 93
-05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00
-CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00
-18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48
-14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00
-9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20
-6A 93 5C 27 C9 37 8B 43 16 00 7A 93 02 24 07 38
-95 3F B2 40 1C 21 CA 40 B2 40 54 43 6E 42 9B 42
-C0 1D 18 00 9B 82 C4 1D 18 00 9B 42 C2 1D 1A 00
-9B 52 C4 1D 1A 00 82 3F CB 43 02 00 2B 4B 82 4B
-32 20 0B 93 06 24 92 4B 16 00 1E 20 B0 12 6C 60
-22 C3 30 41 1B 42 32 20 0B 93 FB 27 EB 93 02 00
-04 20 B0 12 42 66 B0 12 0A 66 CB 93 02 00 E4 37
-1E 4B 18 00 9F 4B 1A 00 00 00 31 50 06 00 3D 41
-B0 12 68 61 02 24 30 40 34 43 B2 40 3C 1D CA 40
-B2 40 70 42 6E 42 30 40 16 43 46 4E 85 52 45 41
-44 22 5A 43 19 3C BA 4C 86 57 52 49 54 45 22 00
-6A 43 12 3C AE 4D 84 44 45 4C 22 00 6A 42 0C 3C
-CE 4A 05 43 4C 4F 53 45 B0 12 84 61 30 4D 68 4C
-85 4C 4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A
-82 93 BE 1D 0B 24 0D 12 84 12 0A 40 0A 40 B8 47
-B8 47 42 45 0A 40 3C 62 B8 47 BE 44 0D 12 84 12
-0A 40 22 00 8A 45 18 48 3A 62 3D 41 36 4F 0E 56
-82 4E 36 20 1C 43 92 42 2C 20 22 20 92 42 2E 20
-24 20 0E 96 8D 24 F6 90 3A 00 01 00 01 20 26 53
-F6 90 5C 00 00 00 08 20 16 53 92 42 02 20 22 20
-82 43 24 20 0E 96 70 24 82 46 34 20 B0 12 AC 5F
-35 40 20 00 A2 93 02 20 04 24 92 92 22 20 02 20
-02 24 15 42 12 20 B0 12 92 60 2C 43 0A 43 08 4A
-58 0E 08 58 82 48 30 20 C8 93 00 1E 61 24 39 42
-F8 96 00 1E 04 20 18 53 19 83 FA 23 16 53 F6 90
-2E 00 FF FF 19 24 39 50 03 00 B0 12 0A 60 06 20
-F6 90 5C 00 FF FF 29 24 0E 96 27 28 16 42 34 20
-1A 53 3A 90 10 00 DB 23 92 53 1A 20 82 63 1C 20
-15 83 D1 23 2C 42 3C 3C F6 90 2E 00 FE FF EE 27
-B0 12 0A 60 EB 23 39 40 03 00 F8 96 00 1E 04 20
-18 53 19 83 FA 23 09 3C 0E 96 E0 2F F6 90 5C 00
-FF FF DC 23 B0 12 0A 60 D9 23 18 42 30 20 92 48
-1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00 0B 1E
-14 24 82 93 24 20 06 20 82 93 22 20 03 20 92 42
-02 20 22 20 0E 96 8E 2F 92 42 22 20 2C 20 92 42
-24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12 9C 60
-35 40 D4 40 36 40 E2 40 3A 4F 3E 4F 0A 93 04 24
-7A 93 39 20 0C 93 01 20 30 4D 0D 12 84 12 16 43
-14 40 0B 3C 20 4F 70 65 6E 45 72 72 6F 72 3A 40
-10 5E D2 42 01 2B 3E 5F 30 4D F2 61 04 42 4F 4F
-54 00 39 40 26 5E 2E 93 01 2C 30 41 E2 B2 60 02
-02 24 10 49 02 00 89 12 3F 40 7E 1C 8F 43 00 00
-82 43 BE 1D B2 40 00 1C 00 1C 31 40 E0 1C 84 12
-14 40 0F 4C 4F 41 44 22 20 42 4F 4F 54 2E 34 54
-48 22 3A 40 A0 48 1A 93 BB 20 0C 93 C6 23 30 4D
-CC 61 04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42
-32 20 B0 12 1E 60 1E 82 32 20 30 4D 2C 43 12 12
-2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20 A6 24
-1A 52 08 20 09 43 B0 12 74 5D 09 43 28 93 03 24
-89 93 02 1E 03 20 89 93 00 1E 07 24 09 58 39 90
-00 02 F4 23 91 53 00 00 E7 3F 0C 43 6A 41 B9 43
-00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10
-09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49
-26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43
-28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20
-09 43 B0 12 BA 5D 3A 41 1A 52 0C 20 09 43 B0 12
-BA 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04
-FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00
-C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04
-C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08
-C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07
-C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A
-2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92
-06 38 39 80 03 00 B0 12 5E 65 39 40 03 00 7A 4B
-C8 4A 00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00
-3C 40 0E 65 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C
-E6 27 3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93
-06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41
-2A 93 DC 20 2C 93 0E 24 0C 93 AB 24 0D 12 84 12
-14 40 0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00
-3A 40 10 5E B0 12 1C 64 92 42 26 20 22 20 92 42
-28 20 24 20 B0 12 9A 64 B0 12 92 60 18 42 30 20
-F8 40 20 00 0B 1E B0 12 B2 64 88 43 0C 1E 88 4A
-0E 1E 88 49 10 1E 88 49 12 1E 98 42 24 20 14 1E
-98 42 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43
-1B 42 34 20 82 9B 36 20 C9 27 FB 90 2E 00 00 00
-C5 27 39 40 0B 00 B0 12 2E 65 B0 12 4C 66 2A 43
-B0 12 9C 60 0C 93 BA 23 30 4D 1A 4B 04 00 19 4B
-06 00 B0 12 74 5D B0 12 B2 64 18 4B 08 00 88 49
-12 1E 88 4A 16 1E 88 49 18 1E 98 4B 12 00 1C 1E
-98 4B 14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40
-BA 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20
-19 42 1C 20 30 40 BA 5D B2 40 00 02 1E 20 1B 42
-32 20 B0 12 42 66 82 43 1E 20 DB 53 03 00 DB 92
-12 20 03 00 25 20 CB 43 03 00 B0 12 7E 5F 08 12
-0A 12 B0 12 1C 64 2A 91 08 24 B0 12 9A 64 2A 41
-1A 52 08 20 09 43 B0 12 74 5D 3A 41 38 41 98 42
-26 20 00 1E 92 93 02 20 03 24 98 42 28 20 02 1E
-B0 12 9A 64 9B 42 26 20 0E 00 9B 42 28 20 10 00
-30 40 EC 5F D8 61 05 57 52 49 54 45 B0 12 58 66
-30 4D 58 4B 13 00 59 4B 14 00 89 10 09 58 58 4B
-15 00 5B 42 12 20 0A 43 3C 42 08 11 09 10 4A 10
-1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42 32 20
-19 5B 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48 10 00
-CB 4A 03 00 1A 4B 12 00 BB C0 FF 01 12 00 3A F0
-FF 01 82 4A 1E 20 B0 12 8E 60 30 4D 0C 93 3B 20
-38 90 E0 01 03 2C C8 93 20 1E 02 24 7C 40 E5 00
-C8 4C 00 1E B0 12 4C 66 B0 12 8A 5F 82 4A 2A 20
-0B 4A 1A 52 08 20 09 43 B0 12 74 5D 1A 48 00 1E
-88 43 00 1E 92 93 02 20 09 24 19 48 02 1E 88 43
-02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24
-82 4A 22 20 82 49 24 20 B0 12 8A 5F 0B 9A E6 27
-0A 12 0A 4B B0 12 9A 64 3A 41 DA 3F 0A 4B B0 12
-9A 64 B0 12 84 61 30 4D FA 44 08 54 45 52 4D 32
-53 44 22 00 B0 12 C2 41 A2 C2 EC 06 0D 12 84 12
-EC 61 0A 40 02 00 28 40 18 48 3C 62 CE 67 B0 12
-B6 41 A2 C2 EC 06 0A 43 92 B3 EC 06 FD 27 59 42
-CC 06 69 92 19 24 CA 49 00 1E 1A 53 79 90 0A 00
-0D 20 B0 12 C2 41 A2 C2 EC 06 84 12 0A 40 0A 00
-FA 42 04 68 B0 12 B6 41 A2 C2 EC 06 3A 90 00 02
-E3 2B B0 12 58 66 DF 3F 3D 41 82 4A 1E 20 B0 12
-84 61 92 B3 EC 06 FD 27 59 42 CC 06 79 90 0A 00
-F8 23 B0 12 C2 41 A2 C2 EC 06 30 4D
+39 40 10 02 08 49 28 53 99 3F 12 43 05 44 45 46
+45 52 B0 12 60 49 BA 40 30 40 FC FF BA 40 E2 4D
+FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 02 4A 82 49
+53 00 0D 12 82 93 BE 1D 06 24 84 12 F6 48 0A 40
+74 4A A8 47 AE 44 84 12 E0 48 74 4A AE 44 1A 4A
+04 43 4F 44 45 00 B0 12 60 49 A2 82 C6 1D 82 43
+66 5C 0D 12 84 12 48 4D 1A 4D AE 44 7E 4A 07 48
+44 4E 43 4F 44 45 B2 40 1E 4D DA 1D EC 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 B6 49 6E 4D
+A2 4D AE 44 A0 4A 07 43 4F 44 45 4E 4E 4D 30 12
+AA 4A A6 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 6E 4D A2 4D AE 44 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+BE 4A 85 48 49 32 4C 4F 0D 12 84 12 28 40 82 4C
+A8 47 0A 49 AE 4A AE 44 34 4A 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 4A 4B 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 40 00 00 26 44 62 48 7A 45 F8 47
+EE 43 34 40 E2 4B FC 43 14 40 06 5B 54 48 45 4E
+5D 00 54 4B BC 4B 78 4B 9A 4B AE 44 FC 43 14 40
+06 5B 45 4C 53 45 5D 00 54 4B D2 4B 78 4B 98 4B
+AE 44 14 40 04 5B 49 46 5D 00 54 4B 9A 4B 3A 40
+98 4B D0 43 14 40 05 0D 0A 6B 6F 20 AA 43 BC 40
+AC 40 3A 40 9A 4B 88 4B 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D F8 4B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 62 48 7A 45 E2 45
+06 4C AE 44 0C 4C 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 16 4C 3E 44 AE 44 3E 4C B2 4E
+0A 18 B2 4E 0C 18 BE 12 3E 4F 3D 41 DB 3C BA 47
+06 4D 41 52 4B 45 52 00 B0 12 60 49 BA 40 85 12
+FC FF BA 40 3C 4C FE FF 28 83 8A 48 00 00 9A 42
+C8 1D 02 00 BA 40 AA 40 04 00 B2 50 06 00 C6 1D
+9D 3E 2E 53 30 4D 5C 4A 05 44 4F 45 53 3E 1A 42
+BA 1D BA 40 85 12 00 00 8A 4D 02 00 3D 41 30 4D
+74 45 0A 56 4F 43 41 42 55 4C 41 52 59 00 0D 12
+84 12 22 4A 0A 40 10 00 0A 40 00 00 3E 40 0A 40
+00 00 A8 47 60 40 BE 4C 28 40 0A 40 C8 1D EE 43
+2E 44 A8 47 36 44 8E 4C 0A 40 CA 1D 36 44 AE 44
+DE 48 05 46 4F 52 54 48 85 12 D8 4C 42 4D 9A 63
+CE 61 E2 4C 32 4B D4 42 E8 61 88 4D 14 4E F0 63
+98 67 B4 66 00 00 8C 63 1C 49 42 46 00 00 C6 48
+09 41 53 53 45 4D 42 4C 45 52 85 12 D8 4C 32 5B
+CA 5A 2E 5A EE 54 80 53 00 00 F6 58 00 00 56 5C
+52 5D E4 53 98 5D FE 5A 00 00 00 00 C8 54 0C 4D
+10 4D 04 41 4C 53 4F 00 3A 40 0C 00 39 40 D6 1D
+08 49 28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23
+30 4D 28 49 08 50 52 45 56 49 4F 55 53 00 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 60 45 04 4F 4E 4C 59 00 82 43
+CC 1D 30 4D 88 4C 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 CA 1D DA 1D 30 4D E8 4C 8E 4D A2 4D
+B2 4D 3A 4E 82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 64 4D 09 50 57 52 5F 53 54 41 54 45
+85 12 AA 4D 3E 4D 04 68 2E 45 09 52 53 54 5F 53
+54 41 54 45 92 42 0A 18 F6 4D 92 42 0C 18 F4 4D
+EF 3F E6 4D 08 50 57 52 5F 48 45 52 45 00 92 42
+C6 1D F6 4D 92 42 C8 1D F4 4D 30 4D FA 4D 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18 92 42
+C8 1D 0C 18 EC 3F 3E 90 0E 00 D2 27 2E 92 DA 37
+0E 93 CE 37 39 40 10 00 29 83 B9 43 80 FF FC 23
+B9 40 96 4E FE FF 29 83 B9 40 E2 41 FE FF 39 90
+AE FF F9 23 39 40 14 18 B2 49 E4 41 B2 49 FA 40
+B2 49 02 40 B2 49 02 42 B2 49 BC FF B2 49 0A 18
+B2 49 0C 18 B7 3F B2 D0 03 00 04 01 B2 D0 10 00
+00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40 80 1C
+39 40 00 10 29 83 89 43 00 1C FC 23 B2 D3 06 02
+B2 40 FC FF 02 02 B2 43 26 02 B2 D3 22 02 B2 43
+42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
+26 03 F2 D3 22 03 F2 40 A5 00 61 01 82 43 62 01
+82 43 66 01 B2 40 33 00 64 01 D2 43 61 01 39 40
+40 00 18 42 00 18 18 83 FE 23 19 83 FA 23 F2 D0
+10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00 A2 04
+B2 42 B0 01 1E 42 08 18 82 43 08 18 1E D2 9E 01
+B0 12 F8 40 00 42 38 40 C0 1D 0A 4E 39 48 2E 48
+09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
+0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
+C4 1D 84 12 7A 45 E2 45 3E 44 34 40 76 4F 9E 46
+34 40 90 4F 8A 4F 78 4F 3C 4E 3C 80 87 12 05 24
+1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
+92 4F B2 41 C4 1D 3E 41 84 12 0A 40 2B 00 7A 45
+E2 45 3E 44 34 40 AE 4F 9E 46 34 40 60 48 08 44
+7A 45 9E 46 34 40 60 48 BA 4F 3E 5F E7 3F 32 B0
+00 02 01 24 3E 4F 30 41 3E 40 28 00 B0 12 5A 4F
+B0 12 BE 4F 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
+3E 40 29 00 1C 15 92 92 C0 1D C4 1D 02 20 30 40
+CE 49 12 12 C4 1D 92 53 C4 1D 84 12 7A 45 9E 46
+34 40 10 50 06 50 21 53 3E 90 10 00 84 2D BE 2B
+12 50 B2 41 C4 1D BA 3F 0D 12 84 12 62 48 36 4F
+22 50 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F
+7A 90 23 00 29 20 92 53 C4 1D B0 12 5A 4F B0 12
+BE 4F 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
+18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
+10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
+08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 4F 3D 41 30 4D 7A 90 26 00 09 20 3C 40
+10 02 92 53 C4 1D B0 12 5A 4F B0 12 BE 4F EB 3F
+7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12
+E4 4F 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 E4 4F
+92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E
+D8 3F B0 12 E4 4F FA 23 3C 50 10 00 B0 12 C8 4F
+EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12
+62 48 36 4F F6 50 FE 90 26 00 00 00 3E 40 20 00
+03 20 3C 50 82 00 C5 3F B0 12 E4 4F E0 23 3C 50
+80 00 B0 12 C8 4F DB 3F 00 00 04 52 45 54 49 00
+0D 12 84 12 0A 40 00 13 A8 47 AE 44 0A 40 2C 00
+18 50 EC 50 36 51 09 4B 2E 4E 0E DC A0 3F 20 4B
+03 4D 4F 56 85 12 2C 51 00 40 40 51 05 4D 4F 56
+2E 42 85 12 2C 51 40 40 00 00 03 41 44 44 85 12
+2C 51 00 50 5A 51 05 41 44 44 2E 42 85 12 2C 51
+40 50 66 51 04 41 44 44 43 00 85 12 2C 51 00 60
+74 51 06 41 44 44 43 2E 42 00 85 12 2C 51 40 60
+1A 51 04 53 55 42 43 00 85 12 2C 51 00 70 92 51
+06 53 55 42 43 2E 42 00 85 12 2C 51 40 70 A0 51
+03 53 55 42 85 12 2C 51 00 80 B0 51 05 53 55 42
+2E 42 85 12 2C 51 40 80 F6 4A 03 43 4D 50 85 12
+2C 51 00 90 CA 51 05 43 4D 50 2E 42 85 12 2C 51
+40 90 D0 4A 04 44 41 44 44 00 85 12 2C 51 00 A0
+E4 51 06 44 41 44 44 2E 42 00 85 12 2C 51 40 A0
+D6 51 03 42 49 54 85 12 2C 51 00 B0 02 52 05 42
+49 54 2E 42 85 12 2C 51 40 B0 0E 52 03 42 49 43
+85 12 2C 51 00 C0 1C 52 05 42 49 43 2E 42 85 12
+2C 51 40 C0 28 52 03 42 49 53 85 12 2C 51 00 D0
+36 52 05 42 49 53 2E 42 85 12 2C 51 40 D0 00 00
+03 58 4F 52 85 12 2C 51 00 E0 50 52 05 58 4F 52
+2E 42 85 12 2C 51 40 E0 82 51 03 41 4E 44 85 12
+2C 51 00 F0 6A 52 05 41 4E 44 2E 42 85 12 2C 51
+40 F0 62 48 18 50 88 52 0A 4C 3C F0 70 00 8A 10
+3A F0 0F 00 0C DA 4F 3F BC 51 03 52 52 43 85 12
+82 52 00 10 9A 52 05 52 52 43 2E 42 85 12 82 52
+40 10 A6 52 04 53 57 50 42 00 85 12 82 52 80 10
+B4 52 03 52 52 41 85 12 82 52 00 11 C2 52 05 52
+52 41 2E 42 85 12 82 52 40 11 CE 52 03 53 58 54
+85 12 82 52 80 11 00 00 04 50 55 53 48 00 85 12
+82 52 00 12 E8 52 06 50 55 53 48 2E 42 00 85 12
+82 52 40 12 42 52 04 43 41 4C 4C 00 85 12 82 52
+80 12 1A 53 0E 4A 0D 12 84 12 24 45 14 40 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 36 41 DC 52
+03 53 3E 3D 86 12 00 38 30 53 02 53 3C 00 86 12
+00 34 F6 52 03 30 3E 3D 86 12 00 30 44 53 02 30
+3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
+58 53 03 55 3E 3D 86 12 00 28 4E 53 03 30 3C 3E
+86 12 00 24 6C 53 02 30 3D 00 86 12 00 20 00 00
+02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D
+0E 4A 30 4D 62 53 04 54 48 45 4E 00 1A 42 C6 1D
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+B1 2F 88 DA 00 00 30 4D F2 51 04 45 4C 53 45 00
+1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83
+8F 4A 00 00 E3 3F 06 53 05 42 45 47 49 4E 30 40
+28 40 96 53 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D
+76 52 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
+00 00 05 57 48 49 4C 45 0D 12 84 12 84 53 08 44
+AE 44 3A 53 06 52 45 50 45 41 54 00 0D 12 84 12
+18 54 9C 53 AE 44 48 54 3D 41 08 4E 3E 4F 2A 48
+B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D D8 53
+03 42 57 31 85 12 46 54 00 00 60 54 03 42 57 32
+85 12 46 54 00 00 6C 54 03 42 57 33 85 12 46 54
+00 00 84 54 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D
+88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F
+30 4D 00 00 03 46 57 31 85 12 82 54 00 00 A4 54
+03 46 57 32 85 12 82 54 00 00 B0 54 03 46 57 33
+85 12 82 54 00 00 00 00 05 3F 47 4F 54 4F 3E 90
+00 30 07 24 3E E0 00 04 3E B0 00 10 02 24 3E E0
+00 08 0D 12 84 12 E0 48 3C 48 AE 44 BC 54 04 47
+4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C F1 3F
+62 48 36 4F 06 55 92 53 C4 1D 3E 40 2C 00 84 12
+7A 45 9E 46 34 40 60 48 E2 50 1C 55 0A 4E 3E 4F
+1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
+0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
+E8 2E 8A 10 5A 06 A6 3E 34 54 04 52 52 43 4D 00
+85 12 00 55 50 00 4A 55 04 52 52 41 4D 00 85 12
+00 55 50 01 58 55 04 52 4C 41 4D 00 85 12 00 55
+50 02 66 55 04 52 52 55 4D 00 85 12 00 55 50 03
+76 53 05 50 55 53 48 4D 85 12 00 55 00 15 82 55
+04 50 4F 50 4D 00 85 12 00 55 00 17 74 55 06 52
+52 43 4D 2E 41 00 85 12 00 55 40 00 9E 55 06 52
+52 41 4D 2E 41 00 85 12 00 55 40 01 AE 55 06 52
+4C 41 4D 2E 41 00 85 12 00 55 40 02 BE 55 06 52
+52 55 4D 2E 41 00 85 12 00 55 40 03 90 55 07 50
+55 53 48 4D 2E 41 85 12 00 55 00 14 DE 55 06 50
+4F 50 4D 2E 41 00 85 12 00 55 00 16 78 54 05 43
+41 4C 4C 41 0D 12 84 12 62 48 36 4F 0E 56 1B 42
+C6 1D A2 53 C6 1D 6E 4E 3C 40 34 01 7E 90 52 00
+0B 20 7E 40 20 00 B0 12 E4 4F 5C 0E 0C DE 8B 4C
+00 00 3E 4F 3D 41 30 4D 2C 53 7E 90 40 00 0B 20
+92 53 C4 1D 7E 40 20 00 B0 12 E4 4F EE 23 1C 53
+3E 40 2B 00 E8 3F A2 53 C6 1D 7E 90 23 00 09 20
+3C 40 3B 01 92 53 C4 1D B0 12 5A 4F BB 4F 02 00
+DC 3F 7E 90 26 00 02 20 2C 53 F4 3F 7E 40 28 00
+1C 83 B0 12 5A 4F BB 4F 02 00 3E 40 29 00 CB 3F
+0D 12 84 12 62 48 36 4F 9A 56 69 4E 3E 4F 3C 4F
+2C 4C 1B 42 C6 1D A2 53 C6 1D 79 90 52 00 0A 20
+B0 12 E4 4F 5E 0E 5E 0E 0E DC 8B 4E 00 00 0E 4B
+3D 41 30 4D 79 90 23 00 0D 20 3C C0 40 00 92 53
+C4 1D A2 53 C6 1D B0 12 5A 4F BB 4F 02 00 3E F0
+0F 00 E8 3F 79 90 26 00 03 20 3C E0 E0 00 EF 3F
+3C C0 F0 00 79 90 40 00 12 20 92 53 C4 1D B0 12
+E4 4F D8 23 3C D0 10 00 3E 40 2B 00 B0 12 E4 4F
+92 92 C0 1D C4 1D CE 27 92 53 C4 1D CB 3F 3C D0
+30 00 A2 53 C6 1D 3E 40 28 00 B0 12 5A 4F BB 4F
+02 00 3E 40 29 00 EA 3F 0D 12 84 12 62 48 36 4F
+42 57 3B 4F 2C 4B 69 4E 7E 40 20 00 79 90 52 00
+03 20 B0 12 E4 4F B0 3F 3C C0 F0 00 A2 53 C6 1D
+79 90 26 00 09 20 3C D0 60 00 92 53 C4 1D B0 12
+5A 4F BB 4F 02 00 A0 3F 3C D0 70 00 3E 40 28 00
+B0 12 5A 4F BB 4F 02 00 3E 40 29 00 E2 3F 0A 40
+2C 00 90 56 38 57 D2 43 AE 44 4C 51 04 4D 4F 56
+41 00 85 12 8E 57 C0 00 FE 55 04 43 4D 50 41 00
+85 12 8E 57 D0 00 12 54 04 41 44 44 41 00 85 12
+8E 57 E0 00 CE 55 04 53 55 42 41 00 85 12 8E 57
+F0 00 0D 12 84 12 62 48 36 4F DC 57 69 4E 3E 4F
+3C 40 00 18 79 90 52 00 05 20 B0 12 E4 4F 0E 4C
+3D 41 30 4D 82 43 66 5C 79 90 23 00 0B 20 92 53
+C4 1D B0 12 5A 4F 2F 53 3E F0 0F 00 5E 0A 5E 0E
+0C DE ED 3F 79 90 26 00 F2 27 79 90 40 00 12 20
+92 53 C4 1D B0 12 E4 4F E2 23 3E 40 2B 00 92 53
+C4 1D B0 12 E4 4F 92 92 C0 1D C4 1D D8 27 92 53
+C4 1D D5 3F 3E 40 28 00 B0 12 5A 4F 8F 4E 00 00
+3E 40 29 00 B0 12 E4 4F 3E 4F 3E F0 0F 00 0C DE
+EA 3F 0D 12 84 12 62 48 36 4F 6C 58 3C 4F 69 4E
+3E 40 20 00 79 90 52 00 BA 27 82 43 66 5C 79 90
+26 00 08 20 92 53 C4 1D B0 12 5A 4F 2F 53 3E F0
+0F 00 BE 3F 3E 40 28 00 B0 12 5A 4F F7 3F B2 4F
+C4 1D 1B 42 C6 1D A2 53 C6 1D 0C 4E 3E 4F 1C D2
+66 5C 82 43 66 5C 3C DE 8B 4C 00 00 30 4D 0A 40
+C4 1D 2E 44 0A 40 2C 00 D2 57 62 58 9E 58 3A 40
+2C 51 9C 57 04 4D 4F 56 58 00 85 12 BE 58 40 00
+00 40 D4 58 06 4D 4F 56 58 2E 41 00 85 12 BE 58
+00 00 40 40 E4 58 06 4D 4F 56 58 2E 42 00 85 12
+BE 58 40 00 40 40 B8 57 04 41 44 44 58 00 85 12
+BE 58 40 00 00 50 08 59 06 41 44 44 58 2E 41 00
+85 12 BE 58 00 00 40 50 18 59 06 41 44 44 58 2E
+42 00 85 12 BE 58 40 00 40 50 2A 59 05 41 44 44
+43 58 85 12 BE 58 40 00 00 60 3C 59 07 41 44 44
+43 58 2E 41 85 12 BE 58 00 00 40 60 4C 59 07 41
+44 44 43 58 2E 42 85 12 BE 58 40 00 40 60 C6 57
+05 53 55 42 43 58 85 12 BE 58 40 00 00 70 70 59
+07 53 55 42 43 58 2E 41 85 12 BE 58 00 00 40 70
+80 59 07 53 55 42 43 58 2E 42 85 12 BE 58 40 00
+40 70 92 59 04 53 55 42 58 00 85 12 BE 58 40 00
+00 80 A4 59 06 53 55 42 58 2E 41 00 85 12 BE 58
+00 00 40 80 B4 59 06 53 55 42 58 2E 42 00 85 12
+BE 58 40 00 40 80 AA 57 04 43 4D 50 58 00 85 12
+BE 58 40 00 00 90 D8 59 06 43 4D 50 58 2E 41 00
+85 12 BE 58 00 00 40 90 E8 59 06 43 4D 50 58 2E
+42 00 85 12 BE 58 40 00 40 90 BA 53 05 44 41 44
+44 58 85 12 BE 58 40 00 00 A0 0C 5A 07 44 41 44
+44 58 2E 41 85 12 BE 58 00 00 40 A0 1C 5A 07 44
+41 44 44 58 2E 42 85 12 BE 58 40 00 40 A0 FA 59
+04 42 49 54 58 00 85 12 BE 58 40 00 00 B0 40 5A
+06 42 49 54 58 2E 41 00 85 12 BE 58 00 00 40 B0
+50 5A 06 42 49 54 58 2E 42 00 85 12 BE 58 40 00
+40 B0 62 5A 04 42 49 43 58 00 85 12 BE 58 40 00
+00 C0 74 5A 06 42 49 43 58 2E 41 00 85 12 BE 58
+00 00 40 C0 84 5A 06 42 49 43 58 2E 42 00 85 12
+BE 58 40 00 40 C0 96 5A 04 42 49 53 58 00 85 12
+BE 58 40 00 00 D0 A8 5A 06 42 49 53 58 2E 41 00
+85 12 BE 58 00 00 40 D0 B8 5A 06 42 49 53 58 2E
+42 00 85 12 BE 58 40 00 40 D0 5C 52 04 58 4F 52
+58 00 85 12 BE 58 40 00 00 E0 DC 5A 06 58 4F 52
+58 2E 41 00 85 12 BE 58 00 00 40 E0 EC 5A 06 58
+4F 52 58 2E 42 00 85 12 BE 58 40 00 40 E0 5E 59
+04 41 4E 44 58 00 85 12 BE 58 40 00 00 F0 10 5B
+06 41 4E 44 58 2E 41 00 85 12 BE 58 00 00 40 F0
+20 5B 06 41 4E 44 58 2E 42 00 85 12 BE 58 40 00
+40 F0 0A 40 C4 1D 2E 44 62 48 D2 57 9E 58 3A 40
+82 52 C6 59 04 52 52 43 58 00 85 12 42 5B 40 00
+00 10 54 5B 06 52 52 43 58 2E 41 00 85 12 42 5B
+00 00 40 10 64 5B 06 52 52 43 58 2E 42 00 85 12
+42 5B 40 00 40 10 76 5B 04 52 52 55 58 00 85 12
+42 5B 40 01 00 10 88 5B 06 52 52 55 58 2E 41 00
+85 12 42 5B 00 01 40 10 98 5B 06 52 52 55 58 2E
+42 00 85 12 42 5B 40 01 40 10 AA 5B 05 53 57 50
+42 58 85 12 42 5B 40 00 80 10 BC 5B 07 53 57 50
+42 58 2E 41 85 12 42 5B 00 00 80 10 CC 5B 04 52
+52 41 58 00 85 12 42 5B 40 00 00 11 DE 5B 06 52
+52 41 58 2E 41 00 85 12 42 5B 00 00 40 11 EE 5B
+06 52 52 41 58 2E 42 00 85 12 42 5B 40 00 40 11
+00 5C 04 53 58 54 58 00 85 12 42 5B 40 00 80 11
+12 5C 06 53 58 54 58 2E 41 00 85 12 42 5B 00 00
+80 11 EE 55 05 50 55 53 48 58 85 12 42 5B 40 00
+00 12 34 5C 07 50 55 53 48 58 2E 41 85 12 42 5B
+00 00 40 12 44 5C 07 50 55 53 48 58 2E 42 85 12
+42 5B 40 00 40 12 00 00 22 5C 03 52 50 54 0D 12
+84 12 62 48 36 4F 78 5C 29 4E 7E 40 20 00 79 90
+52 00 06 20 B0 12 E4 4F 03 24 3E D0 80 00 05 3C
+B0 12 5A 4F 1E 83 3E F0 0F 00 82 4E 66 5C 3E 4F
+3D 41 30 4D D2 C3 23 02 E2 B2 60 02 02 24 30 40
+E2 41 1A 52 04 20 19 62 06 20 92 43 14 20 A2 93
+02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20
+0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20
+89 10 C2 49 18 20 B0 12 2C 5D 5A 53 FC 23 39 40
+05 00 D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3
+6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30
+F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27
+5A 92 4C 06 F3 23 30 41 1A 43 E1 3F 19 43 3A 43
+8A 10 C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06
+FD 27 C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41
+6A 5C 08 52 45 41 44 5F 53 57 58 00 1C D3 F2 40
+51 00 19 20 B0 12 A4 5C 38 20 B0 12 2C 5D 6A 53
+04 24 FB 23 D9 42 4C 06 FF 1D F2 43 4E 06 03 43
+19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0 03 00
+D2 D3 23 02 30 41 22 54 09 57 52 49 54 45 5F 53
+57 58 2C D3 F0 40 58 00 71 C2 B0 12 A4 5C 15 20
+3A 40 FE FF 29 43 B0 12 30 5D D2 49 00 1E 4E 06
+03 43 19 53 39 90 00 02 F8 23 39 40 03 00 B0 12
+2E 5D 7A C0 E1 00 6A 92 D9 27 8C 10 1C 52 4C 06
+D2 D3 23 02 0D 12 84 12 18 43 14 40 0B 3C 20 53
+44 20 45 72 72 6F 72 21 FA 5D 2F 83 8F 4E 00 00
+B2 40 10 00 DC 1D 0E 4C 84 12 EE 44 36 41 B0 12
+8C 41 0E 93 9C 24 E2 B2 60 02 99 20 B2 40 81 A9
+40 06 B2 40 03 00 46 06 D2 D3 25 02 B2 D0 C0 04
+0C 02 92 C3 40 06 39 42 B0 12 2E 5D D2 C3 23 02
+2C 42 B2 40 95 00 14 20 B2 40 00 40 18 20 B0 12
+28 5D 02 24 30 40 DA 5D B0 12 2C 5D 7A 93 FC 23
+B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48 18 20
+B0 12 28 5D 29 42 B0 12 2E 5D 92 43 14 20 82 43
+16 20 78 43 3C 42 B2 40 00 77 18 20 B0 12 28 5D
+B2 40 40 69 18 20 B0 12 E6 5C 03 24 58 83 F3 23
+D9 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20 B0 12
+E6 5C D0 23 92 D3 40 06 82 43 46 06 92 C3 40 06
+09 43 B0 12 5C 5D 38 40 00 1E 92 48 C6 01 04 20
+92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80
+06 00 0F 24 7A 82 0D 24 A2 43 02 20 6A 53 09 24
+5A 53 07 24 6A 52 05 24 3A 50 0B 20 0C 4A 30 40
+E0 5D 09 43 B0 12 5C 5D D2 48 0D 00 12 20 19 48
+0E 00 82 49 08 20 1A 48 16 00 0A 93 02 20 1A 48
+24 00 82 4A 0A 20 09 5A 82 49 0C 20 09 5A A2 93
+02 20 04 24 82 49 0E 20 39 50 20 00 19 82 12 20
+19 82 12 20 82 49 10 20 92 42 02 20 2C 20 30 41
+B0 12 AA 40 39 40 E0 00 29 83 89 43 38 20 FC 23
+82 43 32 20 30 41 92 4B 0E 00 22 20 92 4B 10 00
+24 20 5A 42 23 20 58 42 22 20 92 93 02 20 08 24
+59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10
+08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93
+24 20 03 20 92 93 22 20 14 24 92 42 22 20 D0 04
+92 42 24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04
+1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63
+1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20
+B0 12 94 5F 5A 4B 03 00 82 5A 1A 20 82 63 1C 20
+30 41 09 93 07 24 F8 90 20 00 00 1E 03 20 18 53
+19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90
+00 02 20 20 AB 20 BB 80 00 02 12 00 8B 73 14 00
+DB 53 03 00 DB 92 12 20 03 00 14 28 CB 43 03 00
+B0 12 66 5F 1A 52 08 20 09 43 B0 12 5C 5D 8B 43
+10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24 9B 48
+02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00 0B 20
+92 9B 12 00 1E 20 82 2C BB 90 00 02 12 00 03 2C
+92 4B 12 00 20 20 B0 12 D4 5F 1A 42 1A 20 19 42
+1C 20 6C 3E 3C 42 3B 40 38 20 09 43 CB 93 02 00
+10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20 0A 00
+07 24 09 4B 3B 50 1C 00 3B 90 18 21 EF 23 0C 5C
+30 41 0C 43 82 4B 32 20 8B 49 00 00 09 93 0A 24
+99 52 C4 1D 16 00 4A 93 05 34 C9 93 02 00 02 34
+5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42 1A 20
+04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48 08 00
+9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E
+0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00 9B 48
+1E 1E 14 00 82 43 1E 20 6A 93 5C 27 C9 37 8B 43
+16 00 7A 93 02 24 07 38 95 3F B2 40 1C 21 CA 40
+B2 40 44 43 70 42 9B 42 C0 1D 18 00 9B 82 C4 1D
+18 00 9B 42 C2 1D 1A 00 9B 52 C4 1D 1A 00 82 3F
+CB 43 02 00 2B 4B 82 4B 32 20 0B 93 06 24 92 4B
+16 00 1E 20 B0 12 54 60 22 C3 30 41 1B 42 32 20
+0B 93 FB 27 EB 93 02 00 04 20 B0 12 30 66 B0 12
+F8 65 CB 93 02 00 E4 37 1E 4B 18 00 9F 4B 1A 00
+00 00 31 50 06 00 3D 41 B0 12 50 61 02 24 30 40
+36 43 B2 40 3C 1D CA 40 B2 40 72 42 70 42 30 40
+18 43 2E 4E 85 52 45 41 44 22 5A 43 19 3C A2 4C
+86 57 52 49 54 45 22 00 6A 43 12 3C 96 4D 84 44
+45 4C 22 00 6A 42 0C 3C E6 4A 05 43 4C 4F 53 45
+B0 12 6C 61 30 4D 50 4C 85 4C 4F 41 44 22 7A 43
+2F 83 8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24 0D 12
+84 12 0A 40 0A 40 A8 47 A8 47 32 45 0A 40 24 62
+A8 47 AE 44 0D 12 84 12 0A 40 22 00 7A 45 F8 47
+22 62 3D 41 36 4F 0E 56 82 4E 36 20 1C 43 92 42
+2C 20 22 20 92 42 2E 20 24 20 0E 96 8D 24 F6 90
+3A 00 01 00 01 20 26 53 F6 90 5C 00 00 00 08 20
+16 53 92 42 02 20 22 20 82 43 24 20 0E 96 70 24
+82 46 34 20 B0 12 94 5F 35 40 20 00 A2 93 02 20
+04 24 92 92 22 20 02 20 02 24 15 42 12 20 B0 12
+7A 60 2C 43 0A 43 08 4A 58 0E 08 58 82 48 30 20
+C8 93 00 1E 61 24 39 42 F8 96 00 1E 04 20 18 53
+19 83 FA 23 16 53 F6 90 2E 00 FF FF 19 24 39 50
+03 00 B0 12 F2 5F 06 20 F6 90 5C 00 FF FF 29 24
+0E 96 27 28 16 42 34 20 1A 53 3A 90 10 00 DB 23
+92 53 1A 20 82 63 1C 20 15 83 D1 23 2C 42 3C 3C
+F6 90 2E 00 FE FF EE 27 B0 12 F2 5F EB 23 39 40
+03 00 F8 96 00 1E 04 20 18 53 19 83 FA 23 09 3C
+0E 96 E0 2F F6 90 5C 00 FF FF DC 23 B0 12 F2 5F
+D9 23 18 42 30 20 92 48 1A 1E 22 20 92 48 14 1E
+24 20 F8 B0 10 00 0B 1E 14 24 82 93 24 20 06 20
+82 93 22 20 03 20 92 42 02 20 22 20 0E 96 8E 2F
+92 42 22 20 2C 20 92 42 24 20 2E 20 8F 43 00 00
+03 3C 2A 4F B0 12 84 60 35 40 D4 40 36 40 E2 40
+3A 4F 3E 4F 0A 93 04 24 7A 93 3C 20 0C 93 01 20
+30 4D 0D 12 84 12 18 43 14 40 0B 3C 20 4F 70 65
+6E 45 72 72 6F 72 3A 40 F8 5D 26 4C 05 5B 50 46
+41 5D 2E 53 2E 4E 30 4D DA 61 04 42 4F 4F 54 00
+39 40 0E 5E 2E 93 01 2C 30 41 E2 B2 60 02 02 24
+10 49 02 00 89 12 3F 40 7E 1C 8F 43 00 00 82 43
+BE 1D B2 40 00 1C 00 1C 31 40 E0 1C 84 12 14 40
+0F 4C 4F 41 44 22 20 42 4F 4F 54 2E 34 54 48 22
+3A 40 90 48 1A 93 BB 20 0C 93 C3 23 30 4D B4 61
+04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42 32 20
+B0 12 06 60 1E 82 32 20 30 4D 2C 43 12 12 2A 20
+18 42 02 20 08 58 2A 41 82 9A 0A 20 A6 24 1A 52
+08 20 09 43 B0 12 5C 5D 09 43 28 93 03 24 89 93
+02 1E 03 20 89 93 00 1E 07 24 09 58 39 90 00 02
+F4 23 91 53 00 00 E7 3F 0C 43 6A 41 B9 43 00 1E
+28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10 09 5A
+5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49 26 20
+07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43 28 20
+3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20 09 43
+B0 12 A2 5D 3A 41 1A 52 0C 20 09 43 B0 12 A2 5D
+F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27
+5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04
+D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04
+19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04
+1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04
+B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C
+2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38
+39 80 03 00 B0 12 4C 65 39 40 03 00 7A 4B C8 4A
+00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00 3C 40
+FC 64 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C E6 27
+3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93 06 24
+F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93
+DC 20 2C 93 0E 24 0C 93 AB 24 0D 12 84 12 14 40
+0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00 3A 40
+F8 5D B0 12 0A 64 92 42 26 20 22 20 92 42 28 20
+24 20 B0 12 88 64 B0 12 7A 60 18 42 30 20 F8 40
+20 00 0B 1E B0 12 A0 64 88 43 0C 1E 88 4A 0E 1E
+88 49 10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42
+22 20 1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42
+34 20 82 9B 36 20 C9 27 FB 90 2E 00 00 00 C5 27
+39 40 0B 00 B0 12 1C 65 B0 12 3A 66 2A 43 B0 12
+84 60 0C 93 BA 23 30 4D 1A 4B 04 00 19 4B 06 00
+B0 12 5C 5D B0 12 A0 64 18 4B 08 00 88 49 12 1E
+88 4A 16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B
+14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40 A2 5D
+9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42
+1C 20 30 40 A2 5D B2 40 00 02 1E 20 1B 42 32 20
+B0 12 30 66 82 43 1E 20 DB 53 03 00 DB 92 12 20
+03 00 25 20 CB 43 03 00 B0 12 66 5F 08 12 0A 12
+B0 12 0A 64 2A 91 08 24 B0 12 88 64 2A 41 1A 52
+08 20 09 43 B0 12 5C 5D 3A 41 38 41 98 42 26 20
+00 1E 92 93 02 20 03 24 98 42 28 20 02 1E B0 12
+88 64 9B 42 26 20 0E 00 9B 42 28 20 10 00 30 40
+D4 5F C0 61 05 57 52 49 54 45 B0 12 46 66 30 4D
+58 4B 13 00 59 4B 14 00 89 10 09 58 58 4B 15 00
+5B 42 12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83
+0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42 32 20 19 5B
+0A 00 18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A
+03 00 1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01
+82 4A 1E 20 B0 12 76 60 30 4D 0C 93 3B 20 38 90
+E0 01 03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C
+00 1E B0 12 3A 66 B0 12 72 5F 82 4A 2A 20 0B 4A
+1A 52 08 20 09 43 B0 12 5C 5D 1A 48 00 1E 88 43
+00 1E 92 93 02 20 09 24 19 48 02 1E 88 43 02 1E
+39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24 82 4A
+22 20 82 49 24 20 B0 12 72 5F 0B 9A E6 27 0A 12
+0A 4B B0 12 88 64 3A 41 DA 3F 0A 4B B0 12 88 64
+B0 12 6C 61 30 4D EA 44 08 54 45 52 4D 32 53 44
+22 00 0D 12 84 12 D4 61 0A 40 02 00 28 40 F8 47
+24 62 B4 67 B0 12 B8 41 0A 43 92 B3 EC 06 FD 27
+59 42 CC 06 69 92 11 24 CA 49 00 1E 1A 53 79 90
+0A 00 05 20 84 12 DC 43 DA 67 B0 12 B8 41 3A 90
+00 02 EB 2B B0 12 46 66 E7 3F 92 B3 EC 06 FD 27
+F2 90 0A 00 CC 06 F9 23 82 4A 1E 20 B0 12 6C 61
+3D 41 30 4D
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 82 42 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 AE 4E
+77 00 10 00 12 00 14 00 16 00 00 00 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 84 42 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 96 4E
 q
index 81f45db..782000a 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF 0E 68 58 4D 33 01
-10 00 81 B7 94 41 6E 5F C6 41 2C 5E 96 42 0E 68
-58 4D 7C 42 F2 43 26 43 00 43 3C 1D C0 44 D4 40
-E2 40 EE 40 20 00 0A 00 88 48 A2 48 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF 0C 68 50 4D 34 01
+10 00 C1 B3 94 41 66 5F DA 41 24 5E 96 42 0C 68
+50 4D 7C 42 F2 43 26 43 00 43 3C 1D C0 44 D4 40
+E2 40 EE 40 20 00 0A 00 00 00 00 00 00 00 00 00
 @4000
-B0 12 C6 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -19,11 +19,11 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
 02 00 D2 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
-8F 4E 00 00 3E 41 30 4D B0 12 6E 5F 39 40 22 18
+8F 4E 00 00 3E 41 30 4D B0 12 66 5F 39 40 22 18
 B2 49 7A 42 B2 49 F0 43 B2 49 24 43 B2 49 FE 42
 B2 49 CA 40 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 60 4E 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 41 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 58 4E 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 41 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 40 0A 40 DE 1D 40 44 34 43
 14 40 04 1B 5B 37 6D 00 BC 43 08 44 34 40 86 41
@@ -38,7 +38,7 @@ B2 40 11 00 CE 05 E2 C2 23 02 30 41 00 00 04 57
 49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
 44 00 B0 12 94 41 F2 B0 40 00 40 02 02 20 B2 43
 08 18 B2 40 04 A5 20 01 EE 41 04 57 41 52 4D 00
-B0 12 2C 5E 84 12 14 40 07 0D 0A 1B 5B 37 6D 23
+B0 12 24 5E 84 12 14 40 07 0D 0A 1B 5B 37 6D 23
 BC 43 36 45 14 40 19 46 61 73 74 46 6F 72 74 68
 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
 BC 43 0A 40 40 FF 28 40 34 44 00 45 14 40 0A 62
@@ -52,7 +52,7 @@ FD 27 C2 48 CE 05 30 4D CA 42 2D 83 92 B3 DC 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 DC 05 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53
 DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 43
-2F 83 8F 4E 00 00 B0 12 C6 41 92 B3 DC 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA 41 92 B3 DC 05 FD 27
 1E 42 CC 05 B0 12 C8 41 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 43 08 4E 3E 4F C8 3F 1C 43 04 45
 43 48 4F 00 B2 40 C2 48 C2 42 82 43 DE 1D 30 4D
@@ -62,7 +62,7 @@ DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 43
 1B 42 20 20 02 3C 78 43 2D 83 0C 9B 16 2C 58 4C
 00 1E 1C 53 78 90 20 00 09 2C 78 90 0A 00 F5 23
 3D 41 82 4C 1E 20 3C 40 20 00 A6 3F 0E 99 8E 27
-CA 48 00 00 1A 53 1E 53 89 3F 1A 15 B0 12 24 60
+CA 48 00 00 1A 53 1E 53 89 3F 1A 15 B0 12 1C 60
 19 17 DC 3F 00 00 04 54 59 50 45 00 0E 93 11 24
 0D 12 3D 40 D8 43 28 4F 2F 83 8F 4E 00 00 7E 48
 8F 48 02 00 10 42 24 43 DA 43 2D 83 1E 83 F3 23
@@ -86,7 +86,7 @@ B2 1D C8 4A 00 00 30 4D 7A 44 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D B6 43 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-70 44 AE 44 EE 40 EE 44 CA 44 BC 43 84 48 22 43
+70 44 AE 44 EE 40 EE 44 CA 44 BC 43 74 48 22 43
 C0 44 42 43 01 2E 0E 93 E3 37 38 43 E2 3F E8 44
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40
 BA 47 0A 40 22 00 8C 45 5A 45 B2 40 20 00 B4 1D
@@ -128,34 +128,34 @@ B0 12 66 40 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D 46 44 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D B8 47 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D B8 47 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 40 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D C6 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D DA 44 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 40 84 48 8C 45 40 48
-94 26 3D 40 48 48 D6 3E 4A 48 0A 4E 3E 4F 3D 40
-64 48 2E 27 3D 40 3A 48 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 66 48 3E 4F 3D 40 3A 48 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 40 74 48 8C 45 30 48
+9C 26 3D 40 38 48 DE 3E 3A 48 0A 4E 3E 4F 3D 40
+54 48 36 27 3D 40 2A 48 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 56 48 3E 4F 3D 40 2A 48 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 F6 49
-CC 3F 6E 48 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F 5E 48 86 12 20 00 46 44 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 EE 43
-BC 40 34 48 24 44 56 44 14 40 0C 73 74 61 63 6B
+BC 40 24 48 24 44 56 44 14 40 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40
 5E 44 14 40 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 41 3A 40 9E 48 CC 47 86 41 42 4F 52 54 22 00
+2A 41 3A 40 9E 48 7A 48 86 41 42 4F 52 54 22 00
 0D 12 84 12 44 45 0A 40 2A 41 BA 47 C0 44 EE 45
-01 27 0D 12 84 12 84 48 8C 45 F4 45 34 40 82 48
+01 27 0D 12 84 12 74 48 8C 45 F4 45 34 40 72 48
 C0 44 00 00 83 5B 27 5D 0D 12 84 12 F2 48 0A 40
 0A 40 BA 47 BA 47 C0 44 04 49 81 5B 82 43 BE 1D
 30 4D 6C 44 01 5D B2 43 BE 1D 30 4D 24 49 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 84 48 8C 45 F4 45 08 44
-34 40 82 48 56 44 34 40 66 49 0A 40 0A 40 BA 47
+4F 4E 45 00 0D 12 84 12 74 48 8C 45 F4 45 08 44
+34 40 72 48 56 44 34 40 66 49 0A 40 0A 40 BA 47
 BA 47 0A 40 BA 47 BA 47 C0 44 1A 49 01 3A 30 12
-B6 49 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 84 48
+B6 49 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 74 48
 8C 45 84 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -165,486 +165,486 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 40 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 41 6C 49 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A 40 C0 44 BA 47 C8 49 1C 49
-C0 44 2A 48 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D 14 48 06 43 52 45
+C0 44 1A 48 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D 04 48 06 43 52 45
 41 54 45 00 B0 12 72 49 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F FA 49 07 3A 4E 4F 4E 41 4D 45 30 12
 B6 49 2F 83 8F 4E 00 00 1A 42 C6 1D 1A B3 0A 63
 0E 4A 39 40 10 02 08 49 28 53 99 3F 2E 43 05 44
 45 46 45 52 B0 12 72 49 BA 40 30 40 FC FF BA 40
-FC 4D FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 14 4A
+F4 4D FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 14 4A
 82 49 53 00 0D 12 82 93 BE 1D 06 24 84 12 08 49
 0A 40 86 4A BA 47 C0 44 84 12 F2 48 86 4A C0 44
 2C 4A 04 43 4F 44 45 00 B0 12 72 49 A2 82 C6 1D
-82 43 84 5C 0D 12 84 12 62 4D 34 4D C0 44 B2 4A
-07 43 4F 44 45 4E 4E 4D 30 12 BC 4A BA 3F 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 C8 49 88 4D
-C0 44 D8 48 03 41 53 4D B2 40 38 4D DA 1D DC 3F
-E0 4A 06 45 4E 44 41 53 4D 00 0D 12 84 12 E8 4A
-BC 4D C0 44 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 88 4D 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F 90 4A 85 48 49 32
-4C 4F 0D 12 84 12 28 40 9C 4C BA 47 1C 49 C0 4A
-C0 44 46 4A 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-64 4B 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 40
-00 00 38 44 84 48 8C 45 1A 48 00 44 34 40 FC 4B
-0E 44 14 40 06 5B 54 48 45 4E 5D 00 6E 4B D6 4B
-92 4B B4 4B C0 44 0E 44 14 40 06 5B 45 4C 53 45
-5D 00 6E 4B EC 4B 92 4B B2 4B C0 44 14 40 04 5B
-49 46 5D 00 6E 4B B4 4B 3A 40 B2 4B E2 43 14 40
-05 0D 0A 6B 6F 20 BC 43 BC 40 AC 40 3A 40 B4 4B
-A2 4B 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 12 4C 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 84 48 8C 45 F4 45 20 4C C0 44 26 4C
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-30 4C 50 44 C0 44 58 4C B2 4E 0A 18 B2 4E 0C 18
-BE 12 3E 4F 3D 41 DB 3C DC 47 06 4D 41 52 4B 45
-52 00 B0 12 72 49 BA 40 85 12 FC FF BA 40 56 4C
-FE FF 28 83 8A 48 00 00 9A 42 C8 1D 02 00 BA 40
-AA 40 04 00 B2 50 06 00 C6 1D 99 3E 2E 53 30 4D
-6E 4A 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 85 12
-00 00 8A 4D 02 00 3D 41 30 4D 86 45 0A 56 4F 43
-41 42 55 4C 41 52 59 00 0D 12 84 12 34 4A 0A 40
-10 00 0A 40 00 00 3E 40 0A 40 00 00 BA 47 60 40
-D8 4C 28 40 0A 40 C8 1D 00 44 40 44 BA 47 48 44
-A8 4C 0A 40 CA 1D 48 44 C0 44 F0 48 05 46 4F 52
-54 48 85 12 F2 4C 5C 4D B2 63 EC 61 FC 4C 4C 4B
-AA 63 06 62 A2 4D 2E 4E 08 64 B0 67 CC 66 00 00
-40 4C 2E 49 54 46 00 00 F4 4A 09 41 53 53 45 4D
-42 4C 45 52 85 12 F2 4C 50 5B E8 5A 4C 5A 0C 55
-9E 53 00 00 14 59 00 00 74 5C 70 5D 02 54 B6 5D
-1C 5B 00 00 00 00 E6 54 26 4D 2A 4D 04 41 4C 53
-4F 00 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
-18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 49 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 38 40 CA 1D
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-72 45 04 4F 4E 4C 59 00 82 43 CC 1D 30 4D A2 4C
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 1D
-DA 1D 30 4D 02 4D A8 4D BC 4D CC 4D 3A 4E 82 4A
-C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 7E 4D
-09 50 57 52 5F 53 54 41 54 45 85 12 C4 4D 58 4D
-0E 68 40 45 09 52 53 54 5F 53 54 41 54 45 92 42
-0A 18 10 4E 92 42 0C 18 0E 4E EF 3F 00 4E 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 10 4E 92 42
-C8 1D 0E 4E 30 4D 14 4E 08 52 53 54 5F 48 45 52
-45 00 92 42 C6 1D 0A 18 92 42 C8 1D 0C 18 EC 3F
-3E 90 0E 00 D2 27 2E 92 DA 37 0E 93 CE 37 39 40
-10 00 29 83 B9 43 80 FF FC 23 B9 40 B0 4E FE FF
-29 83 B9 40 02 42 FE FF 39 90 AE FF F9 23 39 40
-14 18 B2 49 04 42 B2 49 FA 40 B2 49 02 40 B2 49
-22 42 B2 49 F0 FF B2 49 0A 18 B2 49 0C 18 B7 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 10 29 83
-89 43 00 1C FC 23 B2 D3 06 02 B2 40 FC FF 02 02
-B2 43 26 02 B2 D3 22 02 E2 D2 25 02 B2 43 42 02
-B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43 26 03
-F2 D3 22 03 F2 40 A5 00 61 01 82 43 62 01 82 43
-66 01 B2 40 33 00 64 01 D2 43 61 01 39 40 40 00
-18 42 00 18 18 83 FE 23 19 83 FA 23 F2 D0 10 00
-2A 03 F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 B2 42
-B0 01 1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12
-F8 40 20 42 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E
-1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
-2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D
-84 12 8C 45 F4 45 50 44 34 40 94 4F B0 46 34 40
-AE 4F A8 4F 96 4F 3C 4E 3C 80 87 12 05 24 1C 53
-02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 B0 4F
-B2 41 C4 1D 3E 41 84 12 0A 40 2B 00 8C 45 F4 45
-50 44 34 40 CC 4F B0 46 34 40 82 48 1A 44 8C 45
-B0 46 34 40 82 48 D8 4F 3E 5F E7 3F 32 B0 00 02
-01 24 3E 4F 30 41 3E 40 28 00 B0 12 78 4F B0 12
-DC 4F 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40
-29 00 1C 15 92 92 C0 1D C4 1D 02 20 30 40 E0 49
-12 12 C4 1D 92 53 C4 1D 84 12 8C 45 B0 46 34 40
-2E 50 24 50 21 53 3E 90 10 00 84 2D BE 2B 30 50
-B2 41 C4 1D BA 3F 0D 12 84 12 84 48 54 4F 40 50
-0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90
-23 00 29 20 92 53 C4 1D B0 12 78 4F B0 12 DC 4F
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 09 20 3C 40 10 02
-92 53 C4 1D B0 12 78 4F B0 12 DC 4F EB 3F 7A 90
-40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12 02 50
-0C 20 3C 50 10 00 3E 40 2B 00 B0 12 02 50 92 92
-C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E D8 3F
-B0 12 02 50 FA 23 3C 50 10 00 B0 12 E6 4F EF 3F
-0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 84 48
-54 4F 14 51 FE 90 26 00 00 00 3E 40 20 00 03 20
-3C 50 82 00 C5 3F B0 12 02 50 E0 23 3C 50 80 00
-B0 12 E6 4F DB 3F 00 00 04 52 45 54 49 00 0D 12
-84 12 0A 40 00 13 BA 47 C0 44 0A 40 2C 00 36 50
-0A 51 54 51 09 4B 2E 4E 0E DC A0 3F 3A 4B 03 4D
-4F 56 85 12 4A 51 00 40 5E 51 05 4D 4F 56 2E 42
-85 12 4A 51 40 40 00 00 03 41 44 44 85 12 4A 51
-00 50 78 51 05 41 44 44 2E 42 85 12 4A 51 40 50
-84 51 04 41 44 44 43 00 85 12 4A 51 00 60 92 51
-06 41 44 44 43 2E 42 00 85 12 4A 51 40 60 38 51
-04 53 55 42 43 00 85 12 4A 51 00 70 B0 51 06 53
-55 42 43 2E 42 00 85 12 4A 51 40 70 BE 51 03 53
-55 42 85 12 4A 51 00 80 CE 51 05 53 55 42 2E 42
-85 12 4A 51 40 80 16 4B 03 43 4D 50 85 12 4A 51
-00 90 E8 51 05 43 4D 50 2E 42 85 12 4A 51 40 90
-02 4B 04 44 41 44 44 00 85 12 4A 51 00 A0 02 52
-06 44 41 44 44 2E 42 00 85 12 4A 51 40 A0 F4 51
-03 42 49 54 85 12 4A 51 00 B0 20 52 05 42 49 54
-2E 42 85 12 4A 51 40 B0 2C 52 03 42 49 43 85 12
-4A 51 00 C0 3A 52 05 42 49 43 2E 42 85 12 4A 51
-40 C0 46 52 03 42 49 53 85 12 4A 51 00 D0 54 52
-05 42 49 53 2E 42 85 12 4A 51 40 D0 00 00 03 58
-4F 52 85 12 4A 51 00 E0 6E 52 05 58 4F 52 2E 42
-85 12 4A 51 40 E0 A0 51 03 41 4E 44 85 12 4A 51
-00 F0 88 52 05 41 4E 44 2E 42 85 12 4A 51 40 F0
-84 48 36 50 A6 52 0A 4C 3C F0 70 00 8A 10 3A F0
-0F 00 0C DA 4F 3F DA 51 03 52 52 43 85 12 A0 52
-00 10 B8 52 05 52 52 43 2E 42 85 12 A0 52 40 10
-C4 52 04 53 57 50 42 00 85 12 A0 52 80 10 D2 52
-03 52 52 41 85 12 A0 52 00 11 E0 52 05 52 52 41
-2E 42 85 12 A0 52 40 11 EC 52 03 53 58 54 85 12
-A0 52 80 11 00 00 04 50 55 53 48 00 85 12 A0 52
-00 12 06 53 06 50 55 53 48 2E 42 00 85 12 A0 52
-40 12 60 52 04 43 41 4C 4C 00 85 12 A0 52 80 12
-1A 53 0E 4A 0D 12 84 12 36 45 14 40 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 36 41 FA 52 03 53
-3E 3D 86 12 00 38 4E 53 02 53 3C 00 86 12 00 34
-14 53 03 30 3E 3D 86 12 00 30 62 53 02 30 3C 00
-86 12 00 30 00 00 02 55 3C 00 86 12 00 2C 76 53
-03 55 3E 3D 86 12 00 28 6C 53 03 30 3C 3E 86 12
-00 24 8A 53 02 30 3D 00 86 12 00 20 00 00 02 49
-46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A
-30 4D 80 53 04 54 48 45 4E 00 1A 42 C6 1D 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
-88 DA 00 00 30 4D 10 52 04 45 4C 53 45 00 1A 42
-C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A
-00 00 E3 3F 24 53 05 42 45 47 49 4E 30 40 28 40
-B4 53 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D 94 52
-05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
-05 57 48 49 4C 45 0D 12 84 12 A2 53 1A 44 C0 44
-58 53 06 52 45 50 45 41 54 00 0D 12 84 12 36 54
-BA 53 C0 44 66 54 3D 41 08 4E 3E 4F 2A 48 B2 92
-C4 1D CB 2F 98 42 C6 1D 00 00 30 4D F6 53 03 42
-57 31 85 12 64 54 00 00 7E 54 03 42 57 32 85 12
-64 54 00 00 8A 54 03 42 57 33 85 12 64 54 00 00
-A2 54 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B
-BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D
-00 00 03 46 57 31 85 12 A0 54 00 00 C2 54 03 46
-57 32 85 12 A0 54 00 00 CE 54 03 46 57 33 85 12
-A0 54 00 00 00 00 05 3F 47 4F 54 4F 3E 90 00 30
-07 24 3E E0 00 04 3E B0 00 10 02 24 3E E0 00 08
-0D 12 84 12 F2 48 5E 48 C0 44 DA 54 04 47 4F 54
-4F 00 2F 83 8F 4E 00 00 3E 40 00 3C F1 3F 84 48
-54 4F 24 55 92 53 C4 1D 3E 40 2C 00 84 12 8C 45
-B0 46 34 40 82 48 00 51 3A 55 0A 4E 3E 4F 1A 83
-F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
-08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
-8A 10 5A 06 A6 3E 52 54 04 52 52 43 4D 00 85 12
-1E 55 50 00 68 55 04 52 52 41 4D 00 85 12 1E 55
-50 01 76 55 04 52 4C 41 4D 00 85 12 1E 55 50 02
-84 55 04 52 52 55 4D 00 85 12 1E 55 50 03 94 53
-05 50 55 53 48 4D 85 12 1E 55 00 15 A0 55 04 50
-4F 50 4D 00 85 12 1E 55 00 17 92 55 06 52 52 43
-4D 2E 41 00 85 12 1E 55 40 00 BC 55 06 52 52 41
-4D 2E 41 00 85 12 1E 55 40 01 CC 55 06 52 4C 41
-4D 2E 41 00 85 12 1E 55 40 02 DC 55 06 52 52 55
-4D 2E 41 00 85 12 1E 55 40 03 AE 55 07 50 55 53
-48 4D 2E 41 85 12 1E 55 00 14 FC 55 06 50 4F 50
-4D 2E 41 00 85 12 1E 55 00 16 96 54 05 43 41 4C
-4C 41 0D 12 84 12 84 48 54 4F 2C 56 1B 42 C6 1D
-A2 53 C6 1D 6E 4E 3C 40 34 01 7E 90 52 00 0B 20
-7E 40 20 00 B0 12 02 50 5C 0E 0C DE 8B 4C 00 00
-3E 4F 3D 41 30 4D 2C 53 7E 90 40 00 0B 20 92 53
-C4 1D 7E 40 20 00 B0 12 02 50 EE 23 1C 53 3E 40
-2B 00 E8 3F A2 53 C6 1D 7E 90 23 00 09 20 3C 40
-3B 01 92 53 C4 1D B0 12 78 4F BB 4F 02 00 DC 3F
-7E 90 26 00 02 20 2C 53 F4 3F 7E 40 28 00 1C 83
-B0 12 78 4F BB 4F 02 00 3E 40 29 00 CB 3F 0D 12
-84 12 84 48 54 4F B8 56 69 4E 3E 4F 3C 4F 2C 4C
-1B 42 C6 1D A2 53 C6 1D 79 90 52 00 0A 20 B0 12
-02 50 5E 0E 5E 0E 0E DC 8B 4E 00 00 0E 4B 3D 41
-30 4D 79 90 23 00 0D 20 3C C0 40 00 92 53 C4 1D
-A2 53 C6 1D B0 12 78 4F BB 4F 02 00 3E F0 0F 00
-E8 3F 79 90 26 00 03 20 3C E0 E0 00 EF 3F 3C C0
-F0 00 79 90 40 00 12 20 92 53 C4 1D B0 12 02 50
-D8 23 3C D0 10 00 3E 40 2B 00 B0 12 02 50 92 92
-C0 1D C4 1D CE 27 92 53 C4 1D CB 3F 3C D0 30 00
-A2 53 C6 1D 3E 40 28 00 B0 12 78 4F BB 4F 02 00
-3E 40 29 00 EA 3F 0D 12 84 12 84 48 54 4F 60 57
-3B 4F 2C 4B 69 4E 7E 40 20 00 79 90 52 00 03 20
-B0 12 02 50 B0 3F 3C C0 F0 00 A2 53 C6 1D 79 90
-26 00 09 20 3C D0 60 00 92 53 C4 1D B0 12 78 4F
-BB 4F 02 00 A0 3F 3C D0 70 00 3E 40 28 00 B0 12
-78 4F BB 4F 02 00 3E 40 29 00 E2 3F 0A 40 2C 00
-AE 56 56 57 E4 43 C0 44 6A 51 04 4D 4F 56 41 00
-85 12 AC 57 C0 00 1C 56 04 43 4D 50 41 00 85 12
-AC 57 D0 00 30 54 04 41 44 44 41 00 85 12 AC 57
-E0 00 EC 55 04 53 55 42 41 00 85 12 AC 57 F0 00
-0D 12 84 12 84 48 54 4F FA 57 69 4E 3E 4F 3C 40
-00 18 79 90 52 00 05 20 B0 12 02 50 0E 4C 3D 41
-30 4D 82 43 84 5C 79 90 23 00 0B 20 92 53 C4 1D
-B0 12 78 4F 2F 53 3E F0 0F 00 5E 0A 5E 0E 0C DE
-ED 3F 79 90 26 00 F2 27 79 90 40 00 12 20 92 53
-C4 1D B0 12 02 50 E2 23 3E 40 2B 00 92 53 C4 1D
-B0 12 02 50 92 92 C0 1D C4 1D D8 27 92 53 C4 1D
-D5 3F 3E 40 28 00 B0 12 78 4F 8F 4E 00 00 3E 40
-29 00 B0 12 02 50 3E 4F 3E F0 0F 00 0C DE EA 3F
-0D 12 84 12 84 48 54 4F 8A 58 3C 4F 69 4E 3E 40
-20 00 79 90 52 00 BA 27 82 43 84 5C 79 90 26 00
-08 20 92 53 C4 1D B0 12 78 4F 2F 53 3E F0 0F 00
-BE 3F 3E 40 28 00 B0 12 78 4F F7 3F B2 4F C4 1D
-1B 42 C6 1D A2 53 C6 1D 0C 4E 3E 4F 1C D2 84 5C
-82 43 84 5C 3C DE 8B 4C 00 00 30 4D 0A 40 C4 1D
-40 44 0A 40 2C 00 F0 57 80 58 BC 58 3A 40 4A 51
-BA 57 04 4D 4F 56 58 00 85 12 DC 58 40 00 00 40
-F2 58 06 4D 4F 56 58 2E 41 00 85 12 DC 58 00 00
-40 40 02 59 06 4D 4F 56 58 2E 42 00 85 12 DC 58
-40 00 40 40 D6 57 04 41 44 44 58 00 85 12 DC 58
-40 00 00 50 26 59 06 41 44 44 58 2E 41 00 85 12
-DC 58 00 00 40 50 36 59 06 41 44 44 58 2E 42 00
-85 12 DC 58 40 00 40 50 48 59 05 41 44 44 43 58
-85 12 DC 58 40 00 00 60 5A 59 07 41 44 44 43 58
-2E 41 85 12 DC 58 00 00 40 60 6A 59 07 41 44 44
-43 58 2E 42 85 12 DC 58 40 00 40 60 E4 57 05 53
-55 42 43 58 85 12 DC 58 40 00 00 70 8E 59 07 53
-55 42 43 58 2E 41 85 12 DC 58 00 00 40 70 9E 59
-07 53 55 42 43 58 2E 42 85 12 DC 58 40 00 40 70
-B0 59 04 53 55 42 58 00 85 12 DC 58 40 00 00 80
-C2 59 06 53 55 42 58 2E 41 00 85 12 DC 58 00 00
-40 80 D2 59 06 53 55 42 58 2E 42 00 85 12 DC 58
-40 00 40 80 C8 57 04 43 4D 50 58 00 85 12 DC 58
-40 00 00 90 F6 59 06 43 4D 50 58 2E 41 00 85 12
-DC 58 00 00 40 90 06 5A 06 43 4D 50 58 2E 42 00
-85 12 DC 58 40 00 40 90 D8 53 05 44 41 44 44 58
-85 12 DC 58 40 00 00 A0 2A 5A 07 44 41 44 44 58
-2E 41 85 12 DC 58 00 00 40 A0 3A 5A 07 44 41 44
-44 58 2E 42 85 12 DC 58 40 00 40 A0 18 5A 04 42
-49 54 58 00 85 12 DC 58 40 00 00 B0 5E 5A 06 42
-49 54 58 2E 41 00 85 12 DC 58 00 00 40 B0 6E 5A
-06 42 49 54 58 2E 42 00 85 12 DC 58 40 00 40 B0
-80 5A 04 42 49 43 58 00 85 12 DC 58 40 00 00 C0
-92 5A 06 42 49 43 58 2E 41 00 85 12 DC 58 00 00
-40 C0 A2 5A 06 42 49 43 58 2E 42 00 85 12 DC 58
-40 00 40 C0 B4 5A 04 42 49 53 58 00 85 12 DC 58
-40 00 00 D0 C6 5A 06 42 49 53 58 2E 41 00 85 12
-DC 58 00 00 40 D0 D6 5A 06 42 49 53 58 2E 42 00
-85 12 DC 58 40 00 40 D0 7A 52 04 58 4F 52 58 00
-85 12 DC 58 40 00 00 E0 FA 5A 06 58 4F 52 58 2E
-41 00 85 12 DC 58 00 00 40 E0 0A 5B 06 58 4F 52
-58 2E 42 00 85 12 DC 58 40 00 40 E0 7C 59 04 41
-4E 44 58 00 85 12 DC 58 40 00 00 F0 2E 5B 06 41
-4E 44 58 2E 41 00 85 12 DC 58 00 00 40 F0 3E 5B
-06 41 4E 44 58 2E 42 00 85 12 DC 58 40 00 40 F0
-0A 40 C4 1D 40 44 84 48 F0 57 BC 58 3A 40 A0 52
-E4 59 04 52 52 43 58 00 85 12 60 5B 40 00 00 10
-72 5B 06 52 52 43 58 2E 41 00 85 12 60 5B 00 00
-40 10 82 5B 06 52 52 43 58 2E 42 00 85 12 60 5B
-40 00 40 10 94 5B 04 52 52 55 58 00 85 12 60 5B
-40 01 00 10 A6 5B 06 52 52 55 58 2E 41 00 85 12
-60 5B 00 01 40 10 B6 5B 06 52 52 55 58 2E 42 00
-85 12 60 5B 40 01 40 10 C8 5B 05 53 57 50 42 58
-85 12 60 5B 40 00 80 10 DA 5B 07 53 57 50 42 58
-2E 41 85 12 60 5B 00 00 80 10 EA 5B 04 52 52 41
-58 00 85 12 60 5B 40 00 00 11 FC 5B 06 52 52 41
-58 2E 41 00 85 12 60 5B 00 00 40 11 0C 5C 06 52
-52 41 58 2E 42 00 85 12 60 5B 40 00 40 11 1E 5C
-04 53 58 54 58 00 85 12 60 5B 40 00 80 11 30 5C
-06 53 58 54 58 2E 41 00 85 12 60 5B 00 00 80 11
-0C 56 05 50 55 53 48 58 85 12 60 5B 40 00 00 12
-52 5C 07 50 55 53 48 58 2E 41 85 12 60 5B 00 00
-40 12 62 5C 07 50 55 53 48 58 2E 42 85 12 60 5B
-40 00 40 12 00 00 40 5C 03 52 50 54 0D 12 84 12
-84 48 54 4F 96 5C 29 4E 7E 40 20 00 79 90 52 00
-06 20 B0 12 02 50 03 24 3E D0 80 00 05 3C B0 12
-78 4F 1E 83 3E F0 0F 00 82 4E 84 5C 3E 4F 3D 41
-30 4D D2 C3 23 02 E2 B2 60 02 02 24 30 40 02 42
-1A 52 04 20 19 62 06 20 92 43 14 20 A2 93 02 20
-07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C
-C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10
-C2 49 18 20 B0 12 4A 5D 5A 53 FC 23 39 40 05 00
-D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3 6C 06
-FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30 F2 43
-4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27 5A 92
-4C 06 F3 23 30 41 1A 43 E1 3F 19 43 3A 43 8A 10
-C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27
-C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41 88 5C
-08 52 45 41 44 5F 53 57 58 00 1C D3 F2 40 51 00
-19 20 B0 12 C2 5C 38 20 B0 12 4A 5D 6A 53 04 24
-FB 23 D9 42 4C 06 FF 1D F2 43 4E 06 03 43 19 53
-39 90 01 02 F6 23 F2 43 4E 06 3C C0 03 00 D2 D3
-23 02 30 41 40 54 09 57 52 49 54 45 5F 53 57 58
-2C D3 F0 40 58 00 53 C2 B0 12 C2 5C 15 20 3A 40
-FE FF 29 43 B0 12 4E 5D D2 49 00 1E 4E 06 03 43
-19 53 39 90 00 02 F8 23 39 40 03 00 B0 12 4C 5D
-7A C0 E1 00 6A 92 D9 27 8C 10 1C 52 4C 06 D2 D3
-23 02 0D 12 84 12 34 43 14 40 0B 3C 20 53 44 20
-45 72 72 6F 72 21 18 5E 2F 83 8F 4E 00 00 B2 40
-10 00 DC 1D 0E 4C 84 12 00 45 36 41 B0 12 9C 41
-0E 93 9C 24 E2 B2 60 02 99 20 B2 40 81 A9 40 06
-B2 40 03 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02
-92 C3 40 06 39 42 B0 12 4C 5D D2 C3 23 02 2C 42
-B2 40 95 00 14 20 B2 40 00 40 18 20 B0 12 46 5D
-02 24 30 40 F8 5D B0 12 4A 5D 7A 93 FC 23 B2 40
-87 AA 14 20 92 43 16 20 B2 40 00 48 18 20 B0 12
-46 5D 29 42 B0 12 4C 5D 92 43 14 20 82 43 16 20
-78 43 3C 42 B2 40 00 77 18 20 B0 12 46 5D B2 40
-40 69 18 20 B0 12 04 5D 03 24 58 83 F3 23 D9 3F
-0C 5C A2 43 16 20 B2 40 00 50 18 20 B0 12 04 5D
-D0 23 92 D3 40 06 82 43 46 06 92 C3 40 06 09 43
-B0 12 7A 5D 38 40 00 1E 92 48 C6 01 04 20 92 48
-C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80 06 00
-0F 24 7A 82 0D 24 A2 43 02 20 6A 53 09 24 5A 53
-07 24 6A 52 05 24 3A 50 0B 20 0C 4A 30 40 FE 5D
-09 43 B0 12 7A 5D D2 48 0D 00 12 20 19 48 0E 00
-82 49 08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00
-82 4A 0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20
-04 24 82 49 0E 20 39 50 20 00 19 82 12 20 19 82
-12 20 82 49 10 20 92 42 02 20 2C 20 30 41 B0 12
-AA 40 39 40 E0 00 29 83 89 43 38 20 FC 23 82 43
-32 20 30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20
-5A 42 23 20 58 42 22 20 92 93 02 20 08 24 59 42
-24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58
-30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20
-03 20 92 93 22 20 14 24 92 42 22 20 D0 04 92 42
-24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20
-92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20
-30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12
-B2 5F 5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41
-09 93 07 24 F8 90 20 00 00 1E 03 20 18 53 19 83
-F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90 00 02
-20 20 AB 20 BB 80 00 02 12 00 8B 73 14 00 DB 53
-03 00 DB 92 12 20 03 00 14 28 CB 43 03 00 B0 12
-84 5F 1A 52 08 20 09 43 B0 12 7A 5D 8B 43 10 00
-9B 48 00 1E 0E 00 92 93 02 20 03 24 9B 48 02 1E
-10 00 B2 40 00 02 20 20 8B 93 14 00 0B 20 92 9B
-12 00 1E 20 82 2C BB 90 00 02 12 00 03 2C 92 4B
-12 00 20 20 B0 12 F2 5F 1A 42 1A 20 19 42 1C 20
-6C 3E 3C 42 3B 40 38 20 09 43 CB 93 02 00 10 24
-9B 92 24 20 0C 00 04 20 9B 92 22 20 0A 00 07 24
-09 4B 3B 50 1C 00 3B 90 18 21 EF 23 0C 5C 30 41
-0C 43 82 4B 32 20 8B 49 00 00 09 93 0A 24 99 52
-C4 1D 16 00 4A 93 05 34 C9 93 02 00 02 34 5A 59
-02 00 CB 4A 02 00 CB 43 03 00 9B 42 1A 20 04 00
-9B 42 1C 20 06 00 18 42 30 20 8B 48 08 00 9B 48
-1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00
-9B 48 14 1E 10 00 9B 48 1C 1E 12 00 9B 48 1E 1E
-14 00 82 43 1E 20 6A 93 5C 27 C9 37 8B 43 16 00
-7A 93 02 24 07 38 95 3F B2 40 1C 21 CA 40 B2 40
-56 43 7A 42 9B 42 C0 1D 18 00 9B 82 C4 1D 18 00
-9B 42 C2 1D 1A 00 9B 52 C4 1D 1A 00 82 3F CB 43
-02 00 2B 4B 82 4B 32 20 0B 93 06 24 92 4B 16 00
-1E 20 B0 12 72 60 22 C3 30 41 1B 42 32 20 0B 93
-FB 27 EB 93 02 00 04 20 B0 12 48 66 B0 12 10 66
-CB 93 02 00 E4 37 1E 4B 18 00 9F 4B 1A 00 00 00
-31 50 06 00 3D 41 B0 12 6E 61 02 24 30 40 4A 43
-B2 40 3C 1D CA 40 B2 40 7C 42 7A 42 30 40 34 43
-48 4E 85 52 45 41 44 22 5A 43 19 3C BC 4C 86 57
-52 49 54 45 22 00 6A 43 12 3C B0 4D 84 44 45 4C
-22 00 6A 42 0C 3C D0 4A 05 43 4C 4F 53 45 B0 12
-8A 61 30 4D 6A 4C 85 4C 4F 41 44 22 7A 43 2F 83
-8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24 0D 12 84 12
-0A 40 0A 40 BA 47 BA 47 44 45 0A 40 42 62 BA 47
-C0 44 0D 12 84 12 0A 40 22 00 8C 45 1A 48 40 62
-3D 41 36 4F 0E 56 82 4E 36 20 1C 43 92 42 2C 20
-22 20 92 42 2E 20 24 20 0E 96 8D 24 F6 90 3A 00
-01 00 01 20 26 53 F6 90 5C 00 00 00 08 20 16 53
-92 42 02 20 22 20 82 43 24 20 0E 96 70 24 82 46
-34 20 B0 12 B2 5F 35 40 20 00 A2 93 02 20 04 24
-92 92 22 20 02 20 02 24 15 42 12 20 B0 12 98 60
-2C 43 0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93
-00 1E 61 24 39 42 F8 96 00 1E 04 20 18 53 19 83
-FA 23 16 53 F6 90 2E 00 FF FF 19 24 39 50 03 00
-B0 12 10 60 06 20 F6 90 5C 00 FF FF 29 24 0E 96
-27 28 16 42 34 20 1A 53 3A 90 10 00 DB 23 92 53
-1A 20 82 63 1C 20 15 83 D1 23 2C 42 3C 3C F6 90
-2E 00 FE FF EE 27 B0 12 10 60 EB 23 39 40 03 00
-F8 96 00 1E 04 20 18 53 19 83 FA 23 09 3C 0E 96
-E0 2F F6 90 5C 00 FF FF DC 23 B0 12 10 60 D9 23
-18 42 30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20
-F8 B0 10 00 0B 1E 14 24 82 93 24 20 06 20 82 93
-22 20 03 20 92 42 02 20 22 20 0E 96 8E 2F 92 42
-22 20 2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C
-2A 4F B0 12 A2 60 35 40 D4 40 36 40 E2 40 3A 4F
-3E 4F 0A 93 04 24 7A 93 39 20 0C 93 01 20 30 4D
-0D 12 84 12 34 43 14 40 0B 3C 20 4F 70 65 6E 45
-72 72 6F 72 3A 40 16 5E F8 42 01 2B 3E 5F 30 4D
-F8 61 04 42 4F 4F 54 00 39 40 2C 5E 2E 93 01 2C
-30 41 E2 B2 60 02 02 24 10 49 02 00 89 12 3F 40
-7E 1C 8F 43 00 00 82 43 BE 1D B2 40 00 1C 00 1C
-31 40 E0 1C 84 12 14 40 0F 4C 4F 41 44 22 20 42
-4F 4F 54 2E 34 54 48 22 3A 40 A2 48 1A 93 BB 20
-0C 93 C6 23 30 4D D2 61 04 52 45 41 44 00 2F 83
-8F 4E 00 00 1E 42 32 20 B0 12 24 60 1E 82 32 20
-30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41
-82 9A 0A 20 A6 24 1A 52 08 20 09 43 B0 12 7A 5D
-09 43 28 93 03 24 89 93 02 1E 03 20 89 93 00 1E
-07 24 09 58 39 90 00 02 F4 23 91 53 00 00 E7 3F
-0C 43 6A 41 B9 43 00 1E 28 93 0F 24 B9 40 FF 0F
-02 1E 09 11 8A 10 09 5A 5A 41 01 00 0A 11 09 10
-82 4A 28 20 82 49 26 20 07 3C 09 11 C2 49 26 20
-C2 4A 27 20 82 43 28 20 3A 41 82 4A 2A 20 30 41
-0A 12 1A 52 08 20 09 43 B0 12 C0 5D 3A 41 1A 52
-0C 20 09 43 B0 12 C0 5D F2 B0 40 00 A2 04 29 20
-F2 B0 10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42
-B4 04 F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52
-E4 04 D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04
-C0 04 B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04
-C0 04 B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52
-E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B
-5C 5D 7C 2E 29 92 06 38 39 80 03 00 B0 12 64 65
-39 40 03 00 7A 4B C8 4A 00 1E 82 9B 36 20 12 28
-0D 12 3D 40 0F 00 3C 40 14 65 7A 9C F3 27 1D 83
-FC 23 3D 41 6A 9C E6 27 3A 80 21 00 EB 3B 18 53
-19 83 E8 23 09 93 06 24 F8 40 20 00 00 1E 18 53
-19 83 FA 23 30 41 2A 93 DC 20 2C 93 0E 24 0C 93
-AB 24 0D 12 84 12 14 40 0C 3C 20 57 72 69 74 65
-45 72 72 6F 72 00 3A 40 16 5E B0 12 22 64 92 42
-26 20 22 20 92 42 28 20 24 20 B0 12 A0 64 B0 12
-98 60 18 42 30 20 F8 40 20 00 0B 1E B0 12 B8 64
-88 43 0C 1E 88 4A 0E 1E 88 49 10 1E 88 49 12 1E
-98 42 24 20 14 1E 98 42 22 20 1A 1E 88 43 1C 1E
-88 43 1E 1E 1C 43 1B 42 34 20 82 9B 36 20 C9 27
-FB 90 2E 00 00 00 C5 27 39 40 0B 00 B0 12 34 65
-B0 12 52 66 2A 43 B0 12 A2 60 0C 93 BA 23 30 4D
-1A 4B 04 00 19 4B 06 00 B0 12 7A 5D B0 12 B8 64
-18 4B 08 00 88 49 12 1E 88 4A 16 1E 88 49 18 1E
-98 4B 12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00
-19 4B 06 00 30 40 C0 5D 9B 52 1E 20 12 00 8B 63
-14 00 1A 42 1A 20 19 42 1C 20 30 40 C0 5D B2 40
-00 02 1E 20 1B 42 32 20 B0 12 48 66 82 43 1E 20
-DB 53 03 00 DB 92 12 20 03 00 25 20 CB 43 03 00
-B0 12 84 5F 08 12 0A 12 B0 12 22 64 2A 91 08 24
-B0 12 A0 64 2A 41 1A 52 08 20 09 43 B0 12 7A 5D
-3A 41 38 41 98 42 26 20 00 1E 92 93 02 20 03 24
-98 42 28 20 02 1E B0 12 A0 64 9B 42 26 20 0E 00
-9B 42 28 20 10 00 30 40 F2 5F DE 61 05 57 52 49
-54 45 B0 12 5E 66 30 4D 58 4B 13 00 59 4B 14 00
-89 10 09 58 58 4B 15 00 5B 42 12 20 0A 43 3C 42
-08 11 09 10 4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83
-FD 37 1B 42 32 20 19 5B 0A 00 18 6B 0C 00 8B 49
-0E 00 8B 48 10 00 CB 4A 03 00 1A 4B 12 00 BB C0
-FF 01 12 00 3A F0 FF 01 82 4A 1E 20 B0 12 94 60
-30 4D 0C 93 3B 20 38 90 E0 01 03 2C C8 93 20 1E
-02 24 7C 40 E5 00 C8 4C 00 1E B0 12 52 66 B0 12
-90 5F 82 4A 2A 20 0B 4A 1A 52 08 20 09 43 B0 12
-7A 5D 1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24
-19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F
-02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12
-90 5F 0B 9A E6 27 0A 12 0A 4B B0 12 A0 64 3A 41
-DA 3F 0A 4B B0 12 A0 64 B0 12 8A 61 30 4D FC 44
-08 54 45 52 4D 32 53 44 22 00 0D 12 84 12 F2 61
-0A 40 02 00 28 40 1A 48 42 62 CC 67 3D 41 0A 43
-B0 12 C6 41 92 B3 DC 05 FD 27 59 42 CC 05 C2 49
-CE 05 69 92 0D 24 CA 49 00 1E 1A 53 3A 90 FF 01
-F1 2B 03 24 B0 12 5E 66 EA 3F B0 12 C8 41 EA 3F
-B0 12 C8 41 82 4A 1E 20 B0 12 8A 61 30 4D
+82 43 7C 5C 0D 12 84 12 5A 4D 2C 4D C0 44 90 4A
+07 48 44 4E 43 4F 44 45 B2 40 30 4D DA 1D EC 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 C8 49
+80 4D B4 4D C0 44 B2 4A 07 43 4F 44 45 4E 4E 4D
+30 12 BC 4A A6 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 80 4D B4 4D C0 44
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F D0 4A 85 48 49 32 4C 4F 0D 12 84 12 28 40
+94 4C BA 47 1C 49 C0 4A C0 44 46 4A 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 5C 4B 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 40 00 00 38 44 74 48 8C 45
+0A 48 00 44 34 40 F4 4B 0E 44 14 40 06 5B 54 48
+45 4E 5D 00 66 4B CE 4B 8A 4B AC 4B C0 44 0E 44
+14 40 06 5B 45 4C 53 45 5D 00 66 4B E4 4B 8A 4B
+AA 4B C0 44 14 40 04 5B 49 46 5D 00 66 4B AC 4B
+3A 40 AA 4B E2 43 14 40 05 0D 0A 6B 6F 20 BC 43
+BC 40 AC 40 3A 40 AC 4B 9A 4B 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 0A 4C 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 74 48 8C 45
+F4 45 18 4C C0 44 1E 4C 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 28 4C 50 44 C0 44 50 4C
+B2 4E 0A 18 B2 4E 0C 18 BE 12 3E 4F 3D 41 DB 3C
+CC 47 06 4D 41 52 4B 45 52 00 B0 12 72 49 BA 40
+85 12 FC FF BA 40 4E 4C FE FF 28 83 8A 48 00 00
+9A 42 C8 1D 02 00 BA 40 AA 40 04 00 B2 50 06 00
+C6 1D 9D 3E 2E 53 30 4D 6E 4A 05 44 4F 45 53 3E
+1A 42 BA 1D BA 40 85 12 00 00 8A 4D 02 00 3D 41
+30 4D 86 45 0A 56 4F 43 41 42 55 4C 41 52 59 00
+0D 12 84 12 34 4A 0A 40 10 00 0A 40 00 00 3E 40
+0A 40 00 00 BA 47 60 40 D0 4C 28 40 0A 40 C8 1D
+00 44 40 44 BA 47 48 44 A0 4C 0A 40 CA 1D 48 44
+C0 44 F0 48 05 46 4F 52 54 48 85 12 EA 4C 54 4D
+B0 63 E4 61 F4 4C 44 4B F8 42 FE 61 9A 4D 26 4E
+06 64 AE 67 CA 66 00 00 A2 63 2E 49 54 46 00 00
+D8 48 09 41 53 53 45 4D 42 4C 45 52 85 12 EA 4C
+48 5B E0 5A 44 5A 04 55 96 53 00 00 0C 59 00 00
+6C 5C 68 5D FA 53 AE 5D 14 5B 00 00 00 00 DE 54
+1E 4D 22 4D 04 41 4C 53 4F 00 3A 40 0C 00 39 40
+D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
+FA 23 30 4D 3A 49 08 50 52 45 56 49 4F 55 53 00
+3A 40 0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 72 45 04 4F 4E 4C 59 00
+82 43 CC 1D 30 4D 9A 4C 0B 44 45 46 49 4E 49 54
+49 4F 4E 53 92 42 CA 1D DA 1D 30 4D FA 4C A0 4D
+B4 4D C4 4D 3A 4E 82 4A C8 1D 2E 4E 82 4E C6 1D
+3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
+FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
+3E 4F 3D 41 30 4D 76 4D 09 50 57 52 5F 53 54 41
+54 45 85 12 BC 4D 50 4D 0C 68 40 45 09 52 53 54
+5F 53 54 41 54 45 92 42 0A 18 08 4E 92 42 0C 18
+06 4E EF 3F F8 4D 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 08 4E 92 42 C8 1D 06 4E 30 4D 0C 4E
+08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18
+92 42 C8 1D 0C 18 EC 3F 3E 90 0E 00 D2 27 2E 92
+DA 37 0E 93 CE 37 39 40 10 00 29 83 B9 43 80 FF
+FC 23 B9 40 A8 4E FE FF 29 83 B9 40 02 42 FE FF
+39 90 AE FF F9 23 39 40 14 18 B2 49 04 42 B2 49
+FA 40 B2 49 02 40 B2 49 22 42 B2 49 F0 FF B2 49
+0A 18 B2 49 0C 18 B7 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 10 29 83 89 43 00 1C FC 23 B2 D3
+06 02 B2 40 FC FF 02 02 B2 43 26 02 B2 D3 22 02
+E2 D2 25 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02
+B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40 A5 00
+61 01 82 43 62 01 82 43 66 01 B2 40 33 00 64 01
+D2 43 61 01 39 40 40 00 18 42 00 18 18 83 FE 23
+19 83 FA 23 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04
+F2 C0 40 00 A2 04 B2 42 B0 01 1E 42 08 18 82 43
+08 18 1E D2 9E 01 B0 12 F8 40 20 42 38 40 C0 1D
+0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24
+7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D
+1C 15 0E 12 12 12 C4 1D 84 12 8C 45 F4 45 50 44
+34 40 8C 4F B0 46 34 40 A6 4F A0 4F 8E 4F 3C 4E
+3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
+21 52 1B 17 30 41 A8 4F B2 41 C4 1D 3E 41 84 12
+0A 40 2B 00 8C 45 F4 45 50 44 34 40 C4 4F B0 46
+34 40 72 48 1A 44 8C 45 B0 46 34 40 72 48 D0 4F
+3E 5F E7 3F 32 B0 00 02 01 24 3E 4F 30 41 3E 40
+28 00 B0 12 70 4F B0 12 D4 4F 19 42 C6 1D A2 53
+C6 1D 89 4E 00 00 3E 40 29 00 1C 15 92 92 C0 1D
+C4 1D 02 20 30 40 E0 49 12 12 C4 1D 92 53 C4 1D
+84 12 8C 45 B0 46 34 40 26 50 1C 50 21 53 3E 90
+10 00 84 2D BE 2B 28 50 B2 41 C4 1D BA 3F 0D 12
+84 12 74 48 4C 4F 38 50 0C 43 1B 42 C6 1D A2 53
+C6 1D 6A 4E 3E 4F 7A 90 23 00 29 20 92 53 C4 1D
+B0 12 70 4F B0 12 D4 4F 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 09 20 3C 40 10 02 92 53 C4 1D B0 12 70 4F
+B0 12 D4 4F EB 3F 7A 90 40 00 16 20 3C 40 20 00
+92 53 C4 1D B0 12 FA 4F 0C 20 3C 50 10 00 3E 40
+2B 00 B0 12 FA 4F 92 92 C0 1D C4 1D 02 24 92 53
+C4 1D 8E 10 0C 5E D8 3F B0 12 FA 4F FA 23 3C 50
+10 00 B0 12 DE 4F EF 3F 0C 43 1B 42 C6 1D A2 53
+C6 1D 0D 12 84 12 74 48 4C 4F 0C 51 FE 90 26 00
+00 00 3E 40 20 00 03 20 3C 50 82 00 C5 3F B0 12
+FA 4F E0 23 3C 50 80 00 B0 12 DE 4F DB 3F 00 00
+04 52 45 54 49 00 0D 12 84 12 0A 40 00 13 BA 47
+C0 44 0A 40 2C 00 2E 50 02 51 4C 51 09 4B 2E 4E
+0E DC A0 3F 32 4B 03 4D 4F 56 85 12 42 51 00 40
+56 51 05 4D 4F 56 2E 42 85 12 42 51 40 40 00 00
+03 41 44 44 85 12 42 51 00 50 70 51 05 41 44 44
+2E 42 85 12 42 51 40 50 7C 51 04 41 44 44 43 00
+85 12 42 51 00 60 8A 51 06 41 44 44 43 2E 42 00
+85 12 42 51 40 60 30 51 04 53 55 42 43 00 85 12
+42 51 00 70 A8 51 06 53 55 42 43 2E 42 00 85 12
+42 51 40 70 B6 51 03 53 55 42 85 12 42 51 00 80
+C6 51 05 53 55 42 2E 42 85 12 42 51 40 80 08 4B
+03 43 4D 50 85 12 42 51 00 90 E0 51 05 43 4D 50
+2E 42 85 12 42 51 40 90 E2 4A 04 44 41 44 44 00
+85 12 42 51 00 A0 FA 51 06 44 41 44 44 2E 42 00
+85 12 42 51 40 A0 EC 51 03 42 49 54 85 12 42 51
+00 B0 18 52 05 42 49 54 2E 42 85 12 42 51 40 B0
+24 52 03 42 49 43 85 12 42 51 00 C0 32 52 05 42
+49 43 2E 42 85 12 42 51 40 C0 3E 52 03 42 49 53
+85 12 42 51 00 D0 4C 52 05 42 49 53 2E 42 85 12
+42 51 40 D0 00 00 03 58 4F 52 85 12 42 51 00 E0
+66 52 05 58 4F 52 2E 42 85 12 42 51 40 E0 98 51
+03 41 4E 44 85 12 42 51 00 F0 80 52 05 41 4E 44
+2E 42 85 12 42 51 40 F0 74 48 2E 50 9E 52 0A 4C
+3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F D2 51
+03 52 52 43 85 12 98 52 00 10 B0 52 05 52 52 43
+2E 42 85 12 98 52 40 10 BC 52 04 53 57 50 42 00
+85 12 98 52 80 10 CA 52 03 52 52 41 85 12 98 52
+00 11 D8 52 05 52 52 41 2E 42 85 12 98 52 40 11
+E4 52 03 53 58 54 85 12 98 52 80 11 00 00 04 50
+55 53 48 00 85 12 98 52 00 12 FE 52 06 50 55 53
+48 2E 42 00 85 12 98 52 40 12 58 52 04 43 41 4C
+4C 00 85 12 98 52 80 12 1A 53 0E 4A 0D 12 84 12
+36 45 14 40 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 36 41 F2 52 03 53 3E 3D 86 12 00 38 46 53
+02 53 3C 00 86 12 00 34 0C 53 03 30 3E 3D 86 12
+00 30 5A 53 02 30 3C 00 86 12 00 30 00 00 02 55
+3C 00 86 12 00 2C 6E 53 03 55 3E 3D 86 12 00 28
+64 53 03 30 3C 3E 86 12 00 24 82 53 02 30 3D 00
+86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E
+00 00 A2 53 C6 1D 0E 4A 30 4D 78 53 04 54 48 45
+4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 08 52
+04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00
+A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 1C 53 05 42
+45 47 49 4E 30 40 28 40 AC 53 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11
+3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C6 1D 30 4D 8C 52 05 41 47 41 49 4E 0A 4E
+38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
+84 12 9A 53 1A 44 C0 44 50 53 06 52 45 50 45 41
+54 00 0D 12 84 12 2E 54 B2 53 C0 44 5E 54 3D 41
+08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D
+00 00 30 4D EE 53 03 42 57 31 85 12 5C 54 00 00
+76 54 03 42 57 32 85 12 5C 54 00 00 82 54 03 42
+57 33 85 12 5C 54 00 00 9A 54 3D 41 1A 42 C6 1D
+28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D
+8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
+98 54 00 00 BA 54 03 46 57 32 85 12 98 54 00 00
+C6 54 03 46 57 33 85 12 98 54 00 00 00 00 05 3F
+47 4F 54 4F 3E 90 00 30 07 24 3E E0 00 04 3E B0
+00 10 02 24 3E E0 00 08 0D 12 84 12 F2 48 4E 48
+C0 44 D2 54 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
+3E 40 00 3C F1 3F 74 48 4C 4F 1C 55 92 53 C4 1D
+3E 40 2C 00 84 12 8C 45 B0 46 34 40 72 48 F8 50
+32 55 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
+08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
+5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 4A 54
+04 52 52 43 4D 00 85 12 16 55 50 00 60 55 04 52
+52 41 4D 00 85 12 16 55 50 01 6E 55 04 52 4C 41
+4D 00 85 12 16 55 50 02 7C 55 04 52 52 55 4D 00
+85 12 16 55 50 03 8C 53 05 50 55 53 48 4D 85 12
+16 55 00 15 98 55 04 50 4F 50 4D 00 85 12 16 55
+00 17 8A 55 06 52 52 43 4D 2E 41 00 85 12 16 55
+40 00 B4 55 06 52 52 41 4D 2E 41 00 85 12 16 55
+40 01 C4 55 06 52 4C 41 4D 2E 41 00 85 12 16 55
+40 02 D4 55 06 52 52 55 4D 2E 41 00 85 12 16 55
+40 03 A6 55 07 50 55 53 48 4D 2E 41 85 12 16 55
+00 14 F4 55 06 50 4F 50 4D 2E 41 00 85 12 16 55
+00 16 8E 54 05 43 41 4C 4C 41 0D 12 84 12 74 48
+4C 4F 24 56 1B 42 C6 1D A2 53 C6 1D 6E 4E 3C 40
+34 01 7E 90 52 00 0B 20 7E 40 20 00 B0 12 FA 4F
+5C 0E 0C DE 8B 4C 00 00 3E 4F 3D 41 30 4D 2C 53
+7E 90 40 00 0B 20 92 53 C4 1D 7E 40 20 00 B0 12
+FA 4F EE 23 1C 53 3E 40 2B 00 E8 3F A2 53 C6 1D
+7E 90 23 00 09 20 3C 40 3B 01 92 53 C4 1D B0 12
+70 4F BB 4F 02 00 DC 3F 7E 90 26 00 02 20 2C 53
+F4 3F 7E 40 28 00 1C 83 B0 12 70 4F BB 4F 02 00
+3E 40 29 00 CB 3F 0D 12 84 12 74 48 4C 4F B0 56
+69 4E 3E 4F 3C 4F 2C 4C 1B 42 C6 1D A2 53 C6 1D
+79 90 52 00 0A 20 B0 12 FA 4F 5E 0E 5E 0E 0E DC
+8B 4E 00 00 0E 4B 3D 41 30 4D 79 90 23 00 0D 20
+3C C0 40 00 92 53 C4 1D A2 53 C6 1D B0 12 70 4F
+BB 4F 02 00 3E F0 0F 00 E8 3F 79 90 26 00 03 20
+3C E0 E0 00 EF 3F 3C C0 F0 00 79 90 40 00 12 20
+92 53 C4 1D B0 12 FA 4F D8 23 3C D0 10 00 3E 40
+2B 00 B0 12 FA 4F 92 92 C0 1D C4 1D CE 27 92 53
+C4 1D CB 3F 3C D0 30 00 A2 53 C6 1D 3E 40 28 00
+B0 12 70 4F BB 4F 02 00 3E 40 29 00 EA 3F 0D 12
+84 12 74 48 4C 4F 58 57 3B 4F 2C 4B 69 4E 7E 40
+20 00 79 90 52 00 03 20 B0 12 FA 4F B0 3F 3C C0
+F0 00 A2 53 C6 1D 79 90 26 00 09 20 3C D0 60 00
+92 53 C4 1D B0 12 70 4F BB 4F 02 00 A0 3F 3C D0
+70 00 3E 40 28 00 B0 12 70 4F BB 4F 02 00 3E 40
+29 00 E2 3F 0A 40 2C 00 A6 56 4E 57 E4 43 C0 44
+62 51 04 4D 4F 56 41 00 85 12 A4 57 C0 00 14 56
+04 43 4D 50 41 00 85 12 A4 57 D0 00 28 54 04 41
+44 44 41 00 85 12 A4 57 E0 00 E4 55 04 53 55 42
+41 00 85 12 A4 57 F0 00 0D 12 84 12 74 48 4C 4F
+F2 57 69 4E 3E 4F 3C 40 00 18 79 90 52 00 05 20
+B0 12 FA 4F 0E 4C 3D 41 30 4D 82 43 7C 5C 79 90
+23 00 0B 20 92 53 C4 1D B0 12 70 4F 2F 53 3E F0
+0F 00 5E 0A 5E 0E 0C DE ED 3F 79 90 26 00 F2 27
+79 90 40 00 12 20 92 53 C4 1D B0 12 FA 4F E2 23
+3E 40 2B 00 92 53 C4 1D B0 12 FA 4F 92 92 C0 1D
+C4 1D D8 27 92 53 C4 1D D5 3F 3E 40 28 00 B0 12
+70 4F 8F 4E 00 00 3E 40 29 00 B0 12 FA 4F 3E 4F
+3E F0 0F 00 0C DE EA 3F 0D 12 84 12 74 48 4C 4F
+82 58 3C 4F 69 4E 3E 40 20 00 79 90 52 00 BA 27
+82 43 7C 5C 79 90 26 00 08 20 92 53 C4 1D B0 12
+70 4F 2F 53 3E F0 0F 00 BE 3F 3E 40 28 00 B0 12
+70 4F F7 3F B2 4F C4 1D 1B 42 C6 1D A2 53 C6 1D
+0C 4E 3E 4F 1C D2 7C 5C 82 43 7C 5C 3C DE 8B 4C
+00 00 30 4D 0A 40 C4 1D 40 44 0A 40 2C 00 E8 57
+78 58 B4 58 3A 40 42 51 B2 57 04 4D 4F 56 58 00
+85 12 D4 58 40 00 00 40 EA 58 06 4D 4F 56 58 2E
+41 00 85 12 D4 58 00 00 40 40 FA 58 06 4D 4F 56
+58 2E 42 00 85 12 D4 58 40 00 40 40 CE 57 04 41
+44 44 58 00 85 12 D4 58 40 00 00 50 1E 59 06 41
+44 44 58 2E 41 00 85 12 D4 58 00 00 40 50 2E 59
+06 41 44 44 58 2E 42 00 85 12 D4 58 40 00 40 50
+40 59 05 41 44 44 43 58 85 12 D4 58 40 00 00 60
+52 59 07 41 44 44 43 58 2E 41 85 12 D4 58 00 00
+40 60 62 59 07 41 44 44 43 58 2E 42 85 12 D4 58
+40 00 40 60 DC 57 05 53 55 42 43 58 85 12 D4 58
+40 00 00 70 86 59 07 53 55 42 43 58 2E 41 85 12
+D4 58 00 00 40 70 96 59 07 53 55 42 43 58 2E 42
+85 12 D4 58 40 00 40 70 A8 59 04 53 55 42 58 00
+85 12 D4 58 40 00 00 80 BA 59 06 53 55 42 58 2E
+41 00 85 12 D4 58 00 00 40 80 CA 59 06 53 55 42
+58 2E 42 00 85 12 D4 58 40 00 40 80 C0 57 04 43
+4D 50 58 00 85 12 D4 58 40 00 00 90 EE 59 06 43
+4D 50 58 2E 41 00 85 12 D4 58 00 00 40 90 FE 59
+06 43 4D 50 58 2E 42 00 85 12 D4 58 40 00 40 90
+D0 53 05 44 41 44 44 58 85 12 D4 58 40 00 00 A0
+22 5A 07 44 41 44 44 58 2E 41 85 12 D4 58 00 00
+40 A0 32 5A 07 44 41 44 44 58 2E 42 85 12 D4 58
+40 00 40 A0 10 5A 04 42 49 54 58 00 85 12 D4 58
+40 00 00 B0 56 5A 06 42 49 54 58 2E 41 00 85 12
+D4 58 00 00 40 B0 66 5A 06 42 49 54 58 2E 42 00
+85 12 D4 58 40 00 40 B0 78 5A 04 42 49 43 58 00
+85 12 D4 58 40 00 00 C0 8A 5A 06 42 49 43 58 2E
+41 00 85 12 D4 58 00 00 40 C0 9A 5A 06 42 49 43
+58 2E 42 00 85 12 D4 58 40 00 40 C0 AC 5A 04 42
+49 53 58 00 85 12 D4 58 40 00 00 D0 BE 5A 06 42
+49 53 58 2E 41 00 85 12 D4 58 00 00 40 D0 CE 5A
+06 42 49 53 58 2E 42 00 85 12 D4 58 40 00 40 D0
+72 52 04 58 4F 52 58 00 85 12 D4 58 40 00 00 E0
+F2 5A 06 58 4F 52 58 2E 41 00 85 12 D4 58 00 00
+40 E0 02 5B 06 58 4F 52 58 2E 42 00 85 12 D4 58
+40 00 40 E0 74 59 04 41 4E 44 58 00 85 12 D4 58
+40 00 00 F0 26 5B 06 41 4E 44 58 2E 41 00 85 12
+D4 58 00 00 40 F0 36 5B 06 41 4E 44 58 2E 42 00
+85 12 D4 58 40 00 40 F0 0A 40 C4 1D 40 44 74 48
+E8 57 B4 58 3A 40 98 52 DC 59 04 52 52 43 58 00
+85 12 58 5B 40 00 00 10 6A 5B 06 52 52 43 58 2E
+41 00 85 12 58 5B 00 00 40 10 7A 5B 06 52 52 43
+58 2E 42 00 85 12 58 5B 40 00 40 10 8C 5B 04 52
+52 55 58 00 85 12 58 5B 40 01 00 10 9E 5B 06 52
+52 55 58 2E 41 00 85 12 58 5B 00 01 40 10 AE 5B
+06 52 52 55 58 2E 42 00 85 12 58 5B 40 01 40 10
+C0 5B 05 53 57 50 42 58 85 12 58 5B 40 00 80 10
+D2 5B 07 53 57 50 42 58 2E 41 85 12 58 5B 00 00
+80 10 E2 5B 04 52 52 41 58 00 85 12 58 5B 40 00
+00 11 F4 5B 06 52 52 41 58 2E 41 00 85 12 58 5B
+00 00 40 11 04 5C 06 52 52 41 58 2E 42 00 85 12
+58 5B 40 00 40 11 16 5C 04 53 58 54 58 00 85 12
+58 5B 40 00 80 11 28 5C 06 53 58 54 58 2E 41 00
+85 12 58 5B 00 00 80 11 04 56 05 50 55 53 48 58
+85 12 58 5B 40 00 00 12 4A 5C 07 50 55 53 48 58
+2E 41 85 12 58 5B 00 00 40 12 5A 5C 07 50 55 53
+48 58 2E 42 85 12 58 5B 40 00 40 12 00 00 38 5C
+03 52 50 54 0D 12 84 12 74 48 4C 4F 8E 5C 29 4E
+7E 40 20 00 79 90 52 00 06 20 B0 12 FA 4F 03 24
+3E D0 80 00 05 3C B0 12 70 4F 1E 83 3E F0 0F 00
+82 4E 7C 5C 3E 4F 3D 41 30 4D D2 C3 23 02 E2 B2
+60 02 02 24 30 40 02 42 1A 52 04 20 19 62 06 20
+92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69 82 4A
+16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10 C2 4A
+16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12 42 5D
+5A 53 FC 23 39 40 05 00 D2 49 14 20 4E 06 82 93
+46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06 59 83
+F3 2F 19 83 0B 30 F2 43 4E 06 82 93 46 06 03 24
+92 B3 6C 06 FD 27 5A 92 4C 06 F3 23 30 41 1A 43
+E1 3F 19 43 3A 43 8A 10 C2 4A 4E 06 82 93 46 06
+05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83 F3 23
+5A 42 4C 06 30 41 80 5C 08 52 45 41 44 5F 53 57
+58 00 1C D3 F2 40 51 00 19 20 B0 12 BA 5C 38 20
+B0 12 42 5D 6A 53 04 24 FB 23 D9 42 4C 06 FF 1D
+F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23 F2 43
+4E 06 3C C0 03 00 D2 D3 23 02 30 41 38 54 09 57
+52 49 54 45 5F 53 57 58 2C D3 F0 40 58 00 5B C2
+B0 12 BA 5C 15 20 3A 40 FE FF 29 43 B0 12 46 5D
+D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02 F8 23
+39 40 03 00 B0 12 44 5D 7A C0 E1 00 6A 92 D9 27
+8C 10 1C 52 4C 06 D2 D3 23 02 0D 12 84 12 34 43
+14 40 0B 3C 20 53 44 20 45 72 72 6F 72 21 10 5E
+2F 83 8F 4E 00 00 B2 40 10 00 DC 1D 0E 4C 84 12
+00 45 36 41 B0 12 9C 41 0E 93 9C 24 E2 B2 60 02
+99 20 B2 40 81 A9 40 06 B2 40 03 00 46 06 D2 D3
+25 02 B2 D0 C0 04 0C 02 92 C3 40 06 39 42 B0 12
+44 5D D2 C3 23 02 2C 42 B2 40 95 00 14 20 B2 40
+00 40 18 20 B0 12 3E 5D 02 24 30 40 F0 5D B0 12
+42 5D 7A 93 FC 23 B2 40 87 AA 14 20 92 43 16 20
+B2 40 00 48 18 20 B0 12 3E 5D 29 42 B0 12 44 5D
+92 43 14 20 82 43 16 20 78 43 3C 42 B2 40 00 77
+18 20 B0 12 3E 5D B2 40 40 69 18 20 B0 12 FC 5C
+03 24 58 83 F3 23 D9 3F 0C 5C A2 43 16 20 B2 40
+00 50 18 20 B0 12 FC 5C D0 23 92 D3 40 06 82 43
+46 06 92 C3 40 06 09 43 B0 12 72 5D 38 40 00 1E
+92 48 C6 01 04 20 92 48 C8 01 06 20 5A 48 C2 01
+92 43 02 20 7A 80 06 00 0F 24 7A 82 0D 24 A2 43
+02 20 6A 53 09 24 5A 53 07 24 6A 52 05 24 3A 50
+0B 20 0C 4A 30 40 F6 5D 09 43 B0 12 72 5D D2 48
+0D 00 12 20 19 48 0E 00 82 49 08 20 1A 48 16 00
+0A 93 02 20 1A 48 24 00 82 4A 0A 20 09 5A 82 49
+0C 20 09 5A A2 93 02 20 04 24 82 49 0E 20 39 50
+20 00 19 82 12 20 19 82 12 20 82 49 10 20 92 42
+02 20 2C 20 30 41 B0 12 AA 40 39 40 E0 00 29 83
+89 43 38 20 FC 23 82 43 32 20 30 41 92 4B 0E 00
+22 20 92 4B 10 00 24 20 5A 42 23 20 58 42 22 20
+92 93 02 20 08 24 59 42 24 20 89 10 0A 59 88 10
+08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20 92 42
+0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20 14 24
+92 42 22 20 D0 04 92 42 24 20 D2 04 92 42 12 20
+C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20 92 52
+10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00 22 20
+92 4B 10 00 24 20 B0 12 AA 5F 5A 4B 03 00 82 5A
+1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90 20 00
+00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42 32 20
+82 43 1E 20 B2 90 00 02 20 20 AB 20 BB 80 00 02
+12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20 03 00
+14 28 CB 43 03 00 B0 12 7C 5F 1A 52 08 20 09 43
+B0 12 72 5D 8B 43 10 00 9B 48 00 1E 0E 00 92 93
+02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02 20 20
+8B 93 14 00 0B 20 92 9B 12 00 1E 20 82 2C BB 90
+00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12 EA 5F
+1A 42 1A 20 19 42 1C 20 6C 3E 3C 42 3B 40 38 20
+09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00 04 20
+9B 92 22 20 0A 00 07 24 09 4B 3B 50 1C 00 3B 90
+18 21 EF 23 0C 5C 30 41 0C 43 82 4B 32 20 8B 49
+00 00 09 93 0A 24 99 52 C4 1D 16 00 4A 93 05 34
+C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00 CB 43
+03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00 18 42
+30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48 14 1E
+0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00 9B 48
+1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20 6A 93
+5C 27 C9 37 8B 43 16 00 7A 93 02 24 07 38 95 3F
+B2 40 1C 21 CA 40 B2 40 56 43 7A 42 9B 42 C0 1D
+18 00 9B 82 C4 1D 18 00 9B 42 C2 1D 1A 00 9B 52
+C4 1D 1A 00 82 3F CB 43 02 00 2B 4B 82 4B 32 20
+0B 93 06 24 92 4B 16 00 1E 20 B0 12 6A 60 22 C3
+30 41 1B 42 32 20 0B 93 FB 27 EB 93 02 00 04 20
+B0 12 46 66 B0 12 0E 66 CB 93 02 00 E4 37 1E 4B
+18 00 9F 4B 1A 00 00 00 31 50 06 00 3D 41 B0 12
+66 61 02 24 30 40 4A 43 B2 40 3C 1D CA 40 B2 40
+7C 42 7A 42 30 40 34 43 40 4E 85 52 45 41 44 22
+5A 43 19 3C B4 4C 86 57 52 49 54 45 22 00 6A 43
+12 3C A8 4D 84 44 45 4C 22 00 6A 42 0C 3C F8 4A
+05 43 4C 4F 53 45 B0 12 82 61 30 4D 62 4C 85 4C
+4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93
+BE 1D 0B 24 0D 12 84 12 0A 40 0A 40 BA 47 BA 47
+44 45 0A 40 3A 62 BA 47 C0 44 0D 12 84 12 0A 40
+22 00 8C 45 0A 48 38 62 3D 41 36 4F 0E 56 82 4E
+36 20 1C 43 92 42 2C 20 22 20 92 42 2E 20 24 20
+0E 96 8D 24 F6 90 3A 00 01 00 01 20 26 53 F6 90
+5C 00 00 00 08 20 16 53 92 42 02 20 22 20 82 43
+24 20 0E 96 70 24 82 46 34 20 B0 12 AA 5F 35 40
+20 00 A2 93 02 20 04 24 92 92 22 20 02 20 02 24
+15 42 12 20 B0 12 90 60 2C 43 0A 43 08 4A 58 0E
+08 58 82 48 30 20 C8 93 00 1E 61 24 39 42 F8 96
+00 1E 04 20 18 53 19 83 FA 23 16 53 F6 90 2E 00
+FF FF 19 24 39 50 03 00 B0 12 08 60 06 20 F6 90
+5C 00 FF FF 29 24 0E 96 27 28 16 42 34 20 1A 53
+3A 90 10 00 DB 23 92 53 1A 20 82 63 1C 20 15 83
+D1 23 2C 42 3C 3C F6 90 2E 00 FE FF EE 27 B0 12
+08 60 EB 23 39 40 03 00 F8 96 00 1E 04 20 18 53
+19 83 FA 23 09 3C 0E 96 E0 2F F6 90 5C 00 FF FF
+DC 23 B0 12 08 60 D9 23 18 42 30 20 92 48 1A 1E
+22 20 92 48 14 1E 24 20 F8 B0 10 00 0B 1E 14 24
+82 93 24 20 06 20 82 93 22 20 03 20 92 42 02 20
+22 20 0E 96 8E 2F 92 42 22 20 2C 20 92 42 24 20
+2E 20 8F 43 00 00 03 3C 2A 4F B0 12 9A 60 35 40
+D4 40 36 40 E2 40 3A 4F 3E 4F 0A 93 04 24 7A 93
+3C 20 0C 93 01 20 30 4D 0D 12 84 12 34 43 14 40
+0B 3C 20 4F 70 65 6E 45 72 72 6F 72 3A 40 0E 5E
+38 4C 05 5B 50 46 41 5D 2E 53 2E 4E 30 4D F0 61
+04 42 4F 4F 54 00 39 40 24 5E 2E 93 01 2C 30 41
+E2 B2 60 02 02 24 10 49 02 00 89 12 3F 40 7E 1C
+8F 43 00 00 82 43 BE 1D B2 40 00 1C 00 1C 31 40
+E0 1C 84 12 14 40 0F 4C 4F 41 44 22 20 42 4F 4F
+54 2E 34 54 48 22 3A 40 A2 48 1A 93 BB 20 0C 93
+C3 23 30 4D CA 61 04 52 45 41 44 00 2F 83 8F 4E
+00 00 1E 42 32 20 B0 12 1C 60 1E 82 32 20 30 4D
+2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41 82 9A
+0A 20 A6 24 1A 52 08 20 09 43 B0 12 72 5D 09 43
+28 93 03 24 89 93 02 1E 03 20 89 93 00 1E 07 24
+09 58 39 90 00 02 F4 23 91 53 00 00 E7 3F 0C 43
+6A 41 B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E
+09 11 8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A
+28 20 82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A
+27 20 82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12
+1A 52 08 20 09 43 B0 12 B8 5D 3A 41 1A 52 0C 20
+09 43 B0 12 B8 5D F2 B0 40 00 A2 04 29 20 F2 B0
+10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42 B4 04
+F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52 E4 04
+D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04 C0 04
+B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04 C0 04
+B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52 E4 04
+30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D
+7C 2E 29 92 06 38 39 80 03 00 B0 12 62 65 39 40
+03 00 7A 4B C8 4A 00 1E 82 9B 36 20 12 28 0D 12
+3D 40 0F 00 3C 40 12 65 7A 9C F3 27 1D 83 FC 23
+3D 41 6A 9C E6 27 3A 80 21 00 EB 3B 18 53 19 83
+E8 23 09 93 06 24 F8 40 20 00 00 1E 18 53 19 83
+FA 23 30 41 2A 93 DC 20 2C 93 0E 24 0C 93 AB 24
+0D 12 84 12 14 40 0C 3C 20 57 72 69 74 65 45 72
+72 6F 72 00 3A 40 0E 5E B0 12 20 64 92 42 26 20
+22 20 92 42 28 20 24 20 B0 12 9E 64 B0 12 90 60
+18 42 30 20 F8 40 20 00 0B 1E B0 12 B6 64 88 43
+0C 1E 88 4A 0E 1E 88 49 10 1E 88 49 12 1E 98 42
+24 20 14 1E 98 42 22 20 1A 1E 88 43 1C 1E 88 43
+1E 1E 1C 43 1B 42 34 20 82 9B 36 20 C9 27 FB 90
+2E 00 00 00 C5 27 39 40 0B 00 B0 12 32 65 B0 12
+50 66 2A 43 B0 12 9A 60 0C 93 BA 23 30 4D 1A 4B
+04 00 19 4B 06 00 B0 12 72 5D B0 12 B6 64 18 4B
+08 00 88 49 12 1E 88 4A 16 1E 88 49 18 1E 98 4B
+12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B
+06 00 30 40 B8 5D 9B 52 1E 20 12 00 8B 63 14 00
+1A 42 1A 20 19 42 1C 20 30 40 B8 5D B2 40 00 02
+1E 20 1B 42 32 20 B0 12 46 66 82 43 1E 20 DB 53
+03 00 DB 92 12 20 03 00 25 20 CB 43 03 00 B0 12
+7C 5F 08 12 0A 12 B0 12 20 64 2A 91 08 24 B0 12
+9E 64 2A 41 1A 52 08 20 09 43 B0 12 72 5D 3A 41
+38 41 98 42 26 20 00 1E 92 93 02 20 03 24 98 42
+28 20 02 1E B0 12 9E 64 9B 42 26 20 0E 00 9B 42
+28 20 10 00 30 40 EA 5F D6 61 05 57 52 49 54 45
+B0 12 5C 66 30 4D 58 4B 13 00 59 4B 14 00 89 10
+09 58 58 4B 15 00 5B 42 12 20 0A 43 3C 42 08 11
+09 10 4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37
+1B 42 32 20 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00
+8B 48 10 00 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01
+12 00 3A F0 FF 01 82 4A 1E 20 B0 12 8C 60 30 4D
+0C 93 3B 20 38 90 E0 01 03 2C C8 93 20 1E 02 24
+7C 40 E5 00 C8 4C 00 1E B0 12 50 66 B0 12 88 5F
+82 4A 2A 20 0B 4A 1A 52 08 20 09 43 B0 12 72 5D
+1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24 19 48
+02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20
+3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12 88 5F
+0B 9A E6 27 0A 12 0A 4B B0 12 9E 64 3A 41 DA 3F
+0A 4B B0 12 9E 64 B0 12 82 61 30 4D FC 44 08 54
+45 52 4D 32 53 44 22 00 0D 12 84 12 EA 61 0A 40
+02 00 28 40 0A 48 3A 62 CA 67 3D 41 0A 43 B0 12
+DA 41 92 B3 DC 05 FD 27 59 42 CC 05 C2 49 CE 05
+69 92 0D 24 CA 49 00 1E 1A 53 3A 90 FF 01 F1 2B
+03 24 B0 12 5C 66 EA 3F B0 12 C8 41 EA 3F B0 12
+C8 41 82 4A 1E 20 B0 12 82 61 30 4D
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -653,5 +653,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
-96 42 02 42 02 42 02 42 02 42 02 42 02 42 B0 4E
+96 42 02 42 02 42 02 42 02 42 02 42 02 42 A8 4E
 q
index 4aa560c..e362bd2 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF 38 68 56 4D 33 01
-10 00 81 87 B4 41 64 5F B6 41 22 5E 82 42 38 68
-56 4D 70 42 F0 43 FE 42 DA 42 3C 1D BE 44 D4 40
-E2 40 EE 40 20 00 0A 00 86 48 A0 48 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF 00 68 3E 4D 34 01
+10 00 C1 87 B6 41 4C 5F B8 41 0A 5E 84 42 00 68
+3E 4D 72 42 E0 43 00 43 DC 42 3C 1D AE 44 D4 40
+E2 40 EE 40 20 00 0A 00 00 00 00 00 00 00 00 00
 @4000
-B0 12 B6 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,82 +17,81 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CD 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
-8F 4E 00 00 3E 41 30 4D B0 12 64 5F 39 40 22 18
-B2 49 6E 42 B2 49 EE 43 B2 49 FC 42 B2 49 D8 42
+8F 4E 00 00 3E 41 30 4D B0 12 4C 5F 39 40 22 18
+B2 49 70 42 B2 49 DE 43 B2 49 FE 42 B2 49 DA 42
 B2 49 CA 40 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 5E 4E 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 41 B0 12 F8 40
-0A 40 DE 1D 3E 44 16 43 4A 43 34 40 86 48 14 40
-05 1B 5B 37 6D 40 BA 43 0A 40 02 18 3E 44 34 45
-06 44 34 40 7C 41 14 40 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 BA 43 FE 44 BA 43 14 40
-04 1B 5B 30 6D 00 BA 43 86 48 2E 93 13 28 B2 D0
-C0 07 C0 06 18 42 02 18 08 11 38 D0 00 04 82 48
-D4 06 F2 D0 03 00 6A 02 92 C3 C0 06 A2 D2 EA 06
-92 C3 30 01 30 41 48 43 A2 B3 EC 06 FD 27 C2 48
-CE 06 A2 B2 EC 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 41 F2 B0 40 00 40 02 02 20 B2 43 08 18
-B2 40 04 A5 20 01 CC 41 04 57 41 52 4D 00 B0 12
-22 5E 78 40 03 00 B0 12 B8 41 84 12 14 40 07 0D
-0A 1B 5B 37 6D 40 BA 43 0A 40 02 18 3E 44 34 45
-0A 40 23 00 FA 42 34 45 14 40 19 46 61 73 74 46
-6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 BA 43 0A 40 40 FF 28 40 32 44 FE 44
-14 40 0A 62 79 74 65 73 20 66 72 65 65 00 3A 40
-7C 41 00 00 06 41 43 43 45 50 54 00 30 40 70 42
-0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
-BF 3E 21 52 A2 C2 EC 06 B2 B0 10 00 C0 06 B8 22
-3A 17 92 B3 EC 06 FD 27 58 42 CC 06 48 9B 0E 24
-48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
-0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
-C2 41 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
-00 00 03 4B 45 59 30 40 DA 42 2F 83 8F 4E 00 00
-58 43 B0 12 B8 41 92 B3 EC 06 FD 27 1E 42 CC 06
-30 4D 00 00 04 45 4D 49 54 00 30 40 FE 42 08 4E
-3E 4F A2 B3 EC 06 FD 27 C2 48 CE 06 30 4D F4 42
-04 45 43 48 4F 00 B2 40 C2 48 08 43 82 43 DE 1D
-38 40 05 00 B0 12 B8 41 30 4D 00 00 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D 08 43 92 43 DE 1D 28 42
-F1 3F 2C 43 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 0D 12 3D 40 74 43 1B 42 32 20 9B 42
+B2 49 DC 1D 3D 41 30 40 46 4E 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 41 0E 12 B0 12
+F8 40 0A 40 DE 1D 2E 44 18 43 EE 40 34 40 8A 41
+14 40 05 1B 5B 37 6D 40 AA 43 0A 40 02 18 2E 44
+24 45 F6 43 34 40 7E 41 14 40 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 AA 43 EE 44 AA 43
+14 40 04 1B 5B 30 6D 00 AA 43 76 48 2E 93 13 28
+B2 D0 C0 07 C0 06 18 42 02 18 08 11 38 D0 00 04
+82 48 D4 06 F2 D0 03 00 6A 02 92 C3 C0 06 A2 D2
+EA 06 92 C3 30 01 30 41 48 43 A2 B3 EC 06 FD 27
+C2 48 CE 06 A2 B2 EC 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 41 F2 B0 40 00 40 02 02 20 B2 43
+08 18 B2 40 04 A5 20 01 CE 41 04 57 41 52 4D 00
+B0 12 0A 5E 78 40 03 00 B0 12 BA 41 84 12 14 40
+07 0D 0A 1B 5B 37 6D 40 AA 43 0A 40 02 18 2E 44
+24 45 0A 40 23 00 FC 42 24 45 14 40 19 46 61 73
+74 46 6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 AA 43 0A 40 40 FF 28 40 22 44
+EE 44 14 40 0A 62 79 74 65 73 20 66 72 65 65 00
+3A 40 7E 41 00 00 06 41 43 43 45 50 54 00 30 40
+72 42 0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00
+3D 15 BE 3E 21 52 A2 C2 EC 06 B2 B0 10 00 C0 06
+B7 22 3A 17 92 B3 EC 06 FD 27 58 42 CC 06 48 9B
+0E 24 48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83
+F1 3F 0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F
+B0 12 C4 41 82 93 DE 1D 02 24 92 53 DE 1D 08 4C
+19 3C 00 00 03 4B 45 59 30 40 DC 42 2F 83 8F 4E
+00 00 58 43 B0 12 BA 41 92 B3 EC 06 FD 27 1E 42
+CC 06 30 4D 00 00 04 45 4D 49 54 00 30 40 00 43
+08 4E 3E 4F A2 B3 EC 06 FD 27 C2 48 CE 06 30 4D
+F6 42 04 45 43 48 4F 00 B2 40 C2 48 0A 43 82 43
+DE 1D 38 40 05 00 B0 12 BA 41 30 4D 00 00 06 4E
+4F 45 43 48 4F 00 B2 40 30 4D 0A 43 92 43 DE 1D
+28 42 F1 3F 0D 12 3D 40 64 43 1B 42 32 20 9B 42
 1E 20 16 00 3A 4F 09 4E 0E 43 1C 42 1E 20 1B 42
-20 20 02 3C 76 43 2D 83 0C 9B 16 2C 58 4C 00 1E
+20 20 02 3C 66 43 2D 83 0C 9B 16 2C 58 4C 00 1E
 1C 53 78 90 20 00 09 2C 78 90 0A 00 F5 23 3D 41
-82 4C 1E 20 3C 40 20 00 94 3F 0E 99 B2 27 CA 48
-00 00 1A 53 1E 53 AD 3F 1A 15 B0 12 1A 60 19 17
+82 4C 1E 20 3C 40 20 00 9D 3F 0E 99 BB 27 CA 48
+00 00 1A 53 1E 53 B6 3F 1A 15 B0 12 02 60 19 17
 DC 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 D6 43 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FC 42 D8 43 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 41 02 43 52 00 30 40 F0 43
-0D 12 84 12 14 40 02 0D 0A 00 BA 43 BE 44 2F 83
+3D 40 C6 43 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FE 42 C8 43 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 41 02 43 52 00 30 40 E0 43
+0D 12 84 12 14 40 02 0D 0A 00 AA 43 AE 44 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 64 42 01 40 2E 4E
-30 4D 3C 44 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 66 42 01 40 2E 4E
+30 4D 2C 44 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D E8 43 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D D8 43 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E 40 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 78 44 02 23 53 00 0D 12 84 12
-7A 44 B4 44 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D A8 44 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 68 44 02 23 53 00 0D 12 84 12
+6A 44 A4 44 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 98 44 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D B4 43 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D A4 43 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 6E 44
-AC 44 EE 40 EC 44 C8 44 BA 43 82 48 FA 42 BE 44
-44 43 01 2E 0E 93 E3 37 38 43 E2 3F E6 44 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40 B8 47
-0A 40 22 00 8A 45 58 45 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D 32 45
-82 2E 22 00 0D 12 84 12 42 45 0A 40 BA 43 B8 47
-BE 44 F8 41 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 5E 44
+9C 44 EE 40 DC 44 B8 44 AA 43 62 48 FC 42 AE 44
+2E 43 01 2E 0E 93 E3 37 38 43 E2 3F D6 44 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40 A8 47
+0A 40 22 00 7A 45 48 45 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D 22 45
+82 2E 22 00 0D 12 84 12 32 45 0A 40 AA 43 A8 47
+AE 44 FA 41 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -111,12 +110,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 0C 47 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 FC 46 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F 0E 47 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F FE 46 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -128,533 +127,530 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D 44 44 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D B6 47 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D A6 47 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A 40 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-C4 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D D8 44 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 40 82 48 8A 45 3E 48 94 26
-3D 40 46 48 D6 3E 48 48 0A 4E 3E 4F 3D 40 62 48
-2E 27 3D 40 38 48 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 64 48 3E 4F 3D 40 38 48 BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 F4 49 CC 3F
-6C 48 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 EC 43 BC 40
-32 48 22 44 54 44 14 40 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40 5C 44
+B4 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D C8 44 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 40 62 48 7A 45 1E 48 9C 26
+3D 40 26 48 DE 3E 28 48 0A 4E 3E 4F 3D 40 42 48
+36 27 3D 40 18 48 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 44 48 3E 4F 3D 40 18 48 BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 E4 49 CC 3F
+4C 48 86 12 20 00 34 44 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 DC 43 BC 40
+12 48 12 44 44 44 14 40 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40 4C 44
 14 40 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 41
-3A 40 9C 48 CA 47 86 41 42 4F 52 54 22 00 0D 12
-84 12 42 45 0A 40 2A 41 B8 47 BE 44 EC 45 01 27
-0D 12 84 12 82 48 8A 45 F2 45 34 40 80 48 BE 44
-00 00 83 5B 27 5D 0D 12 84 12 F0 48 0A 40 0A 40
-B8 47 B8 47 BE 44 02 49 81 5B 82 43 BE 1D 30 4D
-6A 44 01 5D B2 43 BE 1D 30 4D 22 49 81 5C 92 42
+3A 40 8C 48 68 48 86 41 42 4F 52 54 22 00 0D 12
+84 12 32 45 0A 40 2A 41 A8 47 AE 44 DC 45 01 27
+0D 12 84 12 62 48 7A 45 E2 45 34 40 60 48 AE 44
+00 00 83 5B 27 5D 0D 12 84 12 E0 48 0A 40 0A 40
+A8 47 A8 47 AE 44 F2 48 81 5B 82 43 BE 1D 30 4D
+5A 44 01 5D B2 43 BE 1D 30 4D 12 49 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 82 48 8A 45 F2 45 06 44 34 40
-80 48 54 44 34 40 64 49 0A 40 0A 40 B8 47 B8 47
-0A 40 B8 47 B8 47 BE 44 18 49 01 3A 30 12 B4 49
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 82 48 8A 45
-82 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 62 48 7A 45 E2 45 F6 43 34 40
+60 48 44 44 34 40 54 49 0A 40 0A 40 A8 47 A8 47
+0A 40 A8 47 A8 47 AE 44 08 49 01 3A 30 12 A4 49
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 62 48 7A 45
+72 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 40 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 41 6A 49 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A 40 BE 44 B8 47 C6 49 1A 49 BE 44
-28 48 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D 12 48 06 43 52 45 41 54
-45 00 B0 12 70 49 BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F F8 49 07 3A 4E 4F 4E 41 4D 45 30 12 B4 49
+74 63 68 21 36 41 5A 49 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A 40 AE 44 A8 47 B6 49 0A 49 AE 44
+08 48 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D F2 47 06 43 52 45 41 54
+45 00 B0 12 60 49 BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F E8 49 07 3A 4E 4F 4E 41 4D 45 30 12 A4 49
 2F 83 8F 4E 00 00 1A 42 C6 1D 1A B3 0A 63 0E 4A
-39 40 10 02 08 49 28 53 99 3F 10 43 05 44 45 46
-45 52 B0 12 70 49 BA 40 30 40 FC FF BA 40 FA 4D
-FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 12 4A 82 49
-53 00 0D 12 82 93 BE 1D 06 24 84 12 06 49 0A 40
-84 4A B8 47 BE 44 84 12 F0 48 84 4A BE 44 2A 4A
-04 43 4F 44 45 00 B0 12 70 49 A2 82 C6 1D 82 43
-7A 5C 0D 12 84 12 60 4D 32 4D BE 44 B0 4A 07 43
-4F 44 45 4E 4E 4D 30 12 BA 4A BA 3F 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 C6 49 86 4D BE 44
-D6 48 03 41 53 4D B2 40 36 4D DA 1D DC 3F DE 4A
-06 45 4E 44 41 53 4D 00 0D 12 84 12 E6 4A BA 4D
-BE 44 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 86 4D 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F 8E 4A 85 48 49 32 4C 4F
-0D 12 84 12 28 40 9A 4C B8 47 1A 49 BE 4A BE 44
-44 4A 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 62 4B
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 40 00 00
-36 44 82 48 8A 45 18 48 FE 43 34 40 FA 4B 0C 44
-14 40 06 5B 54 48 45 4E 5D 00 6C 4B D4 4B 90 4B
-B2 4B BE 44 0C 44 14 40 06 5B 45 4C 53 45 5D 00
-6C 4B EA 4B 90 4B B0 4B BE 44 14 40 04 5B 49 46
-5D 00 6C 4B B2 4B 3A 40 B0 4B E0 43 14 40 05 0D
-0A 6B 6F 20 BA 43 BC 40 AC 40 3A 40 B2 4B A0 4B
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 10 4C 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 82 48 8A 45 F2 45 1E 4C BE 44 24 4C 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 2E 4C
-4E 44 BE 44 56 4C B2 4E 0A 18 B2 4E 0C 18 BE 12
-3E 4F 3D 41 DB 3C DA 47 06 4D 41 52 4B 45 52 00
-B0 12 70 49 BA 40 85 12 FC FF BA 40 54 4C FE FF
-28 83 8A 48 00 00 9A 42 C8 1D 02 00 BA 40 AA 40
-04 00 B2 50 06 00 C6 1D 99 3E 2E 53 30 4D 6C 4A
-05 44 4F 45 53 3E 1A 42 BA 1D BA 40 85 12 00 00
-8A 4D 02 00 3D 41 30 4D 84 45 0A 56 4F 43 41 42
-55 4C 41 52 59 00 0D 12 84 12 32 4A 0A 40 10 00
-0A 40 00 00 3E 40 0A 40 00 00 B8 47 60 40 D6 4C
-28 40 0A 40 C8 1D FE 43 3E 44 B8 47 46 44 A6 4C
-0A 40 CA 1D 46 44 BE 44 EE 48 05 46 4F 52 54 48
-85 12 F0 4C 5A 4D A8 63 E2 61 FA 4C 4A 4B A0 63
-FC 61 A0 4D 2C 4E FE 63 A6 67 C2 66 00 00 3E 4C
-2C 49 52 46 00 00 F2 4A 09 41 53 53 45 4D 42 4C
-45 52 85 12 F0 4C 46 5B DE 5A 42 5A 02 55 94 53
-00 00 0A 59 00 00 6A 5C 66 5D F8 53 AC 5D 12 5B
-00 00 00 00 DC 54 24 4D 28 4D 04 41 4C 53 4F 00
-3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 38 49 08 50 52 45
-56 49 4F 55 53 00 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 70 45
-04 4F 4E 4C 59 00 82 43 CC 1D 30 4D A0 4C 0B 44
-45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 1D DA 1D
-30 4D 00 4D A6 4D BA 4D CA 4D 3A 4E 82 4A C8 1D
-2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49 29 83
-18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23
-2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 7C 4D 09 50
-57 52 5F 53 54 41 54 45 85 12 C2 4D 56 4D 38 68
-3E 45 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
-0E 4E 92 42 0C 18 0C 4E EF 3F FE 4D 08 50 57 52
-5F 48 45 52 45 00 92 42 C6 1D 0E 4E 92 42 C8 1D
-0C 4E 30 4D 12 4E 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0A 18 92 42 C8 1D 0C 18 EC 3F 3E 90
-0E 00 D2 27 2E 92 DA 37 0E 93 CE 37 39 40 10 00
-29 83 B9 43 80 FF FC 23 B9 40 AE 4E FE FF 29 83
-B9 40 E0 41 FE FF 39 90 AE FF F9 23 39 40 14 18
-B2 49 E2 41 B2 49 FA 40 B2 49 02 40 B2 49 00 42
-B2 49 BC FF B2 49 0A 18 B2 49 0C 18 B7 3F B2 D0
-03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A 5C 01
-31 40 E0 1C 3F 40 80 1C 39 40 00 10 29 83 89 43
-00 1C FC 23 B2 D3 06 02 B2 40 FC FF 02 02 B2 43
-26 02 B2 D3 22 02 B2 43 42 02 B2 D3 46 02 B2 43
-62 02 B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40
-A5 00 61 01 82 43 66 01 B2 40 33 00 64 01 D2 43
-61 01 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
-FA 23 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0
-40 00 A2 04 B2 42 B0 01 1E 42 08 18 82 43 08 18
-1E D2 9E 01 B0 12 F8 40 FE 41 38 40 C0 1D 0A 4E
-39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E
-FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15
-0E 12 12 12 C4 1D 84 12 8A 45 F2 45 4E 44 34 40
-8A 4F AE 46 34 40 A4 4F 9E 4F 8C 4F 3C 4E 3C 80
-87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
-1B 17 30 41 A6 4F B2 41 C4 1D 3E 41 84 12 0A 40
-2B 00 8A 45 F2 45 4E 44 34 40 C2 4F AE 46 34 40
-80 48 18 44 8A 45 AE 46 34 40 80 48 CE 4F 3E 5F
-E7 3F 32 B0 00 02 01 24 3E 4F 30 41 3E 40 28 00
-B0 12 6E 4F B0 12 D2 4F 19 42 C6 1D A2 53 C6 1D
-89 4E 00 00 3E 40 29 00 1C 15 92 92 C0 1D C4 1D
-02 20 30 40 DE 49 12 12 C4 1D 92 53 C4 1D 84 12
-8A 45 AE 46 34 40 24 50 1A 50 21 53 3E 90 10 00
-84 2D BE 2B 26 50 B2 41 C4 1D BA 3F 0D 12 84 12
-82 48 4A 4F 36 50 0C 43 1B 42 C6 1D A2 53 C6 1D
-6A 4E 3E 4F 7A 90 23 00 29 20 92 53 C4 1D B0 12
-6E 4F B0 12 D2 4F 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53
-C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-09 20 3C 40 10 02 92 53 C4 1D B0 12 6E 4F B0 12
-D2 4F EB 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
-C4 1D B0 12 F8 4F 0C 20 3C 50 10 00 3E 40 2B 00
-B0 12 F8 4F 92 92 C0 1D C4 1D 02 24 92 53 C4 1D
-8E 10 0C 5E D8 3F B0 12 F8 4F FA 23 3C 50 10 00
-B0 12 DC 4F EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D
-0D 12 84 12 82 48 4A 4F 0A 51 FE 90 26 00 00 00
-3E 40 20 00 03 20 3C 50 82 00 C5 3F B0 12 F8 4F
-E0 23 3C 50 80 00 B0 12 DC 4F DB 3F 00 00 04 52
-45 54 49 00 0D 12 84 12 0A 40 00 13 B8 47 BE 44
-0A 40 2C 00 2C 50 00 51 4A 51 09 4B 2E 4E 0E DC
-A0 3F 38 4B 03 4D 4F 56 85 12 40 51 00 40 54 51
-05 4D 4F 56 2E 42 85 12 40 51 40 40 00 00 03 41
-44 44 85 12 40 51 00 50 6E 51 05 41 44 44 2E 42
-85 12 40 51 40 50 7A 51 04 41 44 44 43 00 85 12
-40 51 00 60 88 51 06 41 44 44 43 2E 42 00 85 12
-40 51 40 60 2E 51 04 53 55 42 43 00 85 12 40 51
-00 70 A6 51 06 53 55 42 43 2E 42 00 85 12 40 51
-40 70 B4 51 03 53 55 42 85 12 40 51 00 80 C4 51
-05 53 55 42 2E 42 85 12 40 51 40 80 14 4B 03 43
-4D 50 85 12 40 51 00 90 DE 51 05 43 4D 50 2E 42
-85 12 40 51 40 90 00 4B 04 44 41 44 44 00 85 12
-40 51 00 A0 F8 51 06 44 41 44 44 2E 42 00 85 12
-40 51 40 A0 EA 51 03 42 49 54 85 12 40 51 00 B0
-16 52 05 42 49 54 2E 42 85 12 40 51 40 B0 22 52
-03 42 49 43 85 12 40 51 00 C0 30 52 05 42 49 43
-2E 42 85 12 40 51 40 C0 3C 52 03 42 49 53 85 12
-40 51 00 D0 4A 52 05 42 49 53 2E 42 85 12 40 51
-40 D0 00 00 03 58 4F 52 85 12 40 51 00 E0 64 52
-05 58 4F 52 2E 42 85 12 40 51 40 E0 96 51 03 41
-4E 44 85 12 40 51 00 F0 7E 52 05 41 4E 44 2E 42
-85 12 40 51 40 F0 82 48 2C 50 9C 52 0A 4C 3C F0
-70 00 8A 10 3A F0 0F 00 0C DA 4F 3F D0 51 03 52
-52 43 85 12 96 52 00 10 AE 52 05 52 52 43 2E 42
-85 12 96 52 40 10 BA 52 04 53 57 50 42 00 85 12
-96 52 80 10 C8 52 03 52 52 41 85 12 96 52 00 11
-D6 52 05 52 52 41 2E 42 85 12 96 52 40 11 E2 52
-03 53 58 54 85 12 96 52 80 11 00 00 04 50 55 53
-48 00 85 12 96 52 00 12 FC 52 06 50 55 53 48 2E
-42 00 85 12 96 52 40 12 56 52 04 43 41 4C 4C 00
-85 12 96 52 80 12 1A 53 0E 4A 0D 12 84 12 34 45
-14 40 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-36 41 F0 52 03 53 3E 3D 86 12 00 38 44 53 02 53
-3C 00 86 12 00 34 0A 53 03 30 3E 3D 86 12 00 30
-58 53 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
-86 12 00 2C 6C 53 03 55 3E 3D 86 12 00 28 62 53
-03 30 3C 3E 86 12 00 24 80 53 02 30 3D 00 86 12
-00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 0E 4A 30 4D 76 53 04 54 48 45 4E 00
-1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 B1 2F 88 DA 00 00 30 4D 06 52 04 45
-4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53
-C6 1D 2F 83 8F 4A 00 00 E3 3F 1A 53 05 42 45 47
-49 4E 30 40 28 40 AA 53 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90
-00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C6 1D 30 4D 8A 52 05 41 47 41 49 4E 0A 4E 38 40
-00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
-98 53 18 44 BE 44 4E 53 06 52 45 50 45 41 54 00
-0D 12 84 12 2C 54 B0 53 BE 44 5C 54 3D 41 08 4E
-3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00
-30 4D EC 53 03 42 57 31 85 12 5A 54 00 00 74 54
-03 42 57 32 85 12 5A 54 00 00 80 54 03 42 57 33
-85 12 5A 54 00 00 98 54 3D 41 1A 42 C6 1D 28 4E
-B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A
-00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 96 54
-00 00 B8 54 03 46 57 32 85 12 96 54 00 00 C4 54
-03 46 57 33 85 12 96 54 00 00 00 00 05 3F 47 4F
-54 4F 3E 90 00 30 07 24 3E E0 00 04 3E B0 00 10
-02 24 3E E0 00 08 0D 12 84 12 F0 48 5C 48 BE 44
-D0 54 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C F1 3F 82 48 4A 4F 1A 55 92 53 C4 1D 3E 40
-2C 00 84 12 8A 45 AE 46 34 40 80 48 F6 50 30 55
-0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
-59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
-AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E 48 54 04 52
-52 43 4D 00 85 12 14 55 50 00 5E 55 04 52 52 41
-4D 00 85 12 14 55 50 01 6C 55 04 52 4C 41 4D 00
-85 12 14 55 50 02 7A 55 04 52 52 55 4D 00 85 12
-14 55 50 03 8A 53 05 50 55 53 48 4D 85 12 14 55
-00 15 96 55 04 50 4F 50 4D 00 85 12 14 55 00 17
-88 55 06 52 52 43 4D 2E 41 00 85 12 14 55 40 00
-B2 55 06 52 52 41 4D 2E 41 00 85 12 14 55 40 01
-C2 55 06 52 4C 41 4D 2E 41 00 85 12 14 55 40 02
-D2 55 06 52 52 55 4D 2E 41 00 85 12 14 55 40 03
-A4 55 07 50 55 53 48 4D 2E 41 85 12 14 55 00 14
-F2 55 06 50 4F 50 4D 2E 41 00 85 12 14 55 00 16
-8C 54 05 43 41 4C 4C 41 0D 12 84 12 82 48 4A 4F
-22 56 1B 42 C6 1D A2 53 C6 1D 6E 4E 3C 40 34 01
-7E 90 52 00 0B 20 7E 40 20 00 B0 12 F8 4F 5C 0E
-0C DE 8B 4C 00 00 3E 4F 3D 41 30 4D 2C 53 7E 90
-40 00 0B 20 92 53 C4 1D 7E 40 20 00 B0 12 F8 4F
-EE 23 1C 53 3E 40 2B 00 E8 3F A2 53 C6 1D 7E 90
-23 00 09 20 3C 40 3B 01 92 53 C4 1D B0 12 6E 4F
-BB 4F 02 00 DC 3F 7E 90 26 00 02 20 2C 53 F4 3F
-7E 40 28 00 1C 83 B0 12 6E 4F BB 4F 02 00 3E 40
-29 00 CB 3F 0D 12 84 12 82 48 4A 4F AE 56 69 4E
-3E 4F 3C 4F 2C 4C 1B 42 C6 1D A2 53 C6 1D 79 90
-52 00 0A 20 B0 12 F8 4F 5E 0E 5E 0E 0E DC 8B 4E
-00 00 0E 4B 3D 41 30 4D 79 90 23 00 0D 20 3C C0
-40 00 92 53 C4 1D A2 53 C6 1D B0 12 6E 4F BB 4F
-02 00 3E F0 0F 00 E8 3F 79 90 26 00 03 20 3C E0
-E0 00 EF 3F 3C C0 F0 00 79 90 40 00 12 20 92 53
-C4 1D B0 12 F8 4F D8 23 3C D0 10 00 3E 40 2B 00
-B0 12 F8 4F 92 92 C0 1D C4 1D CE 27 92 53 C4 1D
-CB 3F 3C D0 30 00 A2 53 C6 1D 3E 40 28 00 B0 12
-6E 4F BB 4F 02 00 3E 40 29 00 EA 3F 0D 12 84 12
-82 48 4A 4F 56 57 3B 4F 2C 4B 69 4E 7E 40 20 00
-79 90 52 00 03 20 B0 12 F8 4F B0 3F 3C C0 F0 00
-A2 53 C6 1D 79 90 26 00 09 20 3C D0 60 00 92 53
-C4 1D B0 12 6E 4F BB 4F 02 00 A0 3F 3C D0 70 00
-3E 40 28 00 B0 12 6E 4F BB 4F 02 00 3E 40 29 00
-E2 3F 0A 40 2C 00 A4 56 4C 57 E2 43 BE 44 60 51
-04 4D 4F 56 41 00 85 12 A2 57 C0 00 12 56 04 43
-4D 50 41 00 85 12 A2 57 D0 00 26 54 04 41 44 44
-41 00 85 12 A2 57 E0 00 E2 55 04 53 55 42 41 00
-85 12 A2 57 F0 00 0D 12 84 12 82 48 4A 4F F0 57
-69 4E 3E 4F 3C 40 00 18 79 90 52 00 05 20 B0 12
-F8 4F 0E 4C 3D 41 30 4D 82 43 7A 5C 79 90 23 00
-0B 20 92 53 C4 1D B0 12 6E 4F 2F 53 3E F0 0F 00
-5E 0A 5E 0E 0C DE ED 3F 79 90 26 00 F2 27 79 90
-40 00 12 20 92 53 C4 1D B0 12 F8 4F E2 23 3E 40
-2B 00 92 53 C4 1D B0 12 F8 4F 92 92 C0 1D C4 1D
-D8 27 92 53 C4 1D D5 3F 3E 40 28 00 B0 12 6E 4F
-8F 4E 00 00 3E 40 29 00 B0 12 F8 4F 3E 4F 3E F0
-0F 00 0C DE EA 3F 0D 12 84 12 82 48 4A 4F 80 58
-3C 4F 69 4E 3E 40 20 00 79 90 52 00 BA 27 82 43
-7A 5C 79 90 26 00 08 20 92 53 C4 1D B0 12 6E 4F
-2F 53 3E F0 0F 00 BE 3F 3E 40 28 00 B0 12 6E 4F
-F7 3F B2 4F C4 1D 1B 42 C6 1D A2 53 C6 1D 0C 4E
-3E 4F 1C D2 7A 5C 82 43 7A 5C 3C DE 8B 4C 00 00
-30 4D 0A 40 C4 1D 3E 44 0A 40 2C 00 E6 57 76 58
-B2 58 3A 40 40 51 B0 57 04 4D 4F 56 58 00 85 12
-D2 58 40 00 00 40 E8 58 06 4D 4F 56 58 2E 41 00
-85 12 D2 58 00 00 40 40 F8 58 06 4D 4F 56 58 2E
-42 00 85 12 D2 58 40 00 40 40 CC 57 04 41 44 44
-58 00 85 12 D2 58 40 00 00 50 1C 59 06 41 44 44
-58 2E 41 00 85 12 D2 58 00 00 40 50 2C 59 06 41
-44 44 58 2E 42 00 85 12 D2 58 40 00 40 50 3E 59
-05 41 44 44 43 58 85 12 D2 58 40 00 00 60 50 59
-07 41 44 44 43 58 2E 41 85 12 D2 58 00 00 40 60
-60 59 07 41 44 44 43 58 2E 42 85 12 D2 58 40 00
-40 60 DA 57 05 53 55 42 43 58 85 12 D2 58 40 00
-00 70 84 59 07 53 55 42 43 58 2E 41 85 12 D2 58
-00 00 40 70 94 59 07 53 55 42 43 58 2E 42 85 12
-D2 58 40 00 40 70 A6 59 04 53 55 42 58 00 85 12
-D2 58 40 00 00 80 B8 59 06 53 55 42 58 2E 41 00
-85 12 D2 58 00 00 40 80 C8 59 06 53 55 42 58 2E
-42 00 85 12 D2 58 40 00 40 80 BE 57 04 43 4D 50
-58 00 85 12 D2 58 40 00 00 90 EC 59 06 43 4D 50
-58 2E 41 00 85 12 D2 58 00 00 40 90 FC 59 06 43
-4D 50 58 2E 42 00 85 12 D2 58 40 00 40 90 CE 53
-05 44 41 44 44 58 85 12 D2 58 40 00 00 A0 20 5A
-07 44 41 44 44 58 2E 41 85 12 D2 58 00 00 40 A0
-30 5A 07 44 41 44 44 58 2E 42 85 12 D2 58 40 00
-40 A0 0E 5A 04 42 49 54 58 00 85 12 D2 58 40 00
-00 B0 54 5A 06 42 49 54 58 2E 41 00 85 12 D2 58
-00 00 40 B0 64 5A 06 42 49 54 58 2E 42 00 85 12
-D2 58 40 00 40 B0 76 5A 04 42 49 43 58 00 85 12
-D2 58 40 00 00 C0 88 5A 06 42 49 43 58 2E 41 00
-85 12 D2 58 00 00 40 C0 98 5A 06 42 49 43 58 2E
-42 00 85 12 D2 58 40 00 40 C0 AA 5A 04 42 49 53
-58 00 85 12 D2 58 40 00 00 D0 BC 5A 06 42 49 53
-58 2E 41 00 85 12 D2 58 00 00 40 D0 CC 5A 06 42
-49 53 58 2E 42 00 85 12 D2 58 40 00 40 D0 70 52
-04 58 4F 52 58 00 85 12 D2 58 40 00 00 E0 F0 5A
-06 58 4F 52 58 2E 41 00 85 12 D2 58 00 00 40 E0
-00 5B 06 58 4F 52 58 2E 42 00 85 12 D2 58 40 00
-40 E0 72 59 04 41 4E 44 58 00 85 12 D2 58 40 00
-00 F0 24 5B 06 41 4E 44 58 2E 41 00 85 12 D2 58
-00 00 40 F0 34 5B 06 41 4E 44 58 2E 42 00 85 12
-D2 58 40 00 40 F0 0A 40 C4 1D 3E 44 82 48 E6 57
-B2 58 3A 40 96 52 DA 59 04 52 52 43 58 00 85 12
-56 5B 40 00 00 10 68 5B 06 52 52 43 58 2E 41 00
-85 12 56 5B 00 00 40 10 78 5B 06 52 52 43 58 2E
-42 00 85 12 56 5B 40 00 40 10 8A 5B 04 52 52 55
-58 00 85 12 56 5B 40 01 00 10 9C 5B 06 52 52 55
-58 2E 41 00 85 12 56 5B 00 01 40 10 AC 5B 06 52
-52 55 58 2E 42 00 85 12 56 5B 40 01 40 10 BE 5B
-05 53 57 50 42 58 85 12 56 5B 40 00 80 10 D0 5B
-07 53 57 50 42 58 2E 41 85 12 56 5B 00 00 80 10
-E0 5B 04 52 52 41 58 00 85 12 56 5B 40 00 00 11
-F2 5B 06 52 52 41 58 2E 41 00 85 12 56 5B 00 00
-40 11 02 5C 06 52 52 41 58 2E 42 00 85 12 56 5B
-40 00 40 11 14 5C 04 53 58 54 58 00 85 12 56 5B
-40 00 80 11 26 5C 06 53 58 54 58 2E 41 00 85 12
-56 5B 00 00 80 11 02 56 05 50 55 53 48 58 85 12
-56 5B 40 00 00 12 48 5C 07 50 55 53 48 58 2E 41
-85 12 56 5B 00 00 40 12 58 5C 07 50 55 53 48 58
-2E 42 85 12 56 5B 40 00 40 12 00 00 36 5C 03 52
-50 54 0D 12 84 12 82 48 4A 4F 8C 5C 29 4E 7E 40
-20 00 79 90 52 00 06 20 B0 12 F8 4F 03 24 3E D0
-80 00 05 3C B0 12 6E 4F 1E 83 3E F0 0F 00 82 4E
-7A 5C 3E 4F 3D 41 30 4D D2 C3 23 02 E2 B2 60 02
-02 24 30 40 E0 41 1A 52 04 20 19 62 06 20 92 43
-14 20 A2 93 02 20 07 24 0A 5A 49 69 82 4A 16 20
-C2 49 18 20 0A 3C C2 4A 15 20 8A 10 C2 4A 16 20
-C2 49 17 20 89 10 C2 49 18 20 B0 12 40 5D 5A 53
-FC 23 39 40 05 00 D2 49 14 20 4E 06 82 93 46 06
-05 24 92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F
-19 83 0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3
-6C 06 FD 27 5A 92 4C 06 F3 23 30 41 1A 43 E1 3F
-19 43 3A 43 8A 10 C2 4A 4E 06 82 93 46 06 05 24
-92 B3 6C 06 FD 27 C2 93 4C 06 19 83 F3 23 5A 42
-4C 06 30 41 7E 5C 08 52 45 41 44 5F 53 57 58 00
-1C D3 F2 40 51 00 19 20 B0 12 B8 5C 38 20 B0 12
-40 5D 6A 53 04 24 FB 23 D9 42 4C 06 FF 1D F2 43
-4E 06 03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06
-3C C0 03 00 D2 D3 23 02 30 41 36 54 09 57 52 49
-54 45 5F 53 57 58 2C D3 F0 40 58 00 5D C2 B0 12
-B8 5C 15 20 3A 40 FE FF 29 43 B0 12 44 5D D2 49
-00 1E 4E 06 03 43 19 53 39 90 00 02 F8 23 39 40
-03 00 B0 12 42 5D 7A C0 E1 00 6A 92 D9 27 8C 10
-1C 52 4C 06 D2 D3 23 02 0D 12 84 12 16 43 14 40
-0B 3C 20 53 44 20 45 72 72 6F 72 21 0E 5E 2F 83
-8F 4E 00 00 B2 40 10 00 DC 1D 0E 4C 84 12 FE 44
-36 41 B0 12 8A 41 0E 93 9C 24 E2 B2 60 02 99 20
-B2 40 81 A9 40 06 B2 40 18 00 46 06 D2 D3 25 02
-B2 D0 C0 04 0C 02 92 C3 40 06 39 42 B0 12 42 5D
-D2 C3 23 02 2C 42 B2 40 95 00 14 20 B2 40 00 40
-18 20 B0 12 3C 5D 02 24 30 40 EE 5D B0 12 40 5D
-7A 93 FC 23 B2 40 87 AA 14 20 92 43 16 20 B2 40
-00 48 18 20 B0 12 3C 5D 29 42 B0 12 42 5D 92 43
-14 20 82 43 16 20 78 43 3C 42 B2 40 00 77 18 20
-B0 12 3C 5D B2 40 40 69 18 20 B0 12 FA 5C 03 24
-58 83 F3 23 D9 3F 0C 5C A2 43 16 20 B2 40 00 50
-18 20 B0 12 FA 5C D0 23 92 D3 40 06 82 43 46 06
-92 C3 40 06 09 43 B0 12 70 5D 38 40 00 1E 92 48
-C6 01 04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43
-02 20 7A 80 06 00 0F 24 7A 82 0D 24 A2 43 02 20
-6A 53 09 24 5A 53 07 24 6A 52 05 24 3A 50 0B 20
-0C 4A 30 40 F4 5D 09 43 B0 12 70 5D D2 48 0D 00
-12 20 19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93
-02 20 1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20
-09 5A A2 93 02 20 04 24 82 49 0E 20 39 50 20 00
-19 82 12 20 19 82 12 20 82 49 10 20 92 42 02 20
-2C 20 30 41 B0 12 AA 40 39 40 E0 00 29 83 89 43
-38 20 FC 23 82 43 32 20 30 41 92 4B 0E 00 22 20
-92 4B 10 00 24 20 5A 42 23 20 58 42 22 20 92 93
-02 20 08 24 59 42 24 20 89 10 0A 59 88 10 08 58
-0A 6A 88 10 08 58 30 41 82 43 1C 20 92 42 0E 20
-1A 20 C2 93 24 20 03 20 92 93 22 20 14 24 92 42
-22 20 D0 04 92 42 24 20 D2 04 92 42 12 20 C8 04
-92 42 E4 04 1A 20 92 42 E6 04 1C 20 92 52 10 20
-1A 20 82 63 1C 20 30 41 92 4B 0E 00 22 20 92 4B
-10 00 24 20 B0 12 A8 5F 5A 4B 03 00 82 5A 1A 20
-82 63 1C 20 30 41 09 93 07 24 F8 90 20 00 00 1E
-03 20 18 53 19 83 F9 23 30 41 1B 42 32 20 82 43
-1E 20 B2 90 00 02 20 20 AB 20 BB 80 00 02 12 00
-8B 73 14 00 DB 53 03 00 DB 92 12 20 03 00 14 28
-CB 43 03 00 B0 12 7A 5F 1A 52 08 20 09 43 B0 12
-70 5D 8B 43 10 00 9B 48 00 1E 0E 00 92 93 02 20
-03 24 9B 48 02 1E 10 00 B2 40 00 02 20 20 8B 93
-14 00 0B 20 92 9B 12 00 1E 20 82 2C BB 90 00 02
-12 00 03 2C 92 4B 12 00 20 20 B0 12 E8 5F 1A 42
-1A 20 19 42 1C 20 6C 3E 3C 42 3B 40 38 20 09 43
-CB 93 02 00 10 24 9B 92 24 20 0C 00 04 20 9B 92
-22 20 0A 00 07 24 09 4B 3B 50 1C 00 3B 90 18 21
-EF 23 0C 5C 30 41 0C 43 82 4B 32 20 8B 49 00 00
-09 93 0A 24 99 52 C4 1D 16 00 4A 93 05 34 C9 93
-02 00 02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00
-9B 42 1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20
-8B 48 08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00
-9B 48 1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E
-12 00 9B 48 1E 1E 14 00 82 43 1E 20 6A 93 5C 27
-C9 37 8B 43 16 00 7A 93 02 24 07 38 95 3F B2 40
-1C 21 CA 40 B2 40 54 43 6E 42 9B 42 C0 1D 18 00
-9B 82 C4 1D 18 00 9B 42 C2 1D 1A 00 9B 52 C4 1D
-1A 00 82 3F CB 43 02 00 2B 4B 82 4B 32 20 0B 93
-06 24 92 4B 16 00 1E 20 B0 12 68 60 22 C3 30 41
-1B 42 32 20 0B 93 FB 27 EB 93 02 00 04 20 B0 12
-3E 66 B0 12 06 66 CB 93 02 00 E4 37 1E 4B 18 00
-9F 4B 1A 00 00 00 31 50 06 00 3D 41 B0 12 64 61
-02 24 30 40 34 43 B2 40 3C 1D CA 40 B2 40 70 42
-6E 42 30 40 16 43 46 4E 85 52 45 41 44 22 5A 43
-19 3C BA 4C 86 57 52 49 54 45 22 00 6A 43 12 3C
-AE 4D 84 44 45 4C 22 00 6A 42 0C 3C CE 4A 05 43
-4C 4F 53 45 B0 12 80 61 30 4D 68 4C 85 4C 4F 41
-44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93 BE 1D
-0B 24 0D 12 84 12 0A 40 0A 40 B8 47 B8 47 42 45
-0A 40 38 62 B8 47 BE 44 0D 12 84 12 0A 40 22 00
-8A 45 18 48 36 62 3D 41 36 4F 0E 56 82 4E 36 20
-1C 43 92 42 2C 20 22 20 92 42 2E 20 24 20 0E 96
-8D 24 F6 90 3A 00 01 00 01 20 26 53 F6 90 5C 00
-00 00 08 20 16 53 92 42 02 20 22 20 82 43 24 20
-0E 96 70 24 82 46 34 20 B0 12 A8 5F 35 40 20 00
-A2 93 02 20 04 24 92 92 22 20 02 20 02 24 15 42
-12 20 B0 12 8E 60 2C 43 0A 43 08 4A 58 0E 08 58
-82 48 30 20 C8 93 00 1E 61 24 39 42 F8 96 00 1E
-04 20 18 53 19 83 FA 23 16 53 F6 90 2E 00 FF FF
-19 24 39 50 03 00 B0 12 06 60 06 20 F6 90 5C 00
-FF FF 29 24 0E 96 27 28 16 42 34 20 1A 53 3A 90
-10 00 DB 23 92 53 1A 20 82 63 1C 20 15 83 D1 23
-2C 42 3C 3C F6 90 2E 00 FE FF EE 27 B0 12 06 60
-EB 23 39 40 03 00 F8 96 00 1E 04 20 18 53 19 83
-FA 23 09 3C 0E 96 E0 2F F6 90 5C 00 FF FF DC 23
-B0 12 06 60 D9 23 18 42 30 20 92 48 1A 1E 22 20
-92 48 14 1E 24 20 F8 B0 10 00 0B 1E 14 24 82 93
-24 20 06 20 82 93 22 20 03 20 92 42 02 20 22 20
-0E 96 8E 2F 92 42 22 20 2C 20 92 42 24 20 2E 20
-8F 43 00 00 03 3C 2A 4F B0 12 98 60 35 40 D4 40
-36 40 E2 40 3A 4F 3E 4F 0A 93 04 24 7A 93 39 20
-0C 93 01 20 30 4D 0D 12 84 12 16 43 14 40 0B 3C
-20 4F 70 65 6E 45 72 72 6F 72 3A 40 0C 5E D2 42
-01 2B 3E 5F 30 4D EE 61 04 42 4F 4F 54 00 39 40
-22 5E 2E 93 01 2C 30 41 E2 B2 60 02 02 24 10 49
-02 00 89 12 3F 40 7E 1C 8F 43 00 00 82 43 BE 1D
-B2 40 00 1C 00 1C 31 40 E0 1C 84 12 14 40 0F 4C
-4F 41 44 22 20 42 4F 4F 54 2E 34 54 48 22 3A 40
-A0 48 1A 93 BB 20 0C 93 C6 23 30 4D C8 61 04 52
-45 41 44 00 2F 83 8F 4E 00 00 1E 42 32 20 B0 12
-1A 60 1E 82 32 20 30 4D 2C 43 12 12 2A 20 18 42
-02 20 08 58 2A 41 82 9A 0A 20 A6 24 1A 52 08 20
-09 43 B0 12 70 5D 09 43 28 93 03 24 89 93 02 1E
-03 20 89 93 00 1E 07 24 09 58 39 90 00 02 F4 23
-91 53 00 00 E7 3F 0C 43 6A 41 B9 43 00 1E 28 93
-0F 24 B9 40 FF 0F 02 1E 09 11 8A 10 09 5A 5A 41
-01 00 0A 11 09 10 82 4A 28 20 82 49 26 20 07 3C
-09 11 C2 49 26 20 C2 4A 27 20 82 43 28 20 3A 41
-82 4A 2A 20 30 41 0A 12 1A 52 08 20 09 43 B0 12
-B6 5D 3A 41 1A 52 0C 20 09 43 B0 12 B6 5D F2 B0
-40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27 5A 42
-B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04 D2 42
-B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04 19 52
-E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04 1A 52
-E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04 B2 40
-00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C 2F 3A
-3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38 39 80
-03 00 B0 12 5A 65 39 40 03 00 7A 4B C8 4A 00 1E
-82 9B 36 20 12 28 0D 12 3D 40 0F 00 3C 40 0A 65
-7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C E6 27 3A 80
-21 00 EB 3B 18 53 19 83 E8 23 09 93 06 24 F8 40
-20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93 DC 20
-2C 93 0E 24 0C 93 AB 24 0D 12 84 12 14 40 0C 3C
-20 57 72 69 74 65 45 72 72 6F 72 00 3A 40 0C 5E
-B0 12 18 64 92 42 26 20 22 20 92 42 28 20 24 20
-B0 12 96 64 B0 12 8E 60 18 42 30 20 F8 40 20 00
-0B 1E B0 12 AE 64 88 43 0C 1E 88 4A 0E 1E 88 49
-10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42 22 20
-1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 34 20
-82 9B 36 20 C9 27 FB 90 2E 00 00 00 C5 27 39 40
-0B 00 B0 12 2A 65 B0 12 48 66 2A 43 B0 12 98 60
-0C 93 BA 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12
-70 5D B0 12 AE 64 18 4B 08 00 88 49 12 1E 88 4A
-16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00
-1E 1E 1A 4B 04 00 19 4B 06 00 30 40 B6 5D 9B 52
-1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20
-30 40 B6 5D B2 40 00 02 1E 20 1B 42 32 20 B0 12
-3E 66 82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00
-25 20 CB 43 03 00 B0 12 7A 5F 08 12 0A 12 B0 12
-18 64 2A 91 08 24 B0 12 96 64 2A 41 1A 52 08 20
-09 43 B0 12 70 5D 3A 41 38 41 98 42 26 20 00 1E
-92 93 02 20 03 24 98 42 28 20 02 1E B0 12 96 64
-9B 42 26 20 0E 00 9B 42 28 20 10 00 30 40 E8 5F
-D4 61 05 57 52 49 54 45 B0 12 54 66 30 4D 58 4B
-13 00 59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42
-12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11
-FA 2B 0A 11 1C 83 FD 37 1B 42 32 20 19 5B 0A 00
-18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00
-1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A
-1E 20 B0 12 8A 60 30 4D 0C 93 3B 20 38 90 E0 01
-03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E
-B0 12 48 66 B0 12 86 5F 82 4A 2A 20 0B 4A 1A 52
-08 20 09 43 B0 12 70 5D 1A 48 00 1E 88 43 00 1E
-92 93 02 20 09 24 19 48 02 1E 88 43 02 1E 39 F0
-FF 0F 39 90 FF 0F 02 20 3A 93 0E 24 82 4A 22 20
-82 49 24 20 B0 12 86 5F 0B 9A E6 27 0A 12 0A 4B
-B0 12 96 64 3A 41 DA 3F 0A 4B B0 12 96 64 B0 12
-80 61 30 4D FA 44 08 54 45 52 4D 32 53 44 22 00
-B0 12 C2 41 A2 C2 EC 06 0D 12 84 12 E8 61 0A 40
-02 00 28 40 18 48 38 62 CA 67 B0 12 B6 41 A2 C2
-EC 06 0A 43 92 B3 EC 06 FD 27 59 42 CC 06 69 92
-19 24 CA 49 00 1E 1A 53 79 90 0A 00 0D 20 B0 12
-C2 41 A2 C2 EC 06 84 12 0A 40 0A 00 FA 42 00 68
-B0 12 B6 41 A2 C2 EC 06 3A 90 00 02 E3 2B B0 12
-54 66 DF 3F 3D 41 82 4A 1E 20 B0 12 80 61 92 B3
-EC 06 FD 27 59 42 CC 06 79 90 0A 00 F8 23 B0 12
-C2 41 A2 C2 EC 06 30 4D
+39 40 10 02 08 49 28 53 99 3F 12 43 05 44 45 46
+45 52 B0 12 60 49 BA 40 30 40 FC FF BA 40 E2 4D
+FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 02 4A 82 49
+53 00 0D 12 82 93 BE 1D 06 24 84 12 F6 48 0A 40
+74 4A A8 47 AE 44 84 12 E0 48 74 4A AE 44 1A 4A
+04 43 4F 44 45 00 B0 12 60 49 A2 82 C6 1D 82 43
+62 5C 0D 12 84 12 48 4D 1A 4D AE 44 7E 4A 07 48
+44 4E 43 4F 44 45 B2 40 1E 4D DA 1D EC 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 B6 49 6E 4D
+A2 4D AE 44 A0 4A 07 43 4F 44 45 4E 4E 4D 30 12
+AA 4A A6 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 6E 4D A2 4D AE 44 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+BE 4A 85 48 49 32 4C 4F 0D 12 84 12 28 40 82 4C
+A8 47 0A 49 AE 4A AE 44 34 4A 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 4A 4B 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 40 00 00 26 44 62 48 7A 45 F8 47
+EE 43 34 40 E2 4B FC 43 14 40 06 5B 54 48 45 4E
+5D 00 54 4B BC 4B 78 4B 9A 4B AE 44 FC 43 14 40
+06 5B 45 4C 53 45 5D 00 54 4B D2 4B 78 4B 98 4B
+AE 44 14 40 04 5B 49 46 5D 00 54 4B 9A 4B 3A 40
+98 4B D0 43 14 40 05 0D 0A 6B 6F 20 AA 43 BC 40
+AC 40 3A 40 9A 4B 88 4B 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D F8 4B 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 62 48 7A 45 E2 45
+06 4C AE 44 0C 4C 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 16 4C 3E 44 AE 44 3E 4C B2 4E
+0A 18 B2 4E 0C 18 BE 12 3E 4F 3D 41 DB 3C BA 47
+06 4D 41 52 4B 45 52 00 B0 12 60 49 BA 40 85 12
+FC FF BA 40 3C 4C FE FF 28 83 8A 48 00 00 9A 42
+C8 1D 02 00 BA 40 AA 40 04 00 B2 50 06 00 C6 1D
+9D 3E 2E 53 30 4D 5C 4A 05 44 4F 45 53 3E 1A 42
+BA 1D BA 40 85 12 00 00 8A 4D 02 00 3D 41 30 4D
+74 45 0A 56 4F 43 41 42 55 4C 41 52 59 00 0D 12
+84 12 22 4A 0A 40 10 00 0A 40 00 00 3E 40 0A 40
+00 00 A8 47 60 40 BE 4C 28 40 0A 40 C8 1D EE 43
+2E 44 A8 47 36 44 8E 4C 0A 40 CA 1D 36 44 AE 44
+DE 48 05 46 4F 52 54 48 85 12 D8 4C 42 4D 96 63
+CA 61 E2 4C 32 4B D4 42 E4 61 88 4D 14 4E EC 63
+94 67 B0 66 00 00 88 63 1C 49 42 46 00 00 C6 48
+09 41 53 53 45 4D 42 4C 45 52 85 12 D8 4C 2E 5B
+C6 5A 2A 5A EA 54 7C 53 00 00 F2 58 00 00 52 5C
+4E 5D E0 53 94 5D FA 5A 00 00 00 00 C4 54 0C 4D
+10 4D 04 41 4C 53 4F 00 3A 40 0C 00 39 40 D6 1D
+08 49 28 53 19 83 18 83 E8 49 00 00 1A 83 FA 23
+30 4D 28 49 08 50 52 45 56 49 4F 55 53 00 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 60 45 04 4F 4E 4C 59 00 82 43
+CC 1D 30 4D 88 4C 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 CA 1D DA 1D 30 4D E8 4C 8E 4D A2 4D
+B2 4D 3A 4E 82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 64 4D 09 50 57 52 5F 53 54 41 54 45
+85 12 AA 4D 3E 4D 00 68 2E 45 09 52 53 54 5F 53
+54 41 54 45 92 42 0A 18 F6 4D 92 42 0C 18 F4 4D
+EF 3F E6 4D 08 50 57 52 5F 48 45 52 45 00 92 42
+C6 1D F6 4D 92 42 C8 1D F4 4D 30 4D FA 4D 08 52
+53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18 92 42
+C8 1D 0C 18 EC 3F 3E 90 0E 00 D2 27 2E 92 DA 37
+0E 93 CE 37 39 40 10 00 29 83 B9 43 80 FF FC 23
+B9 40 96 4E FE FF 29 83 B9 40 E2 41 FE FF 39 90
+AE FF F9 23 39 40 14 18 B2 49 E4 41 B2 49 FA 40
+B2 49 02 40 B2 49 02 42 B2 49 BC FF B2 49 0A 18
+B2 49 0C 18 B7 3F B2 D0 03 00 04 01 B2 D0 10 00
+00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40 80 1C
+39 40 00 10 29 83 89 43 00 1C FC 23 B2 D3 06 02
+B2 40 FC FF 02 02 B2 43 26 02 B2 D3 22 02 B2 43
+42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
+26 03 F2 D3 22 03 F2 40 A5 00 61 01 82 43 66 01
+B2 40 33 00 64 01 D2 43 61 01 39 40 40 00 18 42
+00 18 18 83 FE 23 19 83 FA 23 F2 D0 10 00 2A 03
+F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 B2 42 B0 01
+1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 40
+00 42 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52
+C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
+82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12
+7A 45 E2 45 3E 44 34 40 72 4F 9E 46 34 40 8C 4F
+86 4F 74 4F 3C 4E 3C 80 87 12 05 24 1C 53 02 20
+2E 4E 01 3C 2E 83 21 52 1B 17 30 41 8E 4F B2 41
+C4 1D 3E 41 84 12 0A 40 2B 00 7A 45 E2 45 3E 44
+34 40 AA 4F 9E 46 34 40 60 48 08 44 7A 45 9E 46
+34 40 60 48 B6 4F 3E 5F E7 3F 32 B0 00 02 01 24
+3E 4F 30 41 3E 40 28 00 B0 12 56 4F B0 12 BA 4F
+19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00
+1C 15 92 92 C0 1D C4 1D 02 20 30 40 CE 49 12 12
+C4 1D 92 53 C4 1D 84 12 7A 45 9E 46 34 40 0C 50
+02 50 21 53 3E 90 10 00 84 2D BE 2B 0E 50 B2 41
+C4 1D BA 3F 0D 12 84 12 62 48 32 4F 1E 50 0C 43
+1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00
+29 20 92 53 C4 1D B0 12 56 4F B0 12 BA 4F 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 09 20 3C 40 10 02 92 53
+C4 1D B0 12 56 4F B0 12 BA 4F EB 3F 7A 90 40 00
+16 20 3C 40 20 00 92 53 C4 1D B0 12 E0 4F 0C 20
+3C 50 10 00 3E 40 2B 00 B0 12 E0 4F 92 92 C0 1D
+C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E D8 3F B0 12
+E0 4F FA 23 3C 50 10 00 B0 12 C4 4F EF 3F 0C 43
+1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 62 48 32 4F
+F2 50 FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
+82 00 C5 3F B0 12 E0 4F E0 23 3C 50 80 00 B0 12
+C4 4F DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
+0A 40 00 13 A8 47 AE 44 0A 40 2C 00 14 50 E8 50
+32 51 09 4B 2E 4E 0E DC A0 3F 20 4B 03 4D 4F 56
+85 12 28 51 00 40 3C 51 05 4D 4F 56 2E 42 85 12
+28 51 40 40 00 00 03 41 44 44 85 12 28 51 00 50
+56 51 05 41 44 44 2E 42 85 12 28 51 40 50 62 51
+04 41 44 44 43 00 85 12 28 51 00 60 70 51 06 41
+44 44 43 2E 42 00 85 12 28 51 40 60 16 51 04 53
+55 42 43 00 85 12 28 51 00 70 8E 51 06 53 55 42
+43 2E 42 00 85 12 28 51 40 70 9C 51 03 53 55 42
+85 12 28 51 00 80 AC 51 05 53 55 42 2E 42 85 12
+28 51 40 80 F6 4A 03 43 4D 50 85 12 28 51 00 90
+C6 51 05 43 4D 50 2E 42 85 12 28 51 40 90 D0 4A
+04 44 41 44 44 00 85 12 28 51 00 A0 E0 51 06 44
+41 44 44 2E 42 00 85 12 28 51 40 A0 D2 51 03 42
+49 54 85 12 28 51 00 B0 FE 51 05 42 49 54 2E 42
+85 12 28 51 40 B0 0A 52 03 42 49 43 85 12 28 51
+00 C0 18 52 05 42 49 43 2E 42 85 12 28 51 40 C0
+24 52 03 42 49 53 85 12 28 51 00 D0 32 52 05 42
+49 53 2E 42 85 12 28 51 40 D0 00 00 03 58 4F 52
+85 12 28 51 00 E0 4C 52 05 58 4F 52 2E 42 85 12
+28 51 40 E0 7E 51 03 41 4E 44 85 12 28 51 00 F0
+66 52 05 41 4E 44 2E 42 85 12 28 51 40 F0 62 48
+14 50 84 52 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
+0C DA 4F 3F B8 51 03 52 52 43 85 12 7E 52 00 10
+96 52 05 52 52 43 2E 42 85 12 7E 52 40 10 A2 52
+04 53 57 50 42 00 85 12 7E 52 80 10 B0 52 03 52
+52 41 85 12 7E 52 00 11 BE 52 05 52 52 41 2E 42
+85 12 7E 52 40 11 CA 52 03 53 58 54 85 12 7E 52
+80 11 00 00 04 50 55 53 48 00 85 12 7E 52 00 12
+E4 52 06 50 55 53 48 2E 42 00 85 12 7E 52 40 12
+3E 52 04 43 41 4C 4C 00 85 12 7E 52 80 12 1A 53
+0E 4A 0D 12 84 12 24 45 14 40 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 36 41 D8 52 03 53 3E 3D
+86 12 00 38 2C 53 02 53 3C 00 86 12 00 34 F2 52
+03 30 3E 3D 86 12 00 30 40 53 02 30 3C 00 86 12
+00 30 00 00 02 55 3C 00 86 12 00 2C 54 53 03 55
+3E 3D 86 12 00 28 4A 53 03 30 3C 3E 86 12 00 24
+68 53 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
+1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D
+5E 53 04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
+00 00 30 4D EE 51 04 45 4C 53 45 00 1A 42 C6 1D
+BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00
+E3 3F 02 53 05 42 45 47 49 4E 30 40 28 40 92 53
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D
+2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C6 1D 30 4D 72 52 05 41
+47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
+48 49 4C 45 0D 12 84 12 80 53 08 44 AE 44 36 53
+06 52 45 50 45 41 54 00 0D 12 84 12 14 54 98 53
+AE 44 44 54 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D
+CB 2F 98 42 C6 1D 00 00 30 4D D4 53 03 42 57 31
+85 12 42 54 00 00 5C 54 03 42 57 32 85 12 42 54
+00 00 68 54 03 42 57 33 85 12 42 54 00 00 80 54
+3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F
+00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00
+03 46 57 31 85 12 7E 54 00 00 A0 54 03 46 57 32
+85 12 7E 54 00 00 AC 54 03 46 57 33 85 12 7E 54
+00 00 00 00 05 3F 47 4F 54 4F 3E 90 00 30 07 24
+3E E0 00 04 3E B0 00 10 02 24 3E E0 00 08 0D 12
+84 12 E0 48 3C 48 AE 44 B8 54 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C F1 3F 62 48 32 4F
+02 55 92 53 C4 1D 3E 40 2C 00 84 12 7A 45 9E 46
+34 40 60 48 DE 50 18 55 0A 4E 3E 4F 1A 83 F7 32
+29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
+38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
+5A 06 A6 3E 30 54 04 52 52 43 4D 00 85 12 FC 54
+50 00 46 55 04 52 52 41 4D 00 85 12 FC 54 50 01
+54 55 04 52 4C 41 4D 00 85 12 FC 54 50 02 62 55
+04 52 52 55 4D 00 85 12 FC 54 50 03 72 53 05 50
+55 53 48 4D 85 12 FC 54 00 15 7E 55 04 50 4F 50
+4D 00 85 12 FC 54 00 17 70 55 06 52 52 43 4D 2E
+41 00 85 12 FC 54 40 00 9A 55 06 52 52 41 4D 2E
+41 00 85 12 FC 54 40 01 AA 55 06 52 4C 41 4D 2E
+41 00 85 12 FC 54 40 02 BA 55 06 52 52 55 4D 2E
+41 00 85 12 FC 54 40 03 8C 55 07 50 55 53 48 4D
+2E 41 85 12 FC 54 00 14 DA 55 06 50 4F 50 4D 2E
+41 00 85 12 FC 54 00 16 74 54 05 43 41 4C 4C 41
+0D 12 84 12 62 48 32 4F 0A 56 1B 42 C6 1D A2 53
+C6 1D 6E 4E 3C 40 34 01 7E 90 52 00 0B 20 7E 40
+20 00 B0 12 E0 4F 5C 0E 0C DE 8B 4C 00 00 3E 4F
+3D 41 30 4D 2C 53 7E 90 40 00 0B 20 92 53 C4 1D
+7E 40 20 00 B0 12 E0 4F EE 23 1C 53 3E 40 2B 00
+E8 3F A2 53 C6 1D 7E 90 23 00 09 20 3C 40 3B 01
+92 53 C4 1D B0 12 56 4F BB 4F 02 00 DC 3F 7E 90
+26 00 02 20 2C 53 F4 3F 7E 40 28 00 1C 83 B0 12
+56 4F BB 4F 02 00 3E 40 29 00 CB 3F 0D 12 84 12
+62 48 32 4F 96 56 69 4E 3E 4F 3C 4F 2C 4C 1B 42
+C6 1D A2 53 C6 1D 79 90 52 00 0A 20 B0 12 E0 4F
+5E 0E 5E 0E 0E DC 8B 4E 00 00 0E 4B 3D 41 30 4D
+79 90 23 00 0D 20 3C C0 40 00 92 53 C4 1D A2 53
+C6 1D B0 12 56 4F BB 4F 02 00 3E F0 0F 00 E8 3F
+79 90 26 00 03 20 3C E0 E0 00 EF 3F 3C C0 F0 00
+79 90 40 00 12 20 92 53 C4 1D B0 12 E0 4F D8 23
+3C D0 10 00 3E 40 2B 00 B0 12 E0 4F 92 92 C0 1D
+C4 1D CE 27 92 53 C4 1D CB 3F 3C D0 30 00 A2 53
+C6 1D 3E 40 28 00 B0 12 56 4F BB 4F 02 00 3E 40
+29 00 EA 3F 0D 12 84 12 62 48 32 4F 3E 57 3B 4F
+2C 4B 69 4E 7E 40 20 00 79 90 52 00 03 20 B0 12
+E0 4F B0 3F 3C C0 F0 00 A2 53 C6 1D 79 90 26 00
+09 20 3C D0 60 00 92 53 C4 1D B0 12 56 4F BB 4F
+02 00 A0 3F 3C D0 70 00 3E 40 28 00 B0 12 56 4F
+BB 4F 02 00 3E 40 29 00 E2 3F 0A 40 2C 00 8C 56
+34 57 D2 43 AE 44 48 51 04 4D 4F 56 41 00 85 12
+8A 57 C0 00 FA 55 04 43 4D 50 41 00 85 12 8A 57
+D0 00 0E 54 04 41 44 44 41 00 85 12 8A 57 E0 00
+CA 55 04 53 55 42 41 00 85 12 8A 57 F0 00 0D 12
+84 12 62 48 32 4F D8 57 69 4E 3E 4F 3C 40 00 18
+79 90 52 00 05 20 B0 12 E0 4F 0E 4C 3D 41 30 4D
+82 43 62 5C 79 90 23 00 0B 20 92 53 C4 1D B0 12
+56 4F 2F 53 3E F0 0F 00 5E 0A 5E 0E 0C DE ED 3F
+79 90 26 00 F2 27 79 90 40 00 12 20 92 53 C4 1D
+B0 12 E0 4F E2 23 3E 40 2B 00 92 53 C4 1D B0 12
+E0 4F 92 92 C0 1D C4 1D D8 27 92 53 C4 1D D5 3F
+3E 40 28 00 B0 12 56 4F 8F 4E 00 00 3E 40 29 00
+B0 12 E0 4F 3E 4F 3E F0 0F 00 0C DE EA 3F 0D 12
+84 12 62 48 32 4F 68 58 3C 4F 69 4E 3E 40 20 00
+79 90 52 00 BA 27 82 43 62 5C 79 90 26 00 08 20
+92 53 C4 1D B0 12 56 4F 2F 53 3E F0 0F 00 BE 3F
+3E 40 28 00 B0 12 56 4F F7 3F B2 4F C4 1D 1B 42
+C6 1D A2 53 C6 1D 0C 4E 3E 4F 1C D2 62 5C 82 43
+62 5C 3C DE 8B 4C 00 00 30 4D 0A 40 C4 1D 2E 44
+0A 40 2C 00 CE 57 5E 58 9A 58 3A 40 28 51 98 57
+04 4D 4F 56 58 00 85 12 BA 58 40 00 00 40 D0 58
+06 4D 4F 56 58 2E 41 00 85 12 BA 58 00 00 40 40
+E0 58 06 4D 4F 56 58 2E 42 00 85 12 BA 58 40 00
+40 40 B4 57 04 41 44 44 58 00 85 12 BA 58 40 00
+00 50 04 59 06 41 44 44 58 2E 41 00 85 12 BA 58
+00 00 40 50 14 59 06 41 44 44 58 2E 42 00 85 12
+BA 58 40 00 40 50 26 59 05 41 44 44 43 58 85 12
+BA 58 40 00 00 60 38 59 07 41 44 44 43 58 2E 41
+85 12 BA 58 00 00 40 60 48 59 07 41 44 44 43 58
+2E 42 85 12 BA 58 40 00 40 60 C2 57 05 53 55 42
+43 58 85 12 BA 58 40 00 00 70 6C 59 07 53 55 42
+43 58 2E 41 85 12 BA 58 00 00 40 70 7C 59 07 53
+55 42 43 58 2E 42 85 12 BA 58 40 00 40 70 8E 59
+04 53 55 42 58 00 85 12 BA 58 40 00 00 80 A0 59
+06 53 55 42 58 2E 41 00 85 12 BA 58 00 00 40 80
+B0 59 06 53 55 42 58 2E 42 00 85 12 BA 58 40 00
+40 80 A6 57 04 43 4D 50 58 00 85 12 BA 58 40 00
+00 90 D4 59 06 43 4D 50 58 2E 41 00 85 12 BA 58
+00 00 40 90 E4 59 06 43 4D 50 58 2E 42 00 85 12
+BA 58 40 00 40 90 B6 53 05 44 41 44 44 58 85 12
+BA 58 40 00 00 A0 08 5A 07 44 41 44 44 58 2E 41
+85 12 BA 58 00 00 40 A0 18 5A 07 44 41 44 44 58
+2E 42 85 12 BA 58 40 00 40 A0 F6 59 04 42 49 54
+58 00 85 12 BA 58 40 00 00 B0 3C 5A 06 42 49 54
+58 2E 41 00 85 12 BA 58 00 00 40 B0 4C 5A 06 42
+49 54 58 2E 42 00 85 12 BA 58 40 00 40 B0 5E 5A
+04 42 49 43 58 00 85 12 BA 58 40 00 00 C0 70 5A
+06 42 49 43 58 2E 41 00 85 12 BA 58 00 00 40 C0
+80 5A 06 42 49 43 58 2E 42 00 85 12 BA 58 40 00
+40 C0 92 5A 04 42 49 53 58 00 85 12 BA 58 40 00
+00 D0 A4 5A 06 42 49 53 58 2E 41 00 85 12 BA 58
+00 00 40 D0 B4 5A 06 42 49 53 58 2E 42 00 85 12
+BA 58 40 00 40 D0 58 52 04 58 4F 52 58 00 85 12
+BA 58 40 00 00 E0 D8 5A 06 58 4F 52 58 2E 41 00
+85 12 BA 58 00 00 40 E0 E8 5A 06 58 4F 52 58 2E
+42 00 85 12 BA 58 40 00 40 E0 5A 59 04 41 4E 44
+58 00 85 12 BA 58 40 00 00 F0 0C 5B 06 41 4E 44
+58 2E 41 00 85 12 BA 58 00 00 40 F0 1C 5B 06 41
+4E 44 58 2E 42 00 85 12 BA 58 40 00 40 F0 0A 40
+C4 1D 2E 44 62 48 CE 57 9A 58 3A 40 7E 52 C2 59
+04 52 52 43 58 00 85 12 3E 5B 40 00 00 10 50 5B
+06 52 52 43 58 2E 41 00 85 12 3E 5B 00 00 40 10
+60 5B 06 52 52 43 58 2E 42 00 85 12 3E 5B 40 00
+40 10 72 5B 04 52 52 55 58 00 85 12 3E 5B 40 01
+00 10 84 5B 06 52 52 55 58 2E 41 00 85 12 3E 5B
+00 01 40 10 94 5B 06 52 52 55 58 2E 42 00 85 12
+3E 5B 40 01 40 10 A6 5B 05 53 57 50 42 58 85 12
+3E 5B 40 00 80 10 B8 5B 07 53 57 50 42 58 2E 41
+85 12 3E 5B 00 00 80 10 C8 5B 04 52 52 41 58 00
+85 12 3E 5B 40 00 00 11 DA 5B 06 52 52 41 58 2E
+41 00 85 12 3E 5B 00 00 40 11 EA 5B 06 52 52 41
+58 2E 42 00 85 12 3E 5B 40 00 40 11 FC 5B 04 53
+58 54 58 00 85 12 3E 5B 40 00 80 11 0E 5C 06 53
+58 54 58 2E 41 00 85 12 3E 5B 00 00 80 11 EA 55
+05 50 55 53 48 58 85 12 3E 5B 40 00 00 12 30 5C
+07 50 55 53 48 58 2E 41 85 12 3E 5B 00 00 40 12
+40 5C 07 50 55 53 48 58 2E 42 85 12 3E 5B 40 00
+40 12 00 00 1E 5C 03 52 50 54 0D 12 84 12 62 48
+32 4F 74 5C 29 4E 7E 40 20 00 79 90 52 00 06 20
+B0 12 E0 4F 03 24 3E D0 80 00 05 3C B0 12 56 4F
+1E 83 3E F0 0F 00 82 4E 62 5C 3E 4F 3D 41 30 4D
+D2 C3 23 02 E2 B2 60 02 02 24 30 40 E2 41 1A 52
+04 20 19 62 06 20 92 43 14 20 A2 93 02 20 07 24
+0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A
+15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49
+18 20 B0 12 28 5D 5A 53 FC 23 39 40 05 00 D2 49
+14 20 4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27
+C2 93 4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06
+82 93 46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06
+F3 23 30 41 1A 43 E1 3F 19 43 3A 43 8A 10 C2 4A
+4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
+4C 06 19 83 F3 23 5A 42 4C 06 30 41 66 5C 08 52
+45 41 44 5F 53 57 58 00 1C D3 F2 40 51 00 19 20
+B0 12 A0 5C 38 20 B0 12 28 5D 6A 53 04 24 FB 23
+D9 42 4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90
+01 02 F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02
+30 41 1E 54 09 57 52 49 54 45 5F 53 57 58 2C D3
+F0 40 58 00 75 C2 B0 12 A0 5C 15 20 3A 40 FE FF
+29 43 B0 12 2C 5D D2 49 00 1E 4E 06 03 43 19 53
+39 90 00 02 F8 23 39 40 03 00 B0 12 2A 5D 7A C0
+E1 00 6A 92 D9 27 8C 10 1C 52 4C 06 D2 D3 23 02
+0D 12 84 12 18 43 14 40 0B 3C 20 53 44 20 45 72
+72 6F 72 21 F6 5D 2F 83 8F 4E 00 00 B2 40 10 00
+DC 1D 0E 4C 84 12 EE 44 36 41 B0 12 8C 41 0E 93
+9C 24 E2 B2 60 02 99 20 B2 40 81 A9 40 06 B2 40
+18 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3
+40 06 39 42 B0 12 2A 5D D2 C3 23 02 2C 42 B2 40
+95 00 14 20 B2 40 00 40 18 20 B0 12 24 5D 02 24
+30 40 D6 5D B0 12 28 5D 7A 93 FC 23 B2 40 87 AA
+14 20 92 43 16 20 B2 40 00 48 18 20 B0 12 24 5D
+29 42 B0 12 2A 5D 92 43 14 20 82 43 16 20 78 43
+3C 42 B2 40 00 77 18 20 B0 12 24 5D B2 40 40 69
+18 20 B0 12 E2 5C 03 24 58 83 F3 23 D9 3F 0C 5C
+A2 43 16 20 B2 40 00 50 18 20 B0 12 E2 5C D0 23
+92 D3 40 06 82 43 46 06 92 C3 40 06 09 43 B0 12
+58 5D 38 40 00 1E 92 48 C6 01 04 20 92 48 C8 01
+06 20 5A 48 C2 01 92 43 02 20 7A 80 06 00 0F 24
+7A 82 0D 24 A2 43 02 20 6A 53 09 24 5A 53 07 24
+6A 52 05 24 3A 50 0B 20 0C 4A 30 40 DC 5D 09 43
+B0 12 58 5D D2 48 0D 00 12 20 19 48 0E 00 82 49
+08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A
+0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24
+82 49 0E 20 39 50 20 00 19 82 12 20 19 82 12 20
+82 49 10 20 92 42 02 20 2C 20 30 41 B0 12 AA 40
+39 40 E0 00 29 83 89 43 38 20 FC 23 82 43 32 20
+30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20 5A 42
+23 20 58 42 22 20 92 93 02 20 08 24 59 42 24 20
+89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58 30 41
+82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20 03 20
+92 93 22 20 14 24 92 42 22 20 D0 04 92 42 24 20
+D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20 92 42
+E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20 30 41
+92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12 90 5F
+5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41 09 93
+07 24 F8 90 20 00 00 1E 03 20 18 53 19 83 F9 23
+30 41 1B 42 32 20 82 43 1E 20 B2 90 00 02 20 20
+AB 20 BB 80 00 02 12 00 8B 73 14 00 DB 53 03 00
+DB 92 12 20 03 00 14 28 CB 43 03 00 B0 12 62 5F
+1A 52 08 20 09 43 B0 12 58 5D 8B 43 10 00 9B 48
+00 1E 0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00
+B2 40 00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00
+1E 20 82 2C BB 90 00 02 12 00 03 2C 92 4B 12 00
+20 20 B0 12 D0 5F 1A 42 1A 20 19 42 1C 20 6C 3E
+3C 42 3B 40 38 20 09 43 CB 93 02 00 10 24 9B 92
+24 20 0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B
+3B 50 1C 00 3B 90 18 21 EF 23 0C 5C 30 41 0C 43
+82 4B 32 20 8B 49 00 00 09 93 0A 24 99 52 C4 1D
+16 00 4A 93 05 34 C9 93 02 00 02 34 5A 59 02 00
+CB 4A 02 00 CB 43 03 00 9B 42 1A 20 04 00 9B 42
+1C 20 06 00 18 42 30 20 8B 48 08 00 9B 48 1A 1E
+0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48
+14 1E 10 00 9B 48 1C 1E 12 00 9B 48 1E 1E 14 00
+82 43 1E 20 6A 93 5C 27 C9 37 8B 43 16 00 7A 93
+02 24 07 38 95 3F B2 40 1C 21 CA 40 B2 40 44 43
+70 42 9B 42 C0 1D 18 00 9B 82 C4 1D 18 00 9B 42
+C2 1D 1A 00 9B 52 C4 1D 1A 00 82 3F CB 43 02 00
+2B 4B 82 4B 32 20 0B 93 06 24 92 4B 16 00 1E 20
+B0 12 50 60 22 C3 30 41 1B 42 32 20 0B 93 FB 27
+EB 93 02 00 04 20 B0 12 2C 66 B0 12 F4 65 CB 93
+02 00 E4 37 1E 4B 18 00 9F 4B 1A 00 00 00 31 50
+06 00 3D 41 B0 12 4C 61 02 24 30 40 36 43 B2 40
+3C 1D CA 40 B2 40 72 42 70 42 30 40 18 43 2E 4E
+85 52 45 41 44 22 5A 43 19 3C A2 4C 86 57 52 49
+54 45 22 00 6A 43 12 3C 96 4D 84 44 45 4C 22 00
+6A 42 0C 3C E6 4A 05 43 4C 4F 53 45 B0 12 68 61
+30 4D 50 4C 85 4C 4F 41 44 22 7A 43 2F 83 8F 4E
+00 00 0E 4A 82 93 BE 1D 0B 24 0D 12 84 12 0A 40
+0A 40 A8 47 A8 47 32 45 0A 40 20 62 A8 47 AE 44
+0D 12 84 12 0A 40 22 00 7A 45 F8 47 1E 62 3D 41
+36 4F 0E 56 82 4E 36 20 1C 43 92 42 2C 20 22 20
+92 42 2E 20 24 20 0E 96 8D 24 F6 90 3A 00 01 00
+01 20 26 53 F6 90 5C 00 00 00 08 20 16 53 92 42
+02 20 22 20 82 43 24 20 0E 96 70 24 82 46 34 20
+B0 12 90 5F 35 40 20 00 A2 93 02 20 04 24 92 92
+22 20 02 20 02 24 15 42 12 20 B0 12 76 60 2C 43
+0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E
+61 24 39 42 F8 96 00 1E 04 20 18 53 19 83 FA 23
+16 53 F6 90 2E 00 FF FF 19 24 39 50 03 00 B0 12
+EE 5F 06 20 F6 90 5C 00 FF FF 29 24 0E 96 27 28
+16 42 34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20
+82 63 1C 20 15 83 D1 23 2C 42 3C 3C F6 90 2E 00
+FE FF EE 27 B0 12 EE 5F EB 23 39 40 03 00 F8 96
+00 1E 04 20 18 53 19 83 FA 23 09 3C 0E 96 E0 2F
+F6 90 5C 00 FF FF DC 23 B0 12 EE 5F D9 23 18 42
+30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0
+10 00 0B 1E 14 24 82 93 24 20 06 20 82 93 22 20
+03 20 92 42 02 20 22 20 0E 96 8E 2F 92 42 22 20
+2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F
+B0 12 80 60 35 40 D4 40 36 40 E2 40 3A 4F 3E 4F
+0A 93 04 24 7A 93 3C 20 0C 93 01 20 30 4D 0D 12
+84 12 18 43 14 40 0B 3C 20 4F 70 65 6E 45 72 72
+6F 72 3A 40 F4 5D 26 4C 05 5B 50 46 41 5D 2E 53
+2E 4E 30 4D D6 61 04 42 4F 4F 54 00 39 40 0A 5E
+2E 93 01 2C 30 41 E2 B2 60 02 02 24 10 49 02 00
+89 12 3F 40 7E 1C 8F 43 00 00 82 43 BE 1D B2 40
+00 1C 00 1C 31 40 E0 1C 84 12 14 40 0F 4C 4F 41
+44 22 20 42 4F 4F 54 2E 34 54 48 22 3A 40 90 48
+1A 93 BB 20 0C 93 C3 23 30 4D B0 61 04 52 45 41
+44 00 2F 83 8F 4E 00 00 1E 42 32 20 B0 12 02 60
+1E 82 32 20 30 4D 2C 43 12 12 2A 20 18 42 02 20
+08 58 2A 41 82 9A 0A 20 A6 24 1A 52 08 20 09 43
+B0 12 58 5D 09 43 28 93 03 24 89 93 02 1E 03 20
+89 93 00 1E 07 24 09 58 39 90 00 02 F4 23 91 53
+00 00 E7 3F 0C 43 6A 41 B9 43 00 1E 28 93 0F 24
+B9 40 FF 0F 02 1E 09 11 8A 10 09 5A 5A 41 01 00
+0A 11 09 10 82 4A 28 20 82 49 26 20 07 3C 09 11
+C2 49 26 20 C2 4A 27 20 82 43 28 20 3A 41 82 4A
+2A 20 30 41 0A 12 1A 52 08 20 09 43 B0 12 9E 5D
+3A 41 1A 52 0C 20 09 43 B0 12 9E 5D F2 B0 40 00
+A2 04 29 20 F2 B0 10 00 A2 04 FC 27 5A 42 B0 04
+4A 11 59 42 B4 04 F2 40 20 00 C0 04 D2 42 B1 04
+C8 04 1A 52 E4 04 D2 42 B5 04 C8 04 19 52 E4 04
+D2 42 B2 04 C0 04 B2 40 00 08 C8 04 1A 52 E4 04
+92 42 B6 04 C0 04 B2 80 BC 07 C0 04 B2 40 00 02
+C8 04 19 52 E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C
+3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38 39 80 03 00
+B0 12 48 65 39 40 03 00 7A 4B C8 4A 00 1E 82 9B
+36 20 12 28 0D 12 3D 40 0F 00 3C 40 F8 64 7A 9C
+F3 27 1D 83 FC 23 3D 41 6A 9C E6 27 3A 80 21 00
+EB 3B 18 53 19 83 E8 23 09 93 06 24 F8 40 20 00
+00 1E 18 53 19 83 FA 23 30 41 2A 93 DC 20 2C 93
+0E 24 0C 93 AB 24 0D 12 84 12 14 40 0C 3C 20 57
+72 69 74 65 45 72 72 6F 72 00 3A 40 F4 5D B0 12
+06 64 92 42 26 20 22 20 92 42 28 20 24 20 B0 12
+84 64 B0 12 76 60 18 42 30 20 F8 40 20 00 0B 1E
+B0 12 9C 64 88 43 0C 1E 88 4A 0E 1E 88 49 10 1E
+88 49 12 1E 98 42 24 20 14 1E 98 42 22 20 1A 1E
+88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 34 20 82 9B
+36 20 C9 27 FB 90 2E 00 00 00 C5 27 39 40 0B 00
+B0 12 18 65 B0 12 36 66 2A 43 B0 12 80 60 0C 93
+BA 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12 58 5D
+B0 12 9C 64 18 4B 08 00 88 49 12 1E 88 4A 16 1E
+88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00 1E 1E
+1A 4B 04 00 19 4B 06 00 30 40 9E 5D 9B 52 1E 20
+12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20 30 40
+9E 5D B2 40 00 02 1E 20 1B 42 32 20 B0 12 2C 66
+82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00 25 20
+CB 43 03 00 B0 12 62 5F 08 12 0A 12 B0 12 06 64
+2A 91 08 24 B0 12 84 64 2A 41 1A 52 08 20 09 43
+B0 12 58 5D 3A 41 38 41 98 42 26 20 00 1E 92 93
+02 20 03 24 98 42 28 20 02 1E B0 12 84 64 9B 42
+26 20 0E 00 9B 42 28 20 10 00 30 40 D0 5F BC 61
+05 57 52 49 54 45 B0 12 42 66 30 4D 58 4B 13 00
+59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42 12 20
+0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11 FA 2B
+0A 11 1C 83 FD 37 1B 42 32 20 19 5B 0A 00 18 6B
+0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00 1A 4B
+12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A 1E 20
+B0 12 72 60 30 4D 0C 93 3B 20 38 90 E0 01 03 2C
+C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E B0 12
+36 66 B0 12 6E 5F 82 4A 2A 20 0B 4A 1A 52 08 20
+09 43 B0 12 58 5D 1A 48 00 1E 88 43 00 1E 92 93
+02 20 09 24 19 48 02 1E 88 43 02 1E 39 F0 FF 0F
+39 90 FF 0F 02 20 3A 93 0E 24 82 4A 22 20 82 49
+24 20 B0 12 6E 5F 0B 9A E6 27 0A 12 0A 4B B0 12
+84 64 3A 41 DA 3F 0A 4B B0 12 84 64 B0 12 68 61
+30 4D EA 44 08 54 45 52 4D 32 53 44 22 00 0D 12
+84 12 D0 61 0A 40 02 00 28 40 F8 47 20 62 B0 67
+B0 12 B8 41 0A 43 92 B3 EC 06 FD 27 59 42 CC 06
+69 92 11 24 CA 49 00 1E 1A 53 79 90 0A 00 05 20
+84 12 DC 43 D6 67 B0 12 B8 41 3A 90 00 02 EB 2B
+B0 12 42 66 E7 3F 92 B3 EC 06 FD 27 F2 90 0A 00
+CC 06 F9 23 82 4A 1E 20 B0 12 68 61 3D 41 30 4D
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 82 42 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41
-E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 E0 41 AE 4E
+77 00 10 00 12 00 14 00 16 00 00 00 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 84 42 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41
+E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 E2 41 96 4E
 q
index 5648a45..24cd7dd 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF 0A 68 58 4D 33 01
-10 00 81 B7 94 41 6A 5F C6 41 28 5E 96 42 0A 68
-58 4D 7C 42 F2 43 26 43 00 43 3C 1D C0 44 D4 40
-E2 40 EE 40 20 00 0A 00 88 48 A2 48 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF 08 68 50 4D 34 01
+10 00 C1 B3 94 41 62 5F DA 41 20 5E 96 42 08 68
+50 4D 7C 42 F2 43 26 43 00 43 3C 1D C0 44 D4 40
+E2 40 EE 40 20 00 0A 00 00 00 00 00 00 00 00 00
 @4000
-B0 12 C6 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 41 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -19,11 +19,11 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
 02 00 D2 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
-8F 4E 00 00 3E 41 30 4D B0 12 6A 5F 39 40 22 18
+8F 4E 00 00 3E 41 30 4D B0 12 62 5F 39 40 22 18
 B2 49 7A 42 B2 49 F0 43 B2 49 24 43 B2 49 FE 42
 B2 49 CA 40 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 60 4E 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 41 92 C3 DC 05 18 42
+B2 49 DC 1D 3D 41 30 40 58 4E 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 41 92 C3 DC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 DC 05 F3 23 B0 12 F8 40 0A 40 DE 1D 40 44 34 43
 14 40 04 1B 5B 37 6D 00 BC 43 08 44 34 40 86 41
@@ -38,7 +38,7 @@ B2 40 11 00 CE 05 E2 C2 23 02 30 41 00 00 04 57
 49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
 44 00 B0 12 94 41 F2 B0 40 00 40 02 02 20 B2 43
 08 18 B2 40 04 A5 20 01 EE 41 04 57 41 52 4D 00
-B0 12 28 5E 84 12 14 40 07 0D 0A 1B 5B 37 6D 23
+B0 12 20 5E 84 12 14 40 07 0D 0A 1B 5B 37 6D 23
 BC 43 36 45 14 40 19 46 61 73 74 46 6F 72 74 68
 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
 BC 43 0A 40 40 FF 28 40 34 44 00 45 14 40 0A 62
@@ -52,7 +52,7 @@ FD 27 C2 48 CE 05 30 4D CA 42 2D 83 92 B3 DC 05
 E4 23 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3
 DC 05 FD 27 58 42 CC 05 82 93 DE 1D 02 24 92 53
 DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 43
-2F 83 8F 4E 00 00 B0 12 C6 41 92 B3 DC 05 FD 27
+2F 83 8F 4E 00 00 B0 12 DA 41 92 B3 DC 05 FD 27
 1E 42 CC 05 B0 12 C8 41 30 4D 00 00 04 45 4D 49
 54 00 30 40 26 43 08 4E 3E 4F C8 3F 1C 43 04 45
 43 48 4F 00 B2 40 C2 48 C2 42 82 43 DE 1D 30 4D
@@ -62,7 +62,7 @@ DE 1D 08 4C E3 3F 00 00 03 4B 45 59 30 40 00 43
 1B 42 20 20 02 3C 78 43 2D 83 0C 9B 16 2C 58 4C
 00 1E 1C 53 78 90 20 00 09 2C 78 90 0A 00 F5 23
 3D 41 82 4C 1E 20 3C 40 20 00 A6 3F 0E 99 8E 27
-CA 48 00 00 1A 53 1E 53 89 3F 1A 15 B0 12 20 60
+CA 48 00 00 1A 53 1E 53 89 3F 1A 15 B0 12 18 60
 19 17 DC 3F 00 00 04 54 59 50 45 00 0E 93 11 24
 0D 12 3D 40 D8 43 28 4F 2F 83 8F 4E 00 00 7E 48
 8F 48 02 00 10 42 24 43 DA 43 2D 83 1E 83 F3 23
@@ -86,7 +86,7 @@ B2 1D C8 4A 00 00 30 4D 7A 44 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D B6 43 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-70 44 AE 44 EE 40 EE 44 CA 44 BC 43 84 48 22 43
+70 44 AE 44 EE 40 EE 44 CA 44 BC 43 74 48 22 43
 C0 44 42 43 01 2E 0E 93 E3 37 38 43 E2 3F E8 44
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 40 14 40
 BA 47 0A 40 22 00 8C 45 5A 45 B2 40 20 00 B4 1D
@@ -128,34 +128,34 @@ B0 12 66 40 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D 46 44 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D B8 47 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D B8 47 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 40 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D C6 44 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D DA 44 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 40 84 48 8C 45 40 48
-94 26 3D 40 48 48 D6 3E 4A 48 0A 4E 3E 4F 3D 40
-64 48 2E 27 3D 40 3A 48 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 66 48 3E 4F 3D 40 3A 48 BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 40 74 48 8C 45 30 48
+9C 26 3D 40 38 48 DE 3E 3A 48 0A 4E 3E 4F 3D 40
+54 48 36 27 3D 40 2A 48 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 56 48 3E 4F 3D 40 2A 48 BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 F6 49
-CC 3F 6E 48 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F 5E 48 86 12 20 00 46 44 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 EE 43
-BC 40 34 48 24 44 56 44 14 40 0C 73 74 61 63 6B
+BC 40 24 48 24 44 56 44 14 40 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 41 0A 40 40 FF 28 40
 5E 44 14 40 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 41 3A 40 9E 48 CC 47 86 41 42 4F 52 54 22 00
+2A 41 3A 40 9E 48 7A 48 86 41 42 4F 52 54 22 00
 0D 12 84 12 44 45 0A 40 2A 41 BA 47 C0 44 EE 45
-01 27 0D 12 84 12 84 48 8C 45 F4 45 34 40 82 48
+01 27 0D 12 84 12 74 48 8C 45 F4 45 34 40 72 48
 C0 44 00 00 83 5B 27 5D 0D 12 84 12 F2 48 0A 40
 0A 40 BA 47 BA 47 C0 44 04 49 81 5B 82 43 BE 1D
 30 4D 6C 44 01 5D B2 43 BE 1D 30 4D 24 49 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 84 48 8C 45 F4 45 08 44
-34 40 82 48 56 44 34 40 66 49 0A 40 0A 40 BA 47
+4F 4E 45 00 0D 12 84 12 74 48 8C 45 F4 45 08 44
+34 40 72 48 56 44 34 40 66 49 0A 40 0A 40 BA 47
 BA 47 0A 40 BA 47 BA 47 C0 44 1A 49 01 3A 30 12
-B6 49 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 84 48
+B6 49 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 74 48
 8C 45 84 49 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -165,486 +165,486 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 40 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 41 6C 49 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A 40 C0 44 BA 47 C8 49 1C 49
-C0 44 2A 48 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D 14 48 06 43 52 45
+C0 44 1A 48 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D 04 48 06 43 52 45
 41 54 45 00 B0 12 72 49 BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F FA 49 07 3A 4E 4F 4E 41 4D 45 30 12
 B6 49 2F 83 8F 4E 00 00 1A 42 C6 1D 1A B3 0A 63
 0E 4A 39 40 10 02 08 49 28 53 99 3F 2E 43 05 44
 45 46 45 52 B0 12 72 49 BA 40 30 40 FC FF BA 40
-FC 4D FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 14 4A
+F4 4D FE FF A8 3F BE 4F 02 00 3E 4F 30 4D 14 4A
 82 49 53 00 0D 12 82 93 BE 1D 06 24 84 12 08 49
 0A 40 86 4A BA 47 C0 44 84 12 F2 48 86 4A C0 44
 2C 4A 04 43 4F 44 45 00 B0 12 72 49 A2 82 C6 1D
-82 43 80 5C 0D 12 84 12 62 4D 34 4D C0 44 B2 4A
-07 43 4F 44 45 4E 4E 4D 30 12 BC 4A BA 3F 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 C8 49 88 4D
-C0 44 D8 48 03 41 53 4D B2 40 38 4D DA 1D DC 3F
-E0 4A 06 45 4E 44 41 53 4D 00 0D 12 84 12 E8 4A
-BC 4D C0 44 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 88 4D 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F 90 4A 85 48 49 32
-4C 4F 0D 12 84 12 28 40 9C 4C BA 47 1C 49 C0 4A
-C0 44 46 4A 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-64 4B 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 40
-00 00 38 44 84 48 8C 45 1A 48 00 44 34 40 FC 4B
-0E 44 14 40 06 5B 54 48 45 4E 5D 00 6E 4B D6 4B
-92 4B B4 4B C0 44 0E 44 14 40 06 5B 45 4C 53 45
-5D 00 6E 4B EC 4B 92 4B B2 4B C0 44 14 40 04 5B
-49 46 5D 00 6E 4B B4 4B 3A 40 B2 4B E2 43 14 40
-05 0D 0A 6B 6F 20 BC 43 BC 40 AC 40 3A 40 B4 4B
-A2 4B 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 12 4C 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 84 48 8C 45 F4 45 20 4C C0 44 26 4C
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-30 4C 50 44 C0 44 58 4C B2 4E 0A 18 B2 4E 0C 18
-BE 12 3E 4F 3D 41 DB 3C DC 47 06 4D 41 52 4B 45
-52 00 B0 12 72 49 BA 40 85 12 FC FF BA 40 56 4C
-FE FF 28 83 8A 48 00 00 9A 42 C8 1D 02 00 BA 40
-AA 40 04 00 B2 50 06 00 C6 1D 99 3E 2E 53 30 4D
-6E 4A 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 85 12
-00 00 8A 4D 02 00 3D 41 30 4D 86 45 0A 56 4F 43
-41 42 55 4C 41 52 59 00 0D 12 84 12 34 4A 0A 40
-10 00 0A 40 00 00 3E 40 0A 40 00 00 BA 47 60 40
-D8 4C 28 40 0A 40 C8 1D 00 44 40 44 BA 47 48 44
-A8 4C 0A 40 CA 1D 48 44 C0 44 F0 48 05 46 4F 52
-54 48 85 12 F2 4C 5C 4D AE 63 E8 61 FC 4C 4C 4B
-A6 63 02 62 A2 4D 2E 4E 04 64 AC 67 C8 66 00 00
-40 4C 2E 49 54 46 00 00 F4 4A 09 41 53 53 45 4D
-42 4C 45 52 85 12 F2 4C 4C 5B E4 5A 48 5A 08 55
-9A 53 00 00 10 59 00 00 70 5C 6C 5D FE 53 B2 5D
-18 5B 00 00 00 00 E2 54 26 4D 2A 4D 04 41 4C 53
-4F 00 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
-18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 49 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 38 40 CA 1D
-09 48 29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D
-72 45 04 4F 4E 4C 59 00 82 43 CC 1D 30 4D A2 4C
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 1D
-DA 1D 30 4D 02 4D A8 4D BC 4D CC 4D 3A 4E 82 4A
-C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 7E 4D
-09 50 57 52 5F 53 54 41 54 45 85 12 C4 4D 58 4D
-0A 68 40 45 09 52 53 54 5F 53 54 41 54 45 92 42
-0A 18 10 4E 92 42 0C 18 0E 4E EF 3F 00 4E 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 10 4E 92 42
-C8 1D 0E 4E 30 4D 14 4E 08 52 53 54 5F 48 45 52
-45 00 92 42 C6 1D 0A 18 92 42 C8 1D 0C 18 EC 3F
-3E 90 0E 00 D2 27 2E 92 DA 37 0E 93 CE 37 39 40
-10 00 29 83 B9 43 80 FF FC 23 B9 40 B0 4E FE FF
-29 83 B9 40 02 42 FE FF 39 90 AE FF F9 23 39 40
-14 18 B2 49 04 42 B2 49 FA 40 B2 49 02 40 B2 49
-22 42 B2 49 F0 FF B2 49 0A 18 B2 49 0C 18 B7 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 10 29 83
-89 43 00 1C FC 23 B2 D3 06 02 B2 40 FC FF 02 02
-B2 43 26 02 B2 D3 22 02 E2 D2 25 02 B2 43 42 02
-B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43 26 03
-F2 D3 22 03 F2 40 A5 00 61 01 82 43 66 01 B2 40
-33 00 64 01 D2 43 61 01 39 40 40 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 F2 D0 10 00 2A 03 F2 40
-A5 00 A1 04 F2 C0 40 00 A2 04 B2 42 B0 01 1E 42
-08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 40 20 42
-38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 8C 45
-F4 45 50 44 34 40 90 4F B0 46 34 40 AA 4F A4 4F
-92 4F 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 AC 4F B2 41 C4 1D
-3E 41 84 12 0A 40 2B 00 8C 45 F4 45 50 44 34 40
-C8 4F B0 46 34 40 82 48 1A 44 8C 45 B0 46 34 40
-82 48 D4 4F 3E 5F E7 3F 32 B0 00 02 01 24 3E 4F
-30 41 3E 40 28 00 B0 12 74 4F B0 12 D8 4F 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 1C 15
-92 92 C0 1D C4 1D 02 20 30 40 E0 49 12 12 C4 1D
-92 53 C4 1D 84 12 8C 45 B0 46 34 40 2A 50 20 50
-21 53 3E 90 10 00 84 2D BE 2B 2C 50 B2 41 C4 1D
-BA 3F 0D 12 84 12 84 48 50 4F 3C 50 0C 43 1B 42
-C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 29 20
-92 53 C4 1D B0 12 74 4F B0 12 D8 4F 3C 40 00 03
-0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
-2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
-3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
-19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41
-30 4D 7A 90 26 00 09 20 3C 40 10 02 92 53 C4 1D
-B0 12 74 4F B0 12 D8 4F EB 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 1D B0 12 FE 4F 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 FE 4F 92 92 C0 1D C4 1D
-02 24 92 53 C4 1D 8E 10 0C 5E D8 3F B0 12 FE 4F
-FA 23 3C 50 10 00 B0 12 E2 4F EF 3F 0C 43 1B 42
-C6 1D A2 53 C6 1D 0D 12 84 12 84 48 50 4F 10 51
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C5 3F B0 12 FE 4F E0 23 3C 50 80 00 B0 12 E2 4F
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 40
-00 13 BA 47 C0 44 0A 40 2C 00 32 50 06 51 50 51
-09 4B 2E 4E 0E DC A0 3F 3A 4B 03 4D 4F 56 85 12
-46 51 00 40 5A 51 05 4D 4F 56 2E 42 85 12 46 51
-40 40 00 00 03 41 44 44 85 12 46 51 00 50 74 51
-05 41 44 44 2E 42 85 12 46 51 40 50 80 51 04 41
-44 44 43 00 85 12 46 51 00 60 8E 51 06 41 44 44
-43 2E 42 00 85 12 46 51 40 60 34 51 04 53 55 42
-43 00 85 12 46 51 00 70 AC 51 06 53 55 42 43 2E
-42 00 85 12 46 51 40 70 BA 51 03 53 55 42 85 12
-46 51 00 80 CA 51 05 53 55 42 2E 42 85 12 46 51
-40 80 16 4B 03 43 4D 50 85 12 46 51 00 90 E4 51
-05 43 4D 50 2E 42 85 12 46 51 40 90 02 4B 04 44
-41 44 44 00 85 12 46 51 00 A0 FE 51 06 44 41 44
-44 2E 42 00 85 12 46 51 40 A0 F0 51 03 42 49 54
-85 12 46 51 00 B0 1C 52 05 42 49 54 2E 42 85 12
-46 51 40 B0 28 52 03 42 49 43 85 12 46 51 00 C0
-36 52 05 42 49 43 2E 42 85 12 46 51 40 C0 42 52
-03 42 49 53 85 12 46 51 00 D0 50 52 05 42 49 53
-2E 42 85 12 46 51 40 D0 00 00 03 58 4F 52 85 12
-46 51 00 E0 6A 52 05 58 4F 52 2E 42 85 12 46 51
-40 E0 9C 51 03 41 4E 44 85 12 46 51 00 F0 84 52
-05 41 4E 44 2E 42 85 12 46 51 40 F0 84 48 32 50
-A2 52 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F D6 51 03 52 52 43 85 12 9C 52 00 10 B4 52
-05 52 52 43 2E 42 85 12 9C 52 40 10 C0 52 04 53
-57 50 42 00 85 12 9C 52 80 10 CE 52 03 52 52 41
-85 12 9C 52 00 11 DC 52 05 52 52 41 2E 42 85 12
-9C 52 40 11 E8 52 03 53 58 54 85 12 9C 52 80 11
-00 00 04 50 55 53 48 00 85 12 9C 52 00 12 02 53
-06 50 55 53 48 2E 42 00 85 12 9C 52 40 12 5C 52
-04 43 41 4C 4C 00 85 12 9C 52 80 12 1A 53 0E 4A
-0D 12 84 12 36 45 14 40 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 41 F6 52 03 53 3E 3D 86 12
-00 38 4A 53 02 53 3C 00 86 12 00 34 10 53 03 30
-3E 3D 86 12 00 30 5E 53 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C 72 53 03 55 3E 3D
-86 12 00 28 68 53 03 30 3C 3E 86 12 00 24 86 53
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D 7C 53
-04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 0C 52 04 45 4C 53 45 00 1A 42 C6 1D BA 40
-00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
-20 53 05 42 45 47 49 4E 30 40 28 40 B0 53 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 1D 30 4D 90 52 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 9E 53 1A 44 C0 44 54 53 06 52
-45 50 45 41 54 00 0D 12 84 12 32 54 B6 53 C0 44
-62 54 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
-98 42 C6 1D 00 00 30 4D F2 53 03 42 57 31 85 12
-60 54 00 00 7A 54 03 42 57 32 85 12 60 54 00 00
-86 54 03 42 57 33 85 12 60 54 00 00 9E 54 3D 41
-1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
-A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 9C 54 00 00 BE 54 03 46 57 32 85 12
-9C 54 00 00 CA 54 03 46 57 33 85 12 9C 54 00 00
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 07 24 3E E0
-00 04 3E B0 00 10 02 24 3E E0 00 08 0D 12 84 12
-F2 48 5E 48 C0 44 D6 54 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C F1 3F 84 48 50 4F 20 55
-92 53 C4 1D 3E 40 2C 00 84 12 8C 45 B0 46 34 40
-82 48 FC 50 36 55 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E 4E 54 04 52 52 43 4D 00 85 12 1A 55 50 00
-64 55 04 52 52 41 4D 00 85 12 1A 55 50 01 72 55
-04 52 4C 41 4D 00 85 12 1A 55 50 02 80 55 04 52
-52 55 4D 00 85 12 1A 55 50 03 90 53 05 50 55 53
-48 4D 85 12 1A 55 00 15 9C 55 04 50 4F 50 4D 00
-85 12 1A 55 00 17 8E 55 06 52 52 43 4D 2E 41 00
-85 12 1A 55 40 00 B8 55 06 52 52 41 4D 2E 41 00
-85 12 1A 55 40 01 C8 55 06 52 4C 41 4D 2E 41 00
-85 12 1A 55 40 02 D8 55 06 52 52 55 4D 2E 41 00
-85 12 1A 55 40 03 AA 55 07 50 55 53 48 4D 2E 41
-85 12 1A 55 00 14 F8 55 06 50 4F 50 4D 2E 41 00
-85 12 1A 55 00 16 92 54 05 43 41 4C 4C 41 0D 12
-84 12 84 48 50 4F 28 56 1B 42 C6 1D A2 53 C6 1D
-6E 4E 3C 40 34 01 7E 90 52 00 0B 20 7E 40 20 00
-B0 12 FE 4F 5C 0E 0C DE 8B 4C 00 00 3E 4F 3D 41
-30 4D 2C 53 7E 90 40 00 0B 20 92 53 C4 1D 7E 40
-20 00 B0 12 FE 4F EE 23 1C 53 3E 40 2B 00 E8 3F
-A2 53 C6 1D 7E 90 23 00 09 20 3C 40 3B 01 92 53
-C4 1D B0 12 74 4F BB 4F 02 00 DC 3F 7E 90 26 00
-02 20 2C 53 F4 3F 7E 40 28 00 1C 83 B0 12 74 4F
-BB 4F 02 00 3E 40 29 00 CB 3F 0D 12 84 12 84 48
-50 4F B4 56 69 4E 3E 4F 3C 4F 2C 4C 1B 42 C6 1D
-A2 53 C6 1D 79 90 52 00 0A 20 B0 12 FE 4F 5E 0E
-5E 0E 0E DC 8B 4E 00 00 0E 4B 3D 41 30 4D 79 90
-23 00 0D 20 3C C0 40 00 92 53 C4 1D A2 53 C6 1D
-B0 12 74 4F BB 4F 02 00 3E F0 0F 00 E8 3F 79 90
-26 00 03 20 3C E0 E0 00 EF 3F 3C C0 F0 00 79 90
-40 00 12 20 92 53 C4 1D B0 12 FE 4F D8 23 3C D0
-10 00 3E 40 2B 00 B0 12 FE 4F 92 92 C0 1D C4 1D
-CE 27 92 53 C4 1D CB 3F 3C D0 30 00 A2 53 C6 1D
-3E 40 28 00 B0 12 74 4F BB 4F 02 00 3E 40 29 00
-EA 3F 0D 12 84 12 84 48 50 4F 5C 57 3B 4F 2C 4B
-69 4E 7E 40 20 00 79 90 52 00 03 20 B0 12 FE 4F
-B0 3F 3C C0 F0 00 A2 53 C6 1D 79 90 26 00 09 20
-3C D0 60 00 92 53 C4 1D B0 12 74 4F BB 4F 02 00
-A0 3F 3C D0 70 00 3E 40 28 00 B0 12 74 4F BB 4F
-02 00 3E 40 29 00 E2 3F 0A 40 2C 00 AA 56 52 57
-E4 43 C0 44 66 51 04 4D 4F 56 41 00 85 12 A8 57
-C0 00 18 56 04 43 4D 50 41 00 85 12 A8 57 D0 00
-2C 54 04 41 44 44 41 00 85 12 A8 57 E0 00 E8 55
-04 53 55 42 41 00 85 12 A8 57 F0 00 0D 12 84 12
-84 48 50 4F F6 57 69 4E 3E 4F 3C 40 00 18 79 90
-52 00 05 20 B0 12 FE 4F 0E 4C 3D 41 30 4D 82 43
-80 5C 79 90 23 00 0B 20 92 53 C4 1D B0 12 74 4F
-2F 53 3E F0 0F 00 5E 0A 5E 0E 0C DE ED 3F 79 90
-26 00 F2 27 79 90 40 00 12 20 92 53 C4 1D B0 12
-FE 4F E2 23 3E 40 2B 00 92 53 C4 1D B0 12 FE 4F
-92 92 C0 1D C4 1D D8 27 92 53 C4 1D D5 3F 3E 40
-28 00 B0 12 74 4F 8F 4E 00 00 3E 40 29 00 B0 12
-FE 4F 3E 4F 3E F0 0F 00 0C DE EA 3F 0D 12 84 12
-84 48 50 4F 86 58 3C 4F 69 4E 3E 40 20 00 79 90
-52 00 BA 27 82 43 80 5C 79 90 26 00 08 20 92 53
-C4 1D B0 12 74 4F 2F 53 3E F0 0F 00 BE 3F 3E 40
-28 00 B0 12 74 4F F7 3F B2 4F C4 1D 1B 42 C6 1D
-A2 53 C6 1D 0C 4E 3E 4F 1C D2 80 5C 82 43 80 5C
-3C DE 8B 4C 00 00 30 4D 0A 40 C4 1D 40 44 0A 40
-2C 00 EC 57 7C 58 B8 58 3A 40 46 51 B6 57 04 4D
-4F 56 58 00 85 12 D8 58 40 00 00 40 EE 58 06 4D
-4F 56 58 2E 41 00 85 12 D8 58 00 00 40 40 FE 58
-06 4D 4F 56 58 2E 42 00 85 12 D8 58 40 00 40 40
-D2 57 04 41 44 44 58 00 85 12 D8 58 40 00 00 50
-22 59 06 41 44 44 58 2E 41 00 85 12 D8 58 00 00
-40 50 32 59 06 41 44 44 58 2E 42 00 85 12 D8 58
-40 00 40 50 44 59 05 41 44 44 43 58 85 12 D8 58
-40 00 00 60 56 59 07 41 44 44 43 58 2E 41 85 12
-D8 58 00 00 40 60 66 59 07 41 44 44 43 58 2E 42
-85 12 D8 58 40 00 40 60 E0 57 05 53 55 42 43 58
-85 12 D8 58 40 00 00 70 8A 59 07 53 55 42 43 58
-2E 41 85 12 D8 58 00 00 40 70 9A 59 07 53 55 42
-43 58 2E 42 85 12 D8 58 40 00 40 70 AC 59 04 53
-55 42 58 00 85 12 D8 58 40 00 00 80 BE 59 06 53
-55 42 58 2E 41 00 85 12 D8 58 00 00 40 80 CE 59
-06 53 55 42 58 2E 42 00 85 12 D8 58 40 00 40 80
-C4 57 04 43 4D 50 58 00 85 12 D8 58 40 00 00 90
-F2 59 06 43 4D 50 58 2E 41 00 85 12 D8 58 00 00
-40 90 02 5A 06 43 4D 50 58 2E 42 00 85 12 D8 58
-40 00 40 90 D4 53 05 44 41 44 44 58 85 12 D8 58
-40 00 00 A0 26 5A 07 44 41 44 44 58 2E 41 85 12
-D8 58 00 00 40 A0 36 5A 07 44 41 44 44 58 2E 42
-85 12 D8 58 40 00 40 A0 14 5A 04 42 49 54 58 00
-85 12 D8 58 40 00 00 B0 5A 5A 06 42 49 54 58 2E
-41 00 85 12 D8 58 00 00 40 B0 6A 5A 06 42 49 54
-58 2E 42 00 85 12 D8 58 40 00 40 B0 7C 5A 04 42
-49 43 58 00 85 12 D8 58 40 00 00 C0 8E 5A 06 42
-49 43 58 2E 41 00 85 12 D8 58 00 00 40 C0 9E 5A
-06 42 49 43 58 2E 42 00 85 12 D8 58 40 00 40 C0
-B0 5A 04 42 49 53 58 00 85 12 D8 58 40 00 00 D0
-C2 5A 06 42 49 53 58 2E 41 00 85 12 D8 58 00 00
-40 D0 D2 5A 06 42 49 53 58 2E 42 00 85 12 D8 58
-40 00 40 D0 76 52 04 58 4F 52 58 00 85 12 D8 58
-40 00 00 E0 F6 5A 06 58 4F 52 58 2E 41 00 85 12
-D8 58 00 00 40 E0 06 5B 06 58 4F 52 58 2E 42 00
-85 12 D8 58 40 00 40 E0 78 59 04 41 4E 44 58 00
-85 12 D8 58 40 00 00 F0 2A 5B 06 41 4E 44 58 2E
-41 00 85 12 D8 58 00 00 40 F0 3A 5B 06 41 4E 44
-58 2E 42 00 85 12 D8 58 40 00 40 F0 0A 40 C4 1D
-40 44 84 48 EC 57 B8 58 3A 40 9C 52 E0 59 04 52
-52 43 58 00 85 12 5C 5B 40 00 00 10 6E 5B 06 52
-52 43 58 2E 41 00 85 12 5C 5B 00 00 40 10 7E 5B
-06 52 52 43 58 2E 42 00 85 12 5C 5B 40 00 40 10
-90 5B 04 52 52 55 58 00 85 12 5C 5B 40 01 00 10
-A2 5B 06 52 52 55 58 2E 41 00 85 12 5C 5B 00 01
-40 10 B2 5B 06 52 52 55 58 2E 42 00 85 12 5C 5B
-40 01 40 10 C4 5B 05 53 57 50 42 58 85 12 5C 5B
-40 00 80 10 D6 5B 07 53 57 50 42 58 2E 41 85 12
-5C 5B 00 00 80 10 E6 5B 04 52 52 41 58 00 85 12
-5C 5B 40 00 00 11 F8 5B 06 52 52 41 58 2E 41 00
-85 12 5C 5B 00 00 40 11 08 5C 06 52 52 41 58 2E
-42 00 85 12 5C 5B 40 00 40 11 1A 5C 04 53 58 54
-58 00 85 12 5C 5B 40 00 80 11 2C 5C 06 53 58 54
-58 2E 41 00 85 12 5C 5B 00 00 80 11 08 56 05 50
-55 53 48 58 85 12 5C 5B 40 00 00 12 4E 5C 07 50
-55 53 48 58 2E 41 85 12 5C 5B 00 00 40 12 5E 5C
-07 50 55 53 48 58 2E 42 85 12 5C 5B 40 00 40 12
-00 00 3C 5C 03 52 50 54 0D 12 84 12 84 48 50 4F
-92 5C 29 4E 7E 40 20 00 79 90 52 00 06 20 B0 12
-FE 4F 03 24 3E D0 80 00 05 3C B0 12 74 4F 1E 83
-3E F0 0F 00 82 4E 80 5C 3E 4F 3D 41 30 4D D2 C3
-23 02 E2 B2 60 02 02 24 30 40 02 42 1A 52 04 20
-19 62 06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A
-49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20
-8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20
-B0 12 46 5D 5A 53 FC 23 39 40 05 00 D2 49 14 20
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93
-46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23
-30 41 1A 43 E1 3F 19 43 3A 43 8A 10 C2 4A 4E 06
-82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
-19 83 F3 23 5A 42 4C 06 30 41 84 5C 08 52 45 41
-44 5F 53 57 58 00 1C D3 F2 40 51 00 19 20 B0 12
-BE 5C 38 20 B0 12 46 5D 6A 53 04 24 FB 23 D9 42
-4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02
-F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41
-3C 54 09 57 52 49 54 45 5F 53 57 58 2C D3 F0 40
-58 00 57 C2 B0 12 BE 5C 15 20 3A 40 FE FF 29 43
-B0 12 4A 5D D2 49 00 1E 4E 06 03 43 19 53 39 90
-00 02 F8 23 39 40 03 00 B0 12 48 5D 7A C0 E1 00
-6A 92 D9 27 8C 10 1C 52 4C 06 D2 D3 23 02 0D 12
-84 12 34 43 14 40 0B 3C 20 53 44 20 45 72 72 6F
-72 21 14 5E 2F 83 8F 4E 00 00 B2 40 10 00 DC 1D
-0E 4C 84 12 00 45 36 41 B0 12 9C 41 0E 93 9C 24
-E2 B2 60 02 99 20 B2 40 81 A9 40 06 B2 40 18 00
-46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3 40 06
-39 42 B0 12 48 5D D2 C3 23 02 2C 42 B2 40 95 00
-14 20 B2 40 00 40 18 20 B0 12 42 5D 02 24 30 40
-F4 5D B0 12 46 5D 7A 93 FC 23 B2 40 87 AA 14 20
-92 43 16 20 B2 40 00 48 18 20 B0 12 42 5D 29 42
-B0 12 48 5D 92 43 14 20 82 43 16 20 78 43 3C 42
-B2 40 00 77 18 20 B0 12 42 5D B2 40 40 69 18 20
-B0 12 00 5D 03 24 58 83 F3 23 D9 3F 0C 5C A2 43
-16 20 B2 40 00 50 18 20 B0 12 00 5D D0 23 92 D3
-40 06 82 43 46 06 92 C3 40 06 09 43 B0 12 76 5D
-38 40 00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20
-5A 48 C2 01 92 43 02 20 7A 80 06 00 0F 24 7A 82
-0D 24 A2 43 02 20 6A 53 09 24 5A 53 07 24 6A 52
-05 24 3A 50 0B 20 0C 4A 30 40 FA 5D 09 43 B0 12
-76 5D D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20
-1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20
-09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24 82 49
-0E 20 39 50 20 00 19 82 12 20 19 82 12 20 82 49
-10 20 92 42 02 20 2C 20 30 41 B0 12 AA 40 39 40
-E0 00 29 83 89 43 38 20 FC 23 82 43 32 20 30 41
-92 4B 0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20
-58 42 22 20 92 93 02 20 08 24 59 42 24 20 89 10
-0A 59 88 10 08 58 0A 6A 88 10 08 58 30 41 82 43
-1C 20 92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93
-22 20 14 24 92 42 22 20 D0 04 92 42 24 20 D2 04
-92 42 12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04
-1C 20 92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B
-0E 00 22 20 92 4B 10 00 24 20 B0 12 AE 5F 5A 4B
-03 00 82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24
-F8 90 20 00 00 1E 03 20 18 53 19 83 F9 23 30 41
-1B 42 32 20 82 43 1E 20 B2 90 00 02 20 20 AB 20
-BB 80 00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92
-12 20 03 00 14 28 CB 43 03 00 B0 12 80 5F 1A 52
-08 20 09 43 B0 12 76 5D 8B 43 10 00 9B 48 00 1E
-0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40
-00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20
-82 2C BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20
-B0 12 EE 5F 1A 42 1A 20 19 42 1C 20 6C 3E 3C 42
-3B 40 38 20 09 43 CB 93 02 00 10 24 9B 92 24 20
-0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50
-1C 00 3B 90 18 21 EF 23 0C 5C 30 41 0C 43 82 4B
-32 20 8B 49 00 00 09 93 0A 24 99 52 C4 1D 16 00
-4A 93 05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A
-02 00 CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20
-06 00 18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00
-9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E
-10 00 9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43
-1E 20 6A 93 5C 27 C9 37 8B 43 16 00 7A 93 02 24
-07 38 95 3F B2 40 1C 21 CA 40 B2 40 56 43 7A 42
-9B 42 C0 1D 18 00 9B 82 C4 1D 18 00 9B 42 C2 1D
-1A 00 9B 52 C4 1D 1A 00 82 3F CB 43 02 00 2B 4B
-82 4B 32 20 0B 93 06 24 92 4B 16 00 1E 20 B0 12
-6E 60 22 C3 30 41 1B 42 32 20 0B 93 FB 27 EB 93
-02 00 04 20 B0 12 44 66 B0 12 0C 66 CB 93 02 00
-E4 37 1E 4B 18 00 9F 4B 1A 00 00 00 31 50 06 00
-3D 41 B0 12 6A 61 02 24 30 40 4A 43 B2 40 3C 1D
-CA 40 B2 40 7C 42 7A 42 30 40 34 43 48 4E 85 52
-45 41 44 22 5A 43 19 3C BC 4C 86 57 52 49 54 45
-22 00 6A 43 12 3C B0 4D 84 44 45 4C 22 00 6A 42
-0C 3C D0 4A 05 43 4C 4F 53 45 B0 12 86 61 30 4D
-6A 4C 85 4C 4F 41 44 22 7A 43 2F 83 8F 4E 00 00
-0E 4A 82 93 BE 1D 0B 24 0D 12 84 12 0A 40 0A 40
-BA 47 BA 47 44 45 0A 40 3E 62 BA 47 C0 44 0D 12
-84 12 0A 40 22 00 8C 45 1A 48 3C 62 3D 41 36 4F
-0E 56 82 4E 36 20 1C 43 92 42 2C 20 22 20 92 42
-2E 20 24 20 0E 96 8D 24 F6 90 3A 00 01 00 01 20
-26 53 F6 90 5C 00 00 00 08 20 16 53 92 42 02 20
-22 20 82 43 24 20 0E 96 70 24 82 46 34 20 B0 12
-AE 5F 35 40 20 00 A2 93 02 20 04 24 92 92 22 20
-02 20 02 24 15 42 12 20 B0 12 94 60 2C 43 0A 43
-08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E 61 24
-39 42 F8 96 00 1E 04 20 18 53 19 83 FA 23 16 53
-F6 90 2E 00 FF FF 19 24 39 50 03 00 B0 12 0C 60
-06 20 F6 90 5C 00 FF FF 29 24 0E 96 27 28 16 42
-34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20 82 63
-1C 20 15 83 D1 23 2C 42 3C 3C F6 90 2E 00 FE FF
-EE 27 B0 12 0C 60 EB 23 39 40 03 00 F8 96 00 1E
-04 20 18 53 19 83 FA 23 09 3C 0E 96 E0 2F F6 90
-5C 00 FF FF DC 23 B0 12 0C 60 D9 23 18 42 30 20
-92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00
-0B 1E 14 24 82 93 24 20 06 20 82 93 22 20 03 20
-92 42 02 20 22 20 0E 96 8E 2F 92 42 22 20 2C 20
-92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12
-9E 60 35 40 D4 40 36 40 E2 40 3A 4F 3E 4F 0A 93
-04 24 7A 93 39 20 0C 93 01 20 30 4D 0D 12 84 12
-34 43 14 40 0B 3C 20 4F 70 65 6E 45 72 72 6F 72
-3A 40 12 5E F8 42 01 2B 3E 5F 30 4D F4 61 04 42
-4F 4F 54 00 39 40 28 5E 2E 93 01 2C 30 41 E2 B2
-60 02 02 24 10 49 02 00 89 12 3F 40 7E 1C 8F 43
-00 00 82 43 BE 1D B2 40 00 1C 00 1C 31 40 E0 1C
-84 12 14 40 0F 4C 4F 41 44 22 20 42 4F 4F 54 2E
-34 54 48 22 3A 40 A2 48 1A 93 BB 20 0C 93 C6 23
-30 4D CE 61 04 52 45 41 44 00 2F 83 8F 4E 00 00
-1E 42 32 20 B0 12 20 60 1E 82 32 20 30 4D 2C 43
-12 12 2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20
-A6 24 1A 52 08 20 09 43 B0 12 76 5D 09 43 28 93
-03 24 89 93 02 1E 03 20 89 93 00 1E 07 24 09 58
-39 90 00 02 F4 23 91 53 00 00 E7 3F 0C 43 6A 41
-B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11
-8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20
-82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20
-82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52
-08 20 09 43 B0 12 BC 5D 3A 41 1A 52 0C 20 09 43
-B0 12 BC 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00
-A2 04 FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40
-20 00 C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42
-B5 04 C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40
-00 08 C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80
-BC 07 C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41
-22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E
-29 92 06 38 39 80 03 00 B0 12 60 65 39 40 03 00
-7A 4B C8 4A 00 1E 82 9B 36 20 12 28 0D 12 3D 40
-0F 00 3C 40 10 65 7A 9C F3 27 1D 83 FC 23 3D 41
-6A 9C E6 27 3A 80 21 00 EB 3B 18 53 19 83 E8 23
-09 93 06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23
-30 41 2A 93 DC 20 2C 93 0E 24 0C 93 AB 24 0D 12
-84 12 14 40 0C 3C 20 57 72 69 74 65 45 72 72 6F
-72 00 3A 40 12 5E B0 12 1E 64 92 42 26 20 22 20
-92 42 28 20 24 20 B0 12 9C 64 B0 12 94 60 18 42
-30 20 F8 40 20 00 0B 1E B0 12 B4 64 88 43 0C 1E
-88 4A 0E 1E 88 49 10 1E 88 49 12 1E 98 42 24 20
-14 1E 98 42 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E
-1C 43 1B 42 34 20 82 9B 36 20 C9 27 FB 90 2E 00
-00 00 C5 27 39 40 0B 00 B0 12 30 65 B0 12 4E 66
-2A 43 B0 12 9E 60 0C 93 BA 23 30 4D 1A 4B 04 00
-19 4B 06 00 B0 12 76 5D B0 12 B4 64 18 4B 08 00
-88 49 12 1E 88 4A 16 1E 88 49 18 1E 98 4B 12 00
-1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B 06 00
-30 40 BC 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42
-1A 20 19 42 1C 20 30 40 BC 5D B2 40 00 02 1E 20
-1B 42 32 20 B0 12 44 66 82 43 1E 20 DB 53 03 00
-DB 92 12 20 03 00 25 20 CB 43 03 00 B0 12 80 5F
-08 12 0A 12 B0 12 1E 64 2A 91 08 24 B0 12 9C 64
-2A 41 1A 52 08 20 09 43 B0 12 76 5D 3A 41 38 41
-98 42 26 20 00 1E 92 93 02 20 03 24 98 42 28 20
-02 1E B0 12 9C 64 9B 42 26 20 0E 00 9B 42 28 20
-10 00 30 40 EE 5F DA 61 05 57 52 49 54 45 B0 12
-5A 66 30 4D 58 4B 13 00 59 4B 14 00 89 10 09 58
-58 4B 15 00 5B 42 12 20 0A 43 3C 42 08 11 09 10
-4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42
-32 20 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48
-10 00 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01 12 00
-3A F0 FF 01 82 4A 1E 20 B0 12 90 60 30 4D 0C 93
-3B 20 38 90 E0 01 03 2C C8 93 20 1E 02 24 7C 40
-E5 00 C8 4C 00 1E B0 12 4E 66 B0 12 8C 5F 82 4A
-2A 20 0B 4A 1A 52 08 20 09 43 B0 12 76 5D 1A 48
-00 1E 88 43 00 1E 92 93 02 20 09 24 19 48 02 1E
-88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93
-0E 24 82 4A 22 20 82 49 24 20 B0 12 8C 5F 0B 9A
-E6 27 0A 12 0A 4B B0 12 9C 64 3A 41 DA 3F 0A 4B
-B0 12 9C 64 B0 12 86 61 30 4D FC 44 08 54 45 52
-4D 32 53 44 22 00 0D 12 84 12 EE 61 0A 40 02 00
-28 40 1A 48 3E 62 C8 67 3D 41 0A 43 B0 12 C6 41
-92 B3 DC 05 FD 27 59 42 CC 05 C2 49 CE 05 69 92
-0D 24 CA 49 00 1E 1A 53 3A 90 FF 01 F1 2B 03 24
-B0 12 5A 66 EA 3F B0 12 C8 41 EA 3F B0 12 C8 41
-82 4A 1E 20 B0 12 86 61 30 4D
+82 43 78 5C 0D 12 84 12 5A 4D 2C 4D C0 44 90 4A
+07 48 44 4E 43 4F 44 45 B2 40 30 4D DA 1D EC 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 C8 49
+80 4D B4 4D C0 44 B2 4A 07 43 4F 44 45 4E 4E 4D
+30 12 BC 4A A6 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 80 4D B4 4D C0 44
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F D0 4A 85 48 49 32 4C 4F 0D 12 84 12 28 40
+94 4C BA 47 1C 49 C0 4A C0 44 46 4A 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 5C 4B 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 40 00 00 38 44 74 48 8C 45
+0A 48 00 44 34 40 F4 4B 0E 44 14 40 06 5B 54 48
+45 4E 5D 00 66 4B CE 4B 8A 4B AC 4B C0 44 0E 44
+14 40 06 5B 45 4C 53 45 5D 00 66 4B E4 4B 8A 4B
+AA 4B C0 44 14 40 04 5B 49 46 5D 00 66 4B AC 4B
+3A 40 AA 4B E2 43 14 40 05 0D 0A 6B 6F 20 BC 43
+BC 40 AC 40 3A 40 AC 4B 9A 4B 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 0A 4C 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 74 48 8C 45
+F4 45 18 4C C0 44 1E 4C 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 28 4C 50 44 C0 44 50 4C
+B2 4E 0A 18 B2 4E 0C 18 BE 12 3E 4F 3D 41 DB 3C
+CC 47 06 4D 41 52 4B 45 52 00 B0 12 72 49 BA 40
+85 12 FC FF BA 40 4E 4C FE FF 28 83 8A 48 00 00
+9A 42 C8 1D 02 00 BA 40 AA 40 04 00 B2 50 06 00
+C6 1D 9D 3E 2E 53 30 4D 6E 4A 05 44 4F 45 53 3E
+1A 42 BA 1D BA 40 85 12 00 00 8A 4D 02 00 3D 41
+30 4D 86 45 0A 56 4F 43 41 42 55 4C 41 52 59 00
+0D 12 84 12 34 4A 0A 40 10 00 0A 40 00 00 3E 40
+0A 40 00 00 BA 47 60 40 D0 4C 28 40 0A 40 C8 1D
+00 44 40 44 BA 47 48 44 A0 4C 0A 40 CA 1D 48 44
+C0 44 F0 48 05 46 4F 52 54 48 85 12 EA 4C 54 4D
+AC 63 E0 61 F4 4C 44 4B F8 42 FA 61 9A 4D 26 4E
+02 64 AA 67 C6 66 00 00 9E 63 2E 49 54 46 00 00
+D8 48 09 41 53 53 45 4D 42 4C 45 52 85 12 EA 4C
+44 5B DC 5A 40 5A 00 55 92 53 00 00 08 59 00 00
+68 5C 64 5D F6 53 AA 5D 10 5B 00 00 00 00 DA 54
+1E 4D 22 4D 04 41 4C 53 4F 00 3A 40 0C 00 39 40
+D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
+FA 23 30 4D 3A 49 08 50 52 45 56 49 4F 55 53 00
+3A 40 0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00
+18 53 1A 83 FB 23 30 4D 72 45 04 4F 4E 4C 59 00
+82 43 CC 1D 30 4D 9A 4C 0B 44 45 46 49 4E 49 54
+49 4F 4E 53 92 42 CA 1D DA 1D 30 4D FA 4C A0 4D
+B4 4D C4 4D 3A 4E 82 4A C8 1D 2E 4E 82 4E C6 1D
+3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
+FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
+3E 4F 3D 41 30 4D 76 4D 09 50 57 52 5F 53 54 41
+54 45 85 12 BC 4D 50 4D 08 68 40 45 09 52 53 54
+5F 53 54 41 54 45 92 42 0A 18 08 4E 92 42 0C 18
+06 4E EF 3F F8 4D 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 08 4E 92 42 C8 1D 06 4E 30 4D 0C 4E
+08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D 0A 18
+92 42 C8 1D 0C 18 EC 3F 3E 90 0E 00 D2 27 2E 92
+DA 37 0E 93 CE 37 39 40 10 00 29 83 B9 43 80 FF
+FC 23 B9 40 A8 4E FE FF 29 83 B9 40 02 42 FE FF
+39 90 AE FF F9 23 39 40 14 18 B2 49 04 42 B2 49
+FA 40 B2 49 02 40 B2 49 22 42 B2 49 F0 FF B2 49
+0A 18 B2 49 0C 18 B7 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 10 29 83 89 43 00 1C FC 23 B2 D3
+06 02 B2 40 FC FF 02 02 B2 43 26 02 B2 D3 22 02
+E2 D2 25 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02
+B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40 A5 00
+61 01 82 43 66 01 B2 40 33 00 64 01 D2 43 61 01
+39 40 40 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00
+A2 04 B2 42 B0 01 1E 42 08 18 82 43 08 18 1E D2
+9E 01 B0 12 F8 40 20 42 38 40 C0 1D 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
+12 12 C4 1D 84 12 8C 45 F4 45 50 44 34 40 88 4F
+B0 46 34 40 A2 4F 9C 4F 8A 4F 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 A4 4F B2 41 C4 1D 3E 41 84 12 0A 40 2B 00
+8C 45 F4 45 50 44 34 40 C0 4F B0 46 34 40 72 48
+1A 44 8C 45 B0 46 34 40 72 48 CC 4F 3E 5F E7 3F
+32 B0 00 02 01 24 3E 4F 30 41 3E 40 28 00 B0 12
+6C 4F B0 12 D0 4F 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 40 29 00 1C 15 92 92 C0 1D C4 1D 02 20
+30 40 E0 49 12 12 C4 1D 92 53 C4 1D 84 12 8C 45
+B0 46 34 40 22 50 18 50 21 53 3E 90 10 00 84 2D
+BE 2B 24 50 B2 41 C4 1D BA 3F 0D 12 84 12 74 48
+48 4F 34 50 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
+3E 4F 7A 90 23 00 29 20 92 53 C4 1D B0 12 6C 4F
+B0 12 D0 4F 3C 40 00 03 0E 93 1C 24 3C 40 10 03
+1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
+2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
+3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D
+89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 09 20
+3C 40 10 02 92 53 C4 1D B0 12 6C 4F B0 12 D0 4F
+EB 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
+B0 12 F6 4F 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+F6 4F 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
+0C 5E D8 3F B0 12 F6 4F FA 23 3C 50 10 00 B0 12
+DA 4F EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
+84 12 74 48 48 4F 08 51 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C5 3F B0 12 F6 4F E0 23
+3C 50 80 00 B0 12 DA 4F DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 40 00 13 BA 47 C0 44 0A 40
+2C 00 2A 50 FE 50 48 51 09 4B 2E 4E 0E DC A0 3F
+32 4B 03 4D 4F 56 85 12 3E 51 00 40 52 51 05 4D
+4F 56 2E 42 85 12 3E 51 40 40 00 00 03 41 44 44
+85 12 3E 51 00 50 6C 51 05 41 44 44 2E 42 85 12
+3E 51 40 50 78 51 04 41 44 44 43 00 85 12 3E 51
+00 60 86 51 06 41 44 44 43 2E 42 00 85 12 3E 51
+40 60 2C 51 04 53 55 42 43 00 85 12 3E 51 00 70
+A4 51 06 53 55 42 43 2E 42 00 85 12 3E 51 40 70
+B2 51 03 53 55 42 85 12 3E 51 00 80 C2 51 05 53
+55 42 2E 42 85 12 3E 51 40 80 08 4B 03 43 4D 50
+85 12 3E 51 00 90 DC 51 05 43 4D 50 2E 42 85 12
+3E 51 40 90 E2 4A 04 44 41 44 44 00 85 12 3E 51
+00 A0 F6 51 06 44 41 44 44 2E 42 00 85 12 3E 51
+40 A0 E8 51 03 42 49 54 85 12 3E 51 00 B0 14 52
+05 42 49 54 2E 42 85 12 3E 51 40 B0 20 52 03 42
+49 43 85 12 3E 51 00 C0 2E 52 05 42 49 43 2E 42
+85 12 3E 51 40 C0 3A 52 03 42 49 53 85 12 3E 51
+00 D0 48 52 05 42 49 53 2E 42 85 12 3E 51 40 D0
+00 00 03 58 4F 52 85 12 3E 51 00 E0 62 52 05 58
+4F 52 2E 42 85 12 3E 51 40 E0 94 51 03 41 4E 44
+85 12 3E 51 00 F0 7C 52 05 41 4E 44 2E 42 85 12
+3E 51 40 F0 74 48 2A 50 9A 52 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F CE 51 03 52 52 43
+85 12 94 52 00 10 AC 52 05 52 52 43 2E 42 85 12
+94 52 40 10 B8 52 04 53 57 50 42 00 85 12 94 52
+80 10 C6 52 03 52 52 41 85 12 94 52 00 11 D4 52
+05 52 52 41 2E 42 85 12 94 52 40 11 E0 52 03 53
+58 54 85 12 94 52 80 11 00 00 04 50 55 53 48 00
+85 12 94 52 00 12 FA 52 06 50 55 53 48 2E 42 00
+85 12 94 52 40 12 54 52 04 43 41 4C 4C 00 85 12
+94 52 80 12 1A 53 0E 4A 0D 12 84 12 36 45 14 40
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 41
+EE 52 03 53 3E 3D 86 12 00 38 42 53 02 53 3C 00
+86 12 00 34 08 53 03 30 3E 3D 86 12 00 30 56 53
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C 6A 53 03 55 3E 3D 86 12 00 28 60 53 03 30
+3C 3E 86 12 00 24 7E 53 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
+C6 1D 0E 4A 30 4D 74 53 04 54 48 45 4E 00 1A 42
+C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 04 52 04 45 4C 53
+45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
+2F 83 8F 4A 00 00 E3 3F 18 53 05 42 45 47 49 4E
+30 40 28 40 A8 53 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
+30 4D 88 52 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 96 53
+1A 44 C0 44 4C 53 06 52 45 50 45 41 54 00 0D 12
+84 12 2A 54 AE 53 C0 44 5A 54 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
+EA 53 03 42 57 31 85 12 58 54 00 00 72 54 03 42
+57 32 85 12 58 54 00 00 7E 54 03 42 57 33 85 12
+58 54 00 00 96 54 3D 41 1A 42 C6 1D 28 4E B2 92
+C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 94 54 00 00
+B6 54 03 46 57 32 85 12 94 54 00 00 C2 54 03 46
+57 33 85 12 94 54 00 00 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 07 24 3E E0 00 04 3E B0 00 10 02 24
+3E E0 00 08 0D 12 84 12 F2 48 4E 48 C0 44 CE 54
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+F1 3F 74 48 48 4F 18 55 92 53 C4 1D 3E 40 2C 00
+84 12 8C 45 B0 46 34 40 72 48 F4 50 2E 55 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E 46 54 04 52 52 43
+4D 00 85 12 12 55 50 00 5C 55 04 52 52 41 4D 00
+85 12 12 55 50 01 6A 55 04 52 4C 41 4D 00 85 12
+12 55 50 02 78 55 04 52 52 55 4D 00 85 12 12 55
+50 03 88 53 05 50 55 53 48 4D 85 12 12 55 00 15
+94 55 04 50 4F 50 4D 00 85 12 12 55 00 17 86 55
+06 52 52 43 4D 2E 41 00 85 12 12 55 40 00 B0 55
+06 52 52 41 4D 2E 41 00 85 12 12 55 40 01 C0 55
+06 52 4C 41 4D 2E 41 00 85 12 12 55 40 02 D0 55
+06 52 52 55 4D 2E 41 00 85 12 12 55 40 03 A2 55
+07 50 55 53 48 4D 2E 41 85 12 12 55 00 14 F0 55
+06 50 4F 50 4D 2E 41 00 85 12 12 55 00 16 8A 54
+05 43 41 4C 4C 41 0D 12 84 12 74 48 48 4F 20 56
+1B 42 C6 1D A2 53 C6 1D 6E 4E 3C 40 34 01 7E 90
+52 00 0B 20 7E 40 20 00 B0 12 F6 4F 5C 0E 0C DE
+8B 4C 00 00 3E 4F 3D 41 30 4D 2C 53 7E 90 40 00
+0B 20 92 53 C4 1D 7E 40 20 00 B0 12 F6 4F EE 23
+1C 53 3E 40 2B 00 E8 3F A2 53 C6 1D 7E 90 23 00
+09 20 3C 40 3B 01 92 53 C4 1D B0 12 6C 4F BB 4F
+02 00 DC 3F 7E 90 26 00 02 20 2C 53 F4 3F 7E 40
+28 00 1C 83 B0 12 6C 4F BB 4F 02 00 3E 40 29 00
+CB 3F 0D 12 84 12 74 48 48 4F AC 56 69 4E 3E 4F
+3C 4F 2C 4C 1B 42 C6 1D A2 53 C6 1D 79 90 52 00
+0A 20 B0 12 F6 4F 5E 0E 5E 0E 0E DC 8B 4E 00 00
+0E 4B 3D 41 30 4D 79 90 23 00 0D 20 3C C0 40 00
+92 53 C4 1D A2 53 C6 1D B0 12 6C 4F BB 4F 02 00
+3E F0 0F 00 E8 3F 79 90 26 00 03 20 3C E0 E0 00
+EF 3F 3C C0 F0 00 79 90 40 00 12 20 92 53 C4 1D
+B0 12 F6 4F D8 23 3C D0 10 00 3E 40 2B 00 B0 12
+F6 4F 92 92 C0 1D C4 1D CE 27 92 53 C4 1D CB 3F
+3C D0 30 00 A2 53 C6 1D 3E 40 28 00 B0 12 6C 4F
+BB 4F 02 00 3E 40 29 00 EA 3F 0D 12 84 12 74 48
+48 4F 54 57 3B 4F 2C 4B 69 4E 7E 40 20 00 79 90
+52 00 03 20 B0 12 F6 4F B0 3F 3C C0 F0 00 A2 53
+C6 1D 79 90 26 00 09 20 3C D0 60 00 92 53 C4 1D
+B0 12 6C 4F BB 4F 02 00 A0 3F 3C D0 70 00 3E 40
+28 00 B0 12 6C 4F BB 4F 02 00 3E 40 29 00 E2 3F
+0A 40 2C 00 A2 56 4A 57 E4 43 C0 44 5E 51 04 4D
+4F 56 41 00 85 12 A0 57 C0 00 10 56 04 43 4D 50
+41 00 85 12 A0 57 D0 00 24 54 04 41 44 44 41 00
+85 12 A0 57 E0 00 E0 55 04 53 55 42 41 00 85 12
+A0 57 F0 00 0D 12 84 12 74 48 48 4F EE 57 69 4E
+3E 4F 3C 40 00 18 79 90 52 00 05 20 B0 12 F6 4F
+0E 4C 3D 41 30 4D 82 43 78 5C 79 90 23 00 0B 20
+92 53 C4 1D B0 12 6C 4F 2F 53 3E F0 0F 00 5E 0A
+5E 0E 0C DE ED 3F 79 90 26 00 F2 27 79 90 40 00
+12 20 92 53 C4 1D B0 12 F6 4F E2 23 3E 40 2B 00
+92 53 C4 1D B0 12 F6 4F 92 92 C0 1D C4 1D D8 27
+92 53 C4 1D D5 3F 3E 40 28 00 B0 12 6C 4F 8F 4E
+00 00 3E 40 29 00 B0 12 F6 4F 3E 4F 3E F0 0F 00
+0C DE EA 3F 0D 12 84 12 74 48 48 4F 7E 58 3C 4F
+69 4E 3E 40 20 00 79 90 52 00 BA 27 82 43 78 5C
+79 90 26 00 08 20 92 53 C4 1D B0 12 6C 4F 2F 53
+3E F0 0F 00 BE 3F 3E 40 28 00 B0 12 6C 4F F7 3F
+B2 4F C4 1D 1B 42 C6 1D A2 53 C6 1D 0C 4E 3E 4F
+1C D2 78 5C 82 43 78 5C 3C DE 8B 4C 00 00 30 4D
+0A 40 C4 1D 40 44 0A 40 2C 00 E4 57 74 58 B0 58
+3A 40 3E 51 AE 57 04 4D 4F 56 58 00 85 12 D0 58
+40 00 00 40 E6 58 06 4D 4F 56 58 2E 41 00 85 12
+D0 58 00 00 40 40 F6 58 06 4D 4F 56 58 2E 42 00
+85 12 D0 58 40 00 40 40 CA 57 04 41 44 44 58 00
+85 12 D0 58 40 00 00 50 1A 59 06 41 44 44 58 2E
+41 00 85 12 D0 58 00 00 40 50 2A 59 06 41 44 44
+58 2E 42 00 85 12 D0 58 40 00 40 50 3C 59 05 41
+44 44 43 58 85 12 D0 58 40 00 00 60 4E 59 07 41
+44 44 43 58 2E 41 85 12 D0 58 00 00 40 60 5E 59
+07 41 44 44 43 58 2E 42 85 12 D0 58 40 00 40 60
+D8 57 05 53 55 42 43 58 85 12 D0 58 40 00 00 70
+82 59 07 53 55 42 43 58 2E 41 85 12 D0 58 00 00
+40 70 92 59 07 53 55 42 43 58 2E 42 85 12 D0 58
+40 00 40 70 A4 59 04 53 55 42 58 00 85 12 D0 58
+40 00 00 80 B6 59 06 53 55 42 58 2E 41 00 85 12
+D0 58 00 00 40 80 C6 59 06 53 55 42 58 2E 42 00
+85 12 D0 58 40 00 40 80 BC 57 04 43 4D 50 58 00
+85 12 D0 58 40 00 00 90 EA 59 06 43 4D 50 58 2E
+41 00 85 12 D0 58 00 00 40 90 FA 59 06 43 4D 50
+58 2E 42 00 85 12 D0 58 40 00 40 90 CC 53 05 44
+41 44 44 58 85 12 D0 58 40 00 00 A0 1E 5A 07 44
+41 44 44 58 2E 41 85 12 D0 58 00 00 40 A0 2E 5A
+07 44 41 44 44 58 2E 42 85 12 D0 58 40 00 40 A0
+0C 5A 04 42 49 54 58 00 85 12 D0 58 40 00 00 B0
+52 5A 06 42 49 54 58 2E 41 00 85 12 D0 58 00 00
+40 B0 62 5A 06 42 49 54 58 2E 42 00 85 12 D0 58
+40 00 40 B0 74 5A 04 42 49 43 58 00 85 12 D0 58
+40 00 00 C0 86 5A 06 42 49 43 58 2E 41 00 85 12
+D0 58 00 00 40 C0 96 5A 06 42 49 43 58 2E 42 00
+85 12 D0 58 40 00 40 C0 A8 5A 04 42 49 53 58 00
+85 12 D0 58 40 00 00 D0 BA 5A 06 42 49 53 58 2E
+41 00 85 12 D0 58 00 00 40 D0 CA 5A 06 42 49 53
+58 2E 42 00 85 12 D0 58 40 00 40 D0 6E 52 04 58
+4F 52 58 00 85 12 D0 58 40 00 00 E0 EE 5A 06 58
+4F 52 58 2E 41 00 85 12 D0 58 00 00 40 E0 FE 5A
+06 58 4F 52 58 2E 42 00 85 12 D0 58 40 00 40 E0
+70 59 04 41 4E 44 58 00 85 12 D0 58 40 00 00 F0
+22 5B 06 41 4E 44 58 2E 41 00 85 12 D0 58 00 00
+40 F0 32 5B 06 41 4E 44 58 2E 42 00 85 12 D0 58
+40 00 40 F0 0A 40 C4 1D 40 44 74 48 E4 57 B0 58
+3A 40 94 52 D8 59 04 52 52 43 58 00 85 12 54 5B
+40 00 00 10 66 5B 06 52 52 43 58 2E 41 00 85 12
+54 5B 00 00 40 10 76 5B 06 52 52 43 58 2E 42 00
+85 12 54 5B 40 00 40 10 88 5B 04 52 52 55 58 00
+85 12 54 5B 40 01 00 10 9A 5B 06 52 52 55 58 2E
+41 00 85 12 54 5B 00 01 40 10 AA 5B 06 52 52 55
+58 2E 42 00 85 12 54 5B 40 01 40 10 BC 5B 05 53
+57 50 42 58 85 12 54 5B 40 00 80 10 CE 5B 07 53
+57 50 42 58 2E 41 85 12 54 5B 00 00 80 10 DE 5B
+04 52 52 41 58 00 85 12 54 5B 40 00 00 11 F0 5B
+06 52 52 41 58 2E 41 00 85 12 54 5B 00 00 40 11
+00 5C 06 52 52 41 58 2E 42 00 85 12 54 5B 40 00
+40 11 12 5C 04 53 58 54 58 00 85 12 54 5B 40 00
+80 11 24 5C 06 53 58 54 58 2E 41 00 85 12 54 5B
+00 00 80 11 00 56 05 50 55 53 48 58 85 12 54 5B
+40 00 00 12 46 5C 07 50 55 53 48 58 2E 41 85 12
+54 5B 00 00 40 12 56 5C 07 50 55 53 48 58 2E 42
+85 12 54 5B 40 00 40 12 00 00 34 5C 03 52 50 54
+0D 12 84 12 74 48 48 4F 8A 5C 29 4E 7E 40 20 00
+79 90 52 00 06 20 B0 12 F6 4F 03 24 3E D0 80 00
+05 3C B0 12 6C 4F 1E 83 3E F0 0F 00 82 4E 78 5C
+3E 4F 3D 41 30 4D D2 C3 23 02 E2 B2 60 02 02 24
+30 40 02 42 1A 52 04 20 19 62 06 20 92 43 14 20
+A2 93 02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49
+18 20 0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49
+17 20 89 10 C2 49 18 20 B0 12 3E 5D 5A 53 FC 23
+39 40 05 00 D2 49 14 20 4E 06 82 93 46 06 05 24
+92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83
+0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06
+FD 27 5A 92 4C 06 F3 23 30 41 1A 43 E1 3F 19 43
+3A 43 8A 10 C2 4A 4E 06 82 93 46 06 05 24 92 B3
+6C 06 FD 27 C2 93 4C 06 19 83 F3 23 5A 42 4C 06
+30 41 7C 5C 08 52 45 41 44 5F 53 57 58 00 1C D3
+F2 40 51 00 19 20 B0 12 B6 5C 38 20 B0 12 3E 5D
+6A 53 04 24 FB 23 D9 42 4C 06 FF 1D F2 43 4E 06
+03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0
+03 00 D2 D3 23 02 30 41 34 54 09 57 52 49 54 45
+5F 53 57 58 2C D3 F0 40 58 00 5F C2 B0 12 B6 5C
+15 20 3A 40 FE FF 29 43 B0 12 42 5D D2 49 00 1E
+4E 06 03 43 19 53 39 90 00 02 F8 23 39 40 03 00
+B0 12 40 5D 7A C0 E1 00 6A 92 D9 27 8C 10 1C 52
+4C 06 D2 D3 23 02 0D 12 84 12 34 43 14 40 0B 3C
+20 53 44 20 45 72 72 6F 72 21 0C 5E 2F 83 8F 4E
+00 00 B2 40 10 00 DC 1D 0E 4C 84 12 00 45 36 41
+B0 12 9C 41 0E 93 9C 24 E2 B2 60 02 99 20 B2 40
+81 A9 40 06 B2 40 18 00 46 06 D2 D3 25 02 B2 D0
+C0 04 0C 02 92 C3 40 06 39 42 B0 12 40 5D D2 C3
+23 02 2C 42 B2 40 95 00 14 20 B2 40 00 40 18 20
+B0 12 3A 5D 02 24 30 40 EC 5D B0 12 3E 5D 7A 93
+FC 23 B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48
+18 20 B0 12 3A 5D 29 42 B0 12 40 5D 92 43 14 20
+82 43 16 20 78 43 3C 42 B2 40 00 77 18 20 B0 12
+3A 5D B2 40 40 69 18 20 B0 12 F8 5C 03 24 58 83
+F3 23 D9 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20
+B0 12 F8 5C D0 23 92 D3 40 06 82 43 46 06 92 C3
+40 06 09 43 B0 12 6E 5D 38 40 00 1E 92 48 C6 01
+04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20
+7A 80 06 00 0F 24 7A 82 0D 24 A2 43 02 20 6A 53
+09 24 5A 53 07 24 6A 52 05 24 3A 50 0B 20 0C 4A
+30 40 F2 5D 09 43 B0 12 6E 5D D2 48 0D 00 12 20
+19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93 02 20
+1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20 09 5A
+A2 93 02 20 04 24 82 49 0E 20 39 50 20 00 19 82
+12 20 19 82 12 20 82 49 10 20 92 42 02 20 2C 20
+30 41 B0 12 AA 40 39 40 E0 00 29 83 89 43 38 20
+FC 23 82 43 32 20 30 41 92 4B 0E 00 22 20 92 4B
+10 00 24 20 5A 42 23 20 58 42 22 20 92 93 02 20
+08 24 59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A
+88 10 08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20
+C2 93 24 20 03 20 92 93 22 20 14 24 92 42 22 20
+D0 04 92 42 24 20 D2 04 92 42 12 20 C8 04 92 42
+E4 04 1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20
+82 63 1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00
+24 20 B0 12 A6 5F 5A 4B 03 00 82 5A 1A 20 82 63
+1C 20 30 41 09 93 07 24 F8 90 20 00 00 1E 03 20
+18 53 19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20
+B2 90 00 02 20 20 AB 20 BB 80 00 02 12 00 8B 73
+14 00 DB 53 03 00 DB 92 12 20 03 00 14 28 CB 43
+03 00 B0 12 78 5F 1A 52 08 20 09 43 B0 12 6E 5D
+8B 43 10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24
+9B 48 02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00
+0B 20 92 9B 12 00 1E 20 82 2C BB 90 00 02 12 00
+03 2C 92 4B 12 00 20 20 B0 12 E6 5F 1A 42 1A 20
+19 42 1C 20 6C 3E 3C 42 3B 40 38 20 09 43 CB 93
+02 00 10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20
+0A 00 07 24 09 4B 3B 50 1C 00 3B 90 18 21 EF 23
+0C 5C 30 41 0C 43 82 4B 32 20 8B 49 00 00 09 93
+0A 24 99 52 C4 1D 16 00 4A 93 05 34 C9 93 02 00
+02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42
+1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48
+08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48
+1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00
+9B 48 1E 1E 14 00 82 43 1E 20 6A 93 5C 27 C9 37
+8B 43 16 00 7A 93 02 24 07 38 95 3F B2 40 1C 21
+CA 40 B2 40 56 43 7A 42 9B 42 C0 1D 18 00 9B 82
+C4 1D 18 00 9B 42 C2 1D 1A 00 9B 52 C4 1D 1A 00
+82 3F CB 43 02 00 2B 4B 82 4B 32 20 0B 93 06 24
+92 4B 16 00 1E 20 B0 12 66 60 22 C3 30 41 1B 42
+32 20 0B 93 FB 27 EB 93 02 00 04 20 B0 12 42 66
+B0 12 0A 66 CB 93 02 00 E4 37 1E 4B 18 00 9F 4B
+1A 00 00 00 31 50 06 00 3D 41 B0 12 62 61 02 24
+30 40 4A 43 B2 40 3C 1D CA 40 B2 40 7C 42 7A 42
+30 40 34 43 40 4E 85 52 45 41 44 22 5A 43 19 3C
+B4 4C 86 57 52 49 54 45 22 00 6A 43 12 3C A8 4D
+84 44 45 4C 22 00 6A 42 0C 3C F8 4A 05 43 4C 4F
+53 45 B0 12 7E 61 30 4D 62 4C 85 4C 4F 41 44 22
+7A 43 2F 83 8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24
+0D 12 84 12 0A 40 0A 40 BA 47 BA 47 44 45 0A 40
+36 62 BA 47 C0 44 0D 12 84 12 0A 40 22 00 8C 45
+0A 48 34 62 3D 41 36 4F 0E 56 82 4E 36 20 1C 43
+92 42 2C 20 22 20 92 42 2E 20 24 20 0E 96 8D 24
+F6 90 3A 00 01 00 01 20 26 53 F6 90 5C 00 00 00
+08 20 16 53 92 42 02 20 22 20 82 43 24 20 0E 96
+70 24 82 46 34 20 B0 12 A6 5F 35 40 20 00 A2 93
+02 20 04 24 92 92 22 20 02 20 02 24 15 42 12 20
+B0 12 8C 60 2C 43 0A 43 08 4A 58 0E 08 58 82 48
+30 20 C8 93 00 1E 61 24 39 42 F8 96 00 1E 04 20
+18 53 19 83 FA 23 16 53 F6 90 2E 00 FF FF 19 24
+39 50 03 00 B0 12 04 60 06 20 F6 90 5C 00 FF FF
+29 24 0E 96 27 28 16 42 34 20 1A 53 3A 90 10 00
+DB 23 92 53 1A 20 82 63 1C 20 15 83 D1 23 2C 42
+3C 3C F6 90 2E 00 FE FF EE 27 B0 12 04 60 EB 23
+39 40 03 00 F8 96 00 1E 04 20 18 53 19 83 FA 23
+09 3C 0E 96 E0 2F F6 90 5C 00 FF FF DC 23 B0 12
+04 60 D9 23 18 42 30 20 92 48 1A 1E 22 20 92 48
+14 1E 24 20 F8 B0 10 00 0B 1E 14 24 82 93 24 20
+06 20 82 93 22 20 03 20 92 42 02 20 22 20 0E 96
+8E 2F 92 42 22 20 2C 20 92 42 24 20 2E 20 8F 43
+00 00 03 3C 2A 4F B0 12 96 60 35 40 D4 40 36 40
+E2 40 3A 4F 3E 4F 0A 93 04 24 7A 93 3C 20 0C 93
+01 20 30 4D 0D 12 84 12 34 43 14 40 0B 3C 20 4F
+70 65 6E 45 72 72 6F 72 3A 40 0A 5E 38 4C 05 5B
+50 46 41 5D 2E 53 2E 4E 30 4D EC 61 04 42 4F 4F
+54 00 39 40 20 5E 2E 93 01 2C 30 41 E2 B2 60 02
+02 24 10 49 02 00 89 12 3F 40 7E 1C 8F 43 00 00
+82 43 BE 1D B2 40 00 1C 00 1C 31 40 E0 1C 84 12
+14 40 0F 4C 4F 41 44 22 20 42 4F 4F 54 2E 34 54
+48 22 3A 40 A2 48 1A 93 BB 20 0C 93 C3 23 30 4D
+C6 61 04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42
+32 20 B0 12 18 60 1E 82 32 20 30 4D 2C 43 12 12
+2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20 A6 24
+1A 52 08 20 09 43 B0 12 6E 5D 09 43 28 93 03 24
+89 93 02 1E 03 20 89 93 00 1E 07 24 09 58 39 90
+00 02 F4 23 91 53 00 00 E7 3F 0C 43 6A 41 B9 43
+00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10
+09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49
+26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43
+28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20
+09 43 B0 12 B4 5D 3A 41 1A 52 0C 20 09 43 B0 12
+B4 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04
+FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00
+C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04
+C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08
+C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07
+C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A
+2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92
+06 38 39 80 03 00 B0 12 5E 65 39 40 03 00 7A 4B
+C8 4A 00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00
+3C 40 0E 65 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C
+E6 27 3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93
+06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41
+2A 93 DC 20 2C 93 0E 24 0C 93 AB 24 0D 12 84 12
+14 40 0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00
+3A 40 0A 5E B0 12 1C 64 92 42 26 20 22 20 92 42
+28 20 24 20 B0 12 9A 64 B0 12 8C 60 18 42 30 20
+F8 40 20 00 0B 1E B0 12 B2 64 88 43 0C 1E 88 4A
+0E 1E 88 49 10 1E 88 49 12 1E 98 42 24 20 14 1E
+98 42 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43
+1B 42 34 20 82 9B 36 20 C9 27 FB 90 2E 00 00 00
+C5 27 39 40 0B 00 B0 12 2E 65 B0 12 4C 66 2A 43
+B0 12 96 60 0C 93 BA 23 30 4D 1A 4B 04 00 19 4B
+06 00 B0 12 6E 5D B0 12 B2 64 18 4B 08 00 88 49
+12 1E 88 4A 16 1E 88 49 18 1E 98 4B 12 00 1C 1E
+98 4B 14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40
+B4 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20
+19 42 1C 20 30 40 B4 5D B2 40 00 02 1E 20 1B 42
+32 20 B0 12 42 66 82 43 1E 20 DB 53 03 00 DB 92
+12 20 03 00 25 20 CB 43 03 00 B0 12 78 5F 08 12
+0A 12 B0 12 1C 64 2A 91 08 24 B0 12 9A 64 2A 41
+1A 52 08 20 09 43 B0 12 6E 5D 3A 41 38 41 98 42
+26 20 00 1E 92 93 02 20 03 24 98 42 28 20 02 1E
+B0 12 9A 64 9B 42 26 20 0E 00 9B 42 28 20 10 00
+30 40 E6 5F D2 61 05 57 52 49 54 45 B0 12 58 66
+30 4D 58 4B 13 00 59 4B 14 00 89 10 09 58 58 4B
+15 00 5B 42 12 20 0A 43 3C 42 08 11 09 10 4A 10
+1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42 32 20
+19 5B 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48 10 00
+CB 4A 03 00 1A 4B 12 00 BB C0 FF 01 12 00 3A F0
+FF 01 82 4A 1E 20 B0 12 88 60 30 4D 0C 93 3B 20
+38 90 E0 01 03 2C C8 93 20 1E 02 24 7C 40 E5 00
+C8 4C 00 1E B0 12 4C 66 B0 12 84 5F 82 4A 2A 20
+0B 4A 1A 52 08 20 09 43 B0 12 6E 5D 1A 48 00 1E
+88 43 00 1E 92 93 02 20 09 24 19 48 02 1E 88 43
+02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24
+82 4A 22 20 82 49 24 20 B0 12 84 5F 0B 9A E6 27
+0A 12 0A 4B B0 12 9A 64 3A 41 DA 3F 0A 4B B0 12
+9A 64 B0 12 7E 61 30 4D FC 44 08 54 45 52 4D 32
+53 44 22 00 0D 12 84 12 E6 61 0A 40 02 00 28 40
+0A 48 36 62 C6 67 3D 41 0A 43 B0 12 DA 41 92 B3
+DC 05 FD 27 59 42 CC 05 C2 49 CE 05 69 92 0D 24
+CA 49 00 1E 1A 53 3A 90 FF 01 F1 2B 03 24 B0 12
+58 66 EA 3F B0 12 C8 41 EA 3F B0 12 C8 41 82 4A
+1E 20 B0 12 7E 61 30 4D
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -653,5 +653,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
 02 42 02 42 02 42 02 42 02 42 02 42 02 42 02 42
-96 42 02 42 02 42 02 42 02 42 02 42 02 42 B0 4E
+96 42 02 42 02 42 02 42 02 42 02 42 02 42 A8 4E
 q
index 41531b0..37c93ab 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 12 00 00 00 F8 00 F9 FF 26 58 08 50 33 01
-10 00 81 86 B4 45 AA 44 B6 45 8A 45 80 46 26 58
-08 50 6E 46 90 47 FC 46 D8 46 3C 1D 5E 48 D4 44
-E2 44 EE 44 20 00 0A 00 26 4C 40 4C 00 00 00 00
+80 3E 12 00 00 00 F8 00 F9 FF 0E 58 F0 4F 34 01
+10 00 41 87 B6 45 AA 44 B8 45 8C 45 82 46 0E 58
+F0 4F 70 46 80 47 FE 46 DA 46 3C 1D 4E 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 B6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
-B2 49 6C 46 B2 49 8E 47 B2 49 FA 46 B2 49 D6 46
+B2 49 6E 46 B2 49 7E 47 B2 49 FC 46 B2 49 D8 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D4 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 45 B0 12 F8 44
-0A 44 DE 1D DE 47 14 47 48 47 34 44 26 4C 14 44
-05 1B 5B 37 6D 40 5A 47 0A 44 02 18 DE 47 D4 48
-A6 47 34 44 7C 45 14 44 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 47 9E 48 5A 47 14 44
-04 1B 5B 30 6D 00 5A 47 26 4C 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 45 E2 B3 00 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 45 04 57 41 52 4D 00 B0 12 8A 45
-78 40 03 00 B0 12 B8 45 84 12 14 44 07 0D 0A 1B
-5B 37 6D 40 5A 47 0A 44 02 18 DE 47 D4 48 0A 44
-23 00 F8 46 D4 48 14 44 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 47 0A 44 40 FF 28 44 D2 47 9E 48 14 44
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 44 7C 45
-00 00 06 41 43 43 45 50 54 00 30 40 6E 46 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B9 22 3A 17
-92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 45
-82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 46 2F 83 8F 4E 00 00 58 43
-B0 12 B8 45 92 B3 6C 06 FD 27 1E 42 4C 06 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 46 08 4E 3E 4F
-A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F2 46 04 45
-43 48 4F 00 B2 40 C2 48 06 47 82 43 DE 1D 38 40
-05 00 B0 12 B8 45 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 47 92 43 DE 1D 28 42 F1 3F
-2A 47 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 47 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 46 78 47 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 45 02 43 52 00 30 40 90 47
-0D 12 84 12 14 44 02 0D 0A 00 5A 47 5E 48 2F 83
+B2 49 DC 1D 3D 41 30 40 BC 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 45 0E 12 B0 12
+F8 44 0A 44 DE 1D CE 47 16 47 EE 44 34 44 8A 45
+14 44 05 1B 5B 37 6D 40 4A 47 0A 44 02 18 CE 47
+C4 48 96 47 34 44 7E 45 14 44 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 47 8E 48 4A 47
+14 44 04 1B 5B 30 6D 00 4A 47 16 4C 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 45 E2 B3 00 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 45 04 57 41 52 4D 00 B0 12
+8C 45 78 40 03 00 B0 12 BA 45 84 12 14 44 07 0D
+0A 1B 5B 37 6D 40 4A 47 0A 44 02 18 CE 47 C4 48
+0A 44 23 00 FA 46 C4 48 14 44 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 47 0A 44 40 FF 28 44 C2 47 8E 48
+14 44 0A 62 79 74 65 73 20 66 72 65 65 00 3A 44
+7E 45 00 00 06 41 43 43 45 50 54 00 30 40 70 46
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
+3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 46 2F 83 8F 4E 00 00
+58 43 B0 12 BA 45 92 B3 6C 06 FD 27 1E 42 4C 06
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 46 08 4E
+3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 46
+04 45 43 48 4F 00 B2 40 C2 48 08 47 82 43 DE 1D
+38 40 05 00 B0 12 BA 45 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 47 92 43 DE 1D 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 47 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 46 68 47 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 45 02 43 52 00 30 40 80 47
+0D 12 84 12 14 44 02 0D 0A 00 4A 47 4E 48 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 46 01 40 2E 4E
-30 4D DC 47 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 46 01 40 2E 4E
+30 4D CC 47 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D 88 47 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D 78 47 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E 44 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 18 48 02 23 53 00 0D 12 84 12
-1A 48 54 48 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 48 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 08 48 02 23 53 00 0D 12 84 12
+0A 48 44 48 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 48 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 47 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 47 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 48
-4C 48 EE 44 8C 48 68 48 5A 47 22 4C F8 46 5E 48
-42 47 01 2E 0E 93 E3 37 38 43 E2 3F 86 48 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44 58 4B
-0A 44 22 00 2A 49 F8 48 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D D2 48
-82 2E 22 00 0D 12 84 12 E2 48 0A 44 5A 47 58 4B
-5E 48 F6 45 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 47
+3C 48 EE 44 7C 48 58 48 4A 47 02 4C FA 46 4E 48
+2C 47 01 2E 0E 93 E3 37 38 43 E2 3F 76 48 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44 48 4B
+0A 44 22 00 1A 49 E8 48 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D C2 48
+82 2E 22 00 0D 12 84 12 D2 48 0A 44 4A 47 48 4B
+4E 48 F8 45 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AC 4A 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9C 4A 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 4A 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 4A 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,218 +121,217 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D E4 47 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D 56 4B 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D 46 4B 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 48 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 44 22 4C 2A 49 DE 4B 94 26
-3D 40 E6 4B D6 3E E8 4B 0A 4E 3E 4F 3D 40 02 4C
-2E 27 3D 40 D8 4B 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 04 4C 3E 4F 3D 40 D8 4B BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 4D CC 3F
-0C 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8C 47 BC 44
-D2 4B C2 47 F4 47 14 44 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44 FC 47
+54 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 48 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 44 02 4C 1A 49 BE 4B 9C 26
+3D 40 C6 4B DE 3E C8 4B 0A 4E 3E 4F 3D 40 E2 4B
+36 27 3D 40 B8 4B 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 E4 4B 3E 4F 3D 40 B8 4B BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 4D CC 3F
+EC 4B 86 12 20 00 D4 47 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7C 47 BC 44
+B2 4B B2 47 E4 47 14 44 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44 EC 47
 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 45
-3A 44 3C 4C 6A 4B 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 48 0A 44 2A 45 58 4B 5E 48 8C 49 01 27
-0D 12 84 12 22 4C 2A 49 92 49 34 44 20 4C 5E 48
-00 00 83 5B 27 5D 0D 12 84 12 90 4C 0A 44 0A 44
-58 4B 58 4B 5E 48 A2 4C 81 5B 82 43 BE 1D 30 4D
-0A 48 01 5D B2 43 BE 1D 30 4D C2 4C 81 5C 92 42
+3A 44 2C 4C 08 4C 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 48 0A 44 2A 45 48 4B 4E 48 7C 49 01 27
+0D 12 84 12 02 4C 1A 49 82 49 34 44 00 4C 4E 48
+00 00 83 5B 27 5D 0D 12 84 12 80 4C 0A 44 0A 44
+48 4B 48 4B 4E 48 92 4C 81 5B 82 43 BE 1D 30 4D
+FA 47 01 5D B2 43 BE 1D 30 4D B2 4C 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 4C 2A 49 92 49 A6 47 34 44
-20 4C F4 47 34 44 04 4D 0A 44 0A 44 58 4B 58 4B
-0A 44 58 4B 58 4B 5E 48 B8 4C 01 3A 30 12 54 4D
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 22 4C 2A 49
-22 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 4C 1A 49 82 49 96 47 34 44
+00 4C E4 47 34 44 F4 4C 0A 44 0A 44 48 4B 48 4B
+0A 44 48 4B 48 4B 4E 48 A8 4C 01 3A 30 12 44 4D
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 02 4C 1A 49
+12 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 45 0A 4D 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A 44 5E 48 58 4B 66 4D BA 4C 5E 48
-C8 4B 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D B2 4B 06 43 52 45 41 54
-45 00 B0 12 10 4D BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 4D 04 43 4F 44 45 00 B0 12 10 4D A2 82
-C6 1D 0D 12 84 12 0A 50 E4 4F 5E 48 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 4D 24 50 5E 48
-76 4C 03 41 53 4D B2 40 E8 4F DA 1D E6 3F FE 4D
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 4E 42 50
-5E 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 24 50 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F B2 4D 85 48 49 32 4C 4F
-0D 12 84 12 28 44 B2 4F 58 4B BA 4C F2 4D 5E 48
-98 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 4E
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44 00 00
-D6 47 22 4C 2A 49 B8 4B 9E 47 34 44 1A 4F AC 47
-14 44 06 5B 54 48 45 4E 5D 00 8C 4E F4 4E B0 4E
-D2 4E 5E 48 AC 47 14 44 06 5B 45 4C 53 45 5D 00
-8C 4E 0A 4F B0 4E D0 4E 5E 48 14 44 04 5B 49 46
-5D 00 8C 4E D2 4E 3A 44 D0 4E 80 47 14 44 05 0D
-0A 6B 6F 20 5A 47 BC 44 AC 44 3A 44 D2 4E C0 4E
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 4F 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 4C 2A 49 92 49 3E 4F 5E 48 44 4F 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 4F
-EE 47 5E 48 76 4F B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 4B 06 4D 41 52 4B 45 52 00 B0 12
-10 4D BA 40 85 12 FC FF BA 40 74 4F FE FF 28 83
-8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00 C6 1D
-DD 3E 2E 53 30 4D 0A 44 CA 1D E6 47 5E 48 85 12
-B6 4F 12 4E E4 4D 0E 47 8E 4C 6A 4E D0 46 86 4F
-10 49 AE 50 C2 50 9A 48 24 49 00 00 5E 4F CC 4C
-F2 49 00 00 85 12 B6 4F 9C 56 02 57 44 56 52 57
-0A 56 00 00 D6 53 00 00 1A 58 FE 57 6E 56 AC 56
-E6 54 00 00 00 00 6E 57 E2 4F 3A 40 0C 00 39 40
-D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 1D
-30 4D 92 42 CA 1D DA 1D 30 4D BE 4F 3C 50 42 50
-52 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E C6 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 4C 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 50 26 58 DE 48 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 50 F3 3F 88 50 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 96 50 30 4D
-9A 50 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 51 FE FF 29 83 B9 40 E0 45 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 45 B2 49 FA 44 B2 49
-02 44 B2 49 FE 45 B2 49 EC FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08 29 83
-89 43 00 1C FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 43 26 02 B2 43 22 02 B2 43 46 02 B2 43 42 02
-B2 43 66 02 B2 43 62 02 B2 43 86 02 B2 40 7F FF
-82 02 F2 43 26 03 F2 43 22 03 F2 40 A5 00 41 01
-F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01
-B2 40 48 00 62 01 82 43 66 01 B2 40 33 00 64 01
-D2 43 61 01 39 40 40 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A2 04 1E 42 08 18 82 43 08 18 1E D2 9E 01
-B0 12 F8 44 FC 45 38 40 C0 1D 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
-C4 1D 84 12 2A 49 92 49 EE 47 34 44 16 52 4E 4A
-34 44 30 52 2A 52 18 52 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-32 52 B2 41 C4 1D 3E 41 84 12 0A 44 2B 00 2A 49
-92 49 EE 47 34 44 4E 52 4E 4A 34 44 20 4C B8 47
-2A 49 4E 4A 34 44 20 4C 5A 52 3E 5F E7 3F 3E 40
-28 00 B0 12 FA 51 19 42 C6 1D A2 53 C6 1D 89 4E
-00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40
-7E 4D 1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2A 49
-4E 4A 34 44 A2 52 98 52 21 53 3E 90 10 00 C6 2B
-7F 2D A4 52 B2 41 C4 1D C1 3F 0D 12 84 12 22 4C
-D6 51 B4 52 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12 FA 51
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 1D B0 12 FA 51 ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 1D B0 12 82 52 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 82 52 92 92 C0 1D C4 1D
-02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 82 52
-FA 23 3C 50 10 00 B0 12 5E 52 EF 3F 0C 43 1B 42
-C6 1D A2 53 C6 1D 0D 12 84 12 22 4C D6 51 80 53
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 82 52 E0 23 3C 50 80 00 B0 12 5E 52
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 44
-00 13 58 4B 5E 48 0A 44 2C 00 AA 52 76 53 C0 53
-09 4B 2E 4E 0E DC A2 3F 58 4E 03 4D 4F 56 85 12
-B6 53 00 40 CA 53 05 4D 4F 56 2E 42 85 12 B6 53
-40 40 00 00 03 41 44 44 85 12 B6 53 00 50 E4 53
-05 41 44 44 2E 42 85 12 B6 53 40 50 F0 53 04 41
-44 44 43 00 85 12 B6 53 00 60 FE 53 06 41 44 44
-43 2E 42 00 85 12 B6 53 40 60 A4 53 04 53 55 42
-43 00 85 12 B6 53 00 70 1C 54 06 53 55 42 43 2E
-42 00 85 12 B6 53 40 70 2A 54 03 53 55 42 85 12
-B6 53 00 80 3A 54 05 53 55 42 2E 42 85 12 B6 53
-40 80 34 4E 03 43 4D 50 85 12 B6 53 00 90 54 54
-05 43 4D 50 2E 42 85 12 B6 53 40 90 20 4E 04 44
-41 44 44 00 85 12 B6 53 00 A0 6E 54 06 44 41 44
-44 2E 42 00 85 12 B6 53 40 A0 60 54 03 42 49 54
-85 12 B6 53 00 B0 8C 54 05 42 49 54 2E 42 85 12
-B6 53 40 B0 98 54 03 42 49 43 85 12 B6 53 00 C0
-A6 54 05 42 49 43 2E 42 85 12 B6 53 40 C0 B2 54
-03 42 49 53 85 12 B6 53 00 D0 C0 54 05 42 49 53
-2E 42 85 12 B6 53 40 D0 00 00 03 58 4F 52 85 12
-B6 53 00 E0 DA 54 05 58 4F 52 2E 42 85 12 B6 53
-40 E0 0C 54 03 41 4E 44 85 12 B6 53 00 F0 F4 54
-05 41 4E 44 2E 42 85 12 B6 53 40 F0 22 4C AA 52
-12 55 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 46 54 03 52 52 43 85 12 0C 55 00 10 24 55
-05 52 52 43 2E 42 85 12 0C 55 40 10 30 55 04 53
-57 50 42 00 85 12 0C 55 80 10 3E 55 03 52 52 41
-85 12 0C 55 00 11 4C 55 05 52 52 41 2E 42 85 12
-0C 55 40 11 58 55 03 53 58 54 85 12 0C 55 80 11
-00 00 04 50 55 53 48 00 85 12 0C 55 00 12 72 55
-06 50 55 53 48 2E 42 00 85 12 0C 55 40 12 CC 54
-04 43 41 4C 4C 00 85 12 0C 55 80 12 1A 53 0E 4A
-0D 12 84 12 D4 48 14 44 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 45 66 55 03 53 3E 3D 86 12
-00 38 BA 55 02 53 3C 00 86 12 00 34 80 55 03 30
-3E 3D 86 12 00 30 CE 55 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C E2 55 03 55 3E 3D
-86 12 00 28 D8 55 03 30 3C 3E 86 12 00 24 F6 55
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D EC 55
-04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 7C 54 04 45 4C 53 45 00 1A 42 C6 1D BA 40
-00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
-90 55 05 42 45 47 49 4E 30 40 28 44 20 56 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 1D 30 4D 00 55 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 0E 56 B8 47 5E 48 C4 55 06 52
-45 50 45 41 54 00 0D 12 84 12 A2 56 26 56 5E 48
-D2 56 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
-98 42 C6 1D 00 00 30 4D 62 56 03 42 57 31 85 12
-D0 56 00 00 EA 56 03 42 57 32 85 12 D0 56 00 00
-F6 56 03 42 57 33 85 12 D0 56 00 00 0E 57 3D 41
-1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
-A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 0C 57 00 00 2E 57 03 46 57 32 85 12
-0C 57 00 00 3A 57 03 46 57 33 85 12 0C 57 00 00
-46 57 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 90 4C FC 4B 5E 48 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 22 4C D6 51 90 57
-92 53 C4 1D 3E 40 2C 00 84 12 2A 49 4E 4A 34 44
-20 4C 6C 53 A6 57 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E BE 56 04 52 52 43 4D 00 85 12 8A 57 50 00
-D4 57 04 52 52 41 4D 00 85 12 8A 57 50 01 E2 57
-04 52 4C 41 4D 00 85 12 8A 57 50 02 F0 57 04 52
-52 55 4D 00 85 12 8A 57 50 03 00 56 05 50 55 53
-48 4D 85 12 8A 57 00 15 0C 58 04 50 4F 50 4D 00
-85 12 8A 57 00 17
+74 63 68 21 36 45 FA 4C 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A 44 4E 48 48 4B 56 4D AA 4C 4E 48
+A8 4B 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D 92 4B 06 43 52 45 41 54
+45 00 B0 12 00 4D BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 4D 04 43 4F 44 45 00 B0 12 00 4D A2 82
+C6 1D 0D 12 84 12 F2 4F CC 4F 4E 48 A2 4D 07 48
+44 4E 43 4F 44 45 B2 40 D0 4F DA 1D EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 4D 0C 50
+2A 50 4E 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 0C 50 2A 50 4E 48 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+EE 4D 85 48 49 32 4C 4F 0D 12 84 12 28 44 9A 4F
+48 4B AA 4C E2 4D 4E 48 88 4D 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 4E 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 44 00 00 C6 47 02 4C 1A 49 98 4B
+8E 47 34 44 02 4F 9C 47 14 44 06 5B 54 48 45 4E
+5D 00 74 4E DC 4E 98 4E BA 4E 4E 48 9C 47 14 44
+06 5B 45 4C 53 45 5D 00 74 4E F2 4E 98 4E B8 4E
+4E 48 14 44 04 5B 49 46 5D 00 74 4E BA 4E 3A 44
+B8 4E 70 47 14 44 05 0D 0A 6B 6F 20 4A 47 BC 44
+AC 44 3A 44 BA 4E A8 4E 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 4F 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 4C 1A 49 82 49
+26 4F 4E 48 2C 4F 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 4F DE 47 4E 48 5E 4F B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 4B 06 4D
+41 52 4B 45 52 00 B0 12 00 4D BA 40 85 12 FC FF
+BA 40 5C 4F FE FF 28 83 8A 48 00 00 BA 40 AA 44
+04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D 0A 44
+CA 1D D6 47 4E 48 85 12 9E 4F 66 4C D4 4D 10 47
+7E 4C 52 4E D2 46 6E 4F 00 49 96 50 AA 50 8A 48
+14 49 00 00 46 4F BC 4C E2 49 00 00 85 12 9E 4F
+84 56 EA 56 2C 56 3A 57 F2 55 00 00 BE 53 00 00
+02 58 E6 57 56 56 94 56 CE 54 00 00 00 00 56 57
+CA 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D DA 1D
+30 4D A6 4F 24 50 2A 50 3A 50 1A 42 20 18 82 4A
+C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 4C
+09 50 57 52 5F 53 54 41 54 45 85 12 32 50 0E 58
+CE 48 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 50 F3 3F 70 50 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 7E 50 30 4D 82 50 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 51 FE FF 29 83 B9 40
+E2 45 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 45 B2 49 FA 44 B2 49 02 44 B2 49 00 46 B2 49
+EC FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 08 29 83 89 43 00 1C FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 43 26 02 B2 43 22 02
+B2 43 46 02 B2 43 42 02 B2 43 66 02 B2 43 62 02
+B2 43 86 02 B2 40 7F FF 82 02 F2 43 26 03 F2 43
+22 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
+41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
+66 01 B2 40 33 00 64 01 D2 43 61 01 39 40 40 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 B2 42 B0 01
+F2 D0 10 00 2A 03 F2 C0 40 00 A2 04 1E 42 08 18
+82 43 08 18 1E D2 9E 01 B0 12 F8 44 FE 45 38 40
+C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
+30 4D 1C 15 0E 12 12 12 C4 1D 84 12 1A 49 82 49
+DE 47 34 44 FE 51 3E 4A 34 44 18 52 12 52 00 52
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 1A 52 B2 41 C4 1D 3E 41
+84 12 0A 44 2B 00 1A 49 82 49 DE 47 34 44 36 52
+3E 4A 34 44 00 4C A8 47 1A 49 3E 4A 34 44 00 4C
+42 52 3E 5F E7 3F 3E 40 28 00 B0 12 E2 51 19 42
+C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92
+C0 1D C4 1D 02 20 30 40 6E 4D 1C 15 12 12 C4 1D
+92 53 C4 1D 84 12 1A 49 3E 4A 34 44 8A 52 80 52
+21 53 3E 90 10 00 C6 2B 7F 2D 8C 52 B2 41 C4 1D
+C1 3F 0D 12 84 12 02 4C BE 51 9C 52 0C 43 1B 42
+C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 1D B0 12 E2 51 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12 E2 51
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
+B0 12 6A 52 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+6A 52 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
+0C 5E DA 3F B0 12 6A 52 FA 23 3C 50 10 00 B0 12
+46 52 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
+84 12 02 4C BE 51 68 53 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 6A 52 E0 23
+3C 50 80 00 B0 12 46 52 DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 44 00 13 48 4B 4E 48 0A 44
+2C 00 92 52 5E 53 A8 53 09 4B 2E 4E 0E DC A2 3F
+40 4E 03 4D 4F 56 85 12 9E 53 00 40 B2 53 05 4D
+4F 56 2E 42 85 12 9E 53 40 40 00 00 03 41 44 44
+85 12 9E 53 00 50 CC 53 05 41 44 44 2E 42 85 12
+9E 53 40 50 D8 53 04 41 44 44 43 00 85 12 9E 53
+00 60 E6 53 06 41 44 44 43 2E 42 00 85 12 9E 53
+40 60 8C 53 04 53 55 42 43 00 85 12 9E 53 00 70
+04 54 06 53 55 42 43 2E 42 00 85 12 9E 53 40 70
+12 54 03 53 55 42 85 12 9E 53 00 80 22 54 05 53
+55 42 2E 42 85 12 9E 53 40 80 16 4E 03 43 4D 50
+85 12 9E 53 00 90 3C 54 05 43 4D 50 2E 42 85 12
+9E 53 40 90 00 4E 04 44 41 44 44 00 85 12 9E 53
+00 A0 56 54 06 44 41 44 44 2E 42 00 85 12 9E 53
+40 A0 48 54 03 42 49 54 85 12 9E 53 00 B0 74 54
+05 42 49 54 2E 42 85 12 9E 53 40 B0 80 54 03 42
+49 43 85 12 9E 53 00 C0 8E 54 05 42 49 43 2E 42
+85 12 9E 53 40 C0 9A 54 03 42 49 53 85 12 9E 53
+00 D0 A8 54 05 42 49 53 2E 42 85 12 9E 53 40 D0
+00 00 03 58 4F 52 85 12 9E 53 00 E0 C2 54 05 58
+4F 52 2E 42 85 12 9E 53 40 E0 F4 53 03 41 4E 44
+85 12 9E 53 00 F0 DC 54 05 41 4E 44 2E 42 85 12
+9E 53 40 F0 02 4C 92 52 FA 54 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 2E 54 03 52 52 43
+85 12 F4 54 00 10 0C 55 05 52 52 43 2E 42 85 12
+F4 54 40 10 18 55 04 53 57 50 42 00 85 12 F4 54
+80 10 26 55 03 52 52 41 85 12 F4 54 00 11 34 55
+05 52 52 41 2E 42 85 12 F4 54 40 11 40 55 03 53
+58 54 85 12 F4 54 80 11 00 00 04 50 55 53 48 00
+85 12 F4 54 00 12 5A 55 06 50 55 53 48 2E 42 00
+85 12 F4 54 40 12 B4 54 04 43 41 4C 4C 00 85 12
+F4 54 80 12 1A 53 0E 4A 0D 12 84 12 C4 48 14 44
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 45
+4E 55 03 53 3E 3D 86 12 00 38 A2 55 02 53 3C 00
+86 12 00 34 68 55 03 30 3E 3D 86 12 00 30 B6 55
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C CA 55 03 55 3E 3D 86 12 00 28 C0 55 03 30
+3C 3E 86 12 00 24 DE 55 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
+C6 1D 0E 4A 30 4D D4 55 04 54 48 45 4E 00 1A 42
+C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 64 54 04 45 4C 53
+45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
+2F 83 8F 4A 00 00 E3 3F 78 55 05 42 45 47 49 4E
+30 40 28 44 08 56 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
+30 4D E8 54 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 F6 55
+A8 47 4E 48 AC 55 06 52 45 50 45 41 54 00 0D 12
+84 12 8A 56 0E 56 4E 48 BA 56 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
+4A 56 03 42 57 31 85 12 B8 56 00 00 D2 56 03 42
+57 32 85 12 B8 56 00 00 DE 56 03 42 57 33 85 12
+B8 56 00 00 F6 56 3D 41 1A 42 C6 1D 28 4E B2 92
+C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 F4 56 00 00
+16 57 03 46 57 32 85 12 F4 56 00 00 22 57 03 46
+57 33 85 12 F4 56 00 00 2E 57 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 80 4C
+DC 4B 4E 48 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 02 4C BE 51 78 57 92 53 C4 1D 3E 40 2C 00
+84 12 1A 49 3E 4A 34 44 00 4C 54 53 8E 57 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E A6 56 04 52 52 43
+4D 00 85 12 72 57 50 00 BC 57 04 52 52 41 4D 00
+85 12 72 57 50 01 CA 57 04 52 4C 41 4D 00 85 12
+72 57 50 02 D8 57 04 52 52 55 4D 00 85 12 72 57
+50 03 E8 55 05 50 55 53 48 4D 85 12 72 57 00 15
+F4 57 04 50 4F 50 4D 00 85 12 72 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 80 46 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 20 51
+77 00 10 00 12 00 14 00 16 00 00 00 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 82 46 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 08 51
 q
index 9d7e891..39ce9ca 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-80 3E 08 00 A1 F7 18 00 F9 FF 2C 58 0A 50 33 01
-10 00 81 B6 94 45 AA 44 C6 45 9C 45 94 46 2C 58
-0A 50 7A 46 92 47 24 47 FE 46 3C 1D 60 48 D4 44
-E2 44 EE 44 20 00 0A 00 28 4C 42 4C 00 00 00 00
+80 3E 08 00 A1 F7 18 00 F9 FF 24 58 02 50 34 01
+10 00 41 B3 94 45 AA 44 DA 45 9C 45 94 46 24 58
+02 50 7A 46 92 47 24 47 FE 46 3C 1D 60 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 C6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
 B2 49 78 46 B2 49 90 47 B2 49 22 47 B2 49 FC 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 45 92 C3 FC 05 18 42
+B2 49 DC 1D 3D 41 30 40 CE 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 45 92 C3 FC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 FC 05 F3 23 B0 12 F8 44 0A 44 DE 1D E0 47 32 47
 14 44 04 1B 5B 37 6D 00 5C 47 A8 47 34 44 86 45
@@ -52,7 +52,7 @@ C2 48 EE 05 30 4D C8 46 2D 83 92 B3 FC 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 FC 05
 FD 27 58 42 EC 05 82 93 DE 1D 02 24 92 53 DE 1D
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 46 2F 83
-8F 4E 00 00 B0 12 C6 45 92 B3 FC 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 45 92 B3 FC 05 FD 27 1E 42
 EC 05 B0 12 C8 45 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 47 08 4E 3E 4F C8 3F 1A 47 04 45 43 48
 4F 00 B2 40 C2 48 C0 46 82 43 DE 1D 30 4D 00 00
@@ -80,7 +80,7 @@ B2 1D C8 4A 00 00 30 4D 1A 48 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 47 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 48 4E 48 EE 44 8E 48 6A 48 5C 47 24 4C 20 47
+10 48 4E 48 EE 44 8E 48 6A 48 5C 47 14 4C 20 47
 60 48 40 47 01 2E 0E 93 E3 37 38 43 E2 3F 88 48
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44
 5A 4B 0A 44 22 00 2C 49 FA 48 B2 40 20 00 B4 1D
@@ -122,34 +122,34 @@ B0 12 66 44 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 47 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 48 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 44 24 4C 2C 49 E0 4B
-94 26 3D 40 E8 4B D6 3E EA 4B 0A 4E 3E 4F 3D 40
-04 4C 2E 27 3D 40 DA 4B 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 4C 3E 4F 3D 40 DA 4B BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 44 14 4C 2C 49 D0 4B
+9C 26 3D 40 D8 4B DE 3E DA 4B 0A 4E 3E 4F 3D 40
+F4 4B 36 27 3D 40 CA 4B 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 F6 4B 3E 4F 3D 40 CA 4B BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 4D
-CC 3F 0E 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F FE 4B 86 12 20 00 E6 47 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E 47
-BC 44 D4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
+BC 44 C4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44
 FE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 45 3A 44 3E 4C 6C 4B 86 41 42 4F 52 54 22 00
+2A 45 3A 44 3E 4C 1A 4C 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 48 0A 44 2A 45 5A 4B 60 48 8E 49
-01 27 0D 12 84 12 24 4C 2C 49 94 49 34 44 22 4C
+01 27 0D 12 84 12 14 4C 2C 49 94 49 34 44 12 4C
 60 48 00 00 83 5B 27 5D 0D 12 84 12 92 4C 0A 44
 0A 44 5A 4B 5A 4B 60 48 A4 4C 81 5B 82 43 BE 1D
 30 4D 0C 48 01 5D B2 43 BE 1D 30 4D C4 4C 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 4C 2C 49 94 49 A8 47
-34 44 22 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
+4F 4E 45 00 0D 12 84 12 14 4C 2C 49 94 49 A8 47
+34 44 12 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
 5A 4B 0A 44 5A 4B 5A 4B 60 48 BA 4C 01 3A 30 12
-56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 4C
+56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 14 4C
 2C 49 24 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -159,174 +159,174 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 45 0C 4D 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A 44 60 48 5A 4B 68 4D BC 4C
-60 48 CA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 4B 06 43 52 45
+60 48 BA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D A4 4B 06 43 52 45
 41 54 45 00 B0 12 12 4D BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 4D 04 43 4F 44 45 00 B0 12 12 4D
-A2 82 C6 1D 0D 12 84 12 0C 50 E6 4F 60 48 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D 26 50
-60 48 78 4C 03 41 53 4D B2 40 EA 4F DA 1D E6 3F
-00 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 4E
-44 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 50 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 4D 85 48 49 32
-4C 4F 0D 12 84 12 28 44 B4 4F 5A 4B BC 4C F4 4D
-60 48 9A 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44
-00 00 D8 47 24 4C 2C 49 BA 4B A0 47 34 44 1C 4F
-AE 47 14 44 06 5B 54 48 45 4E 5D 00 8E 4E F6 4E
-B2 4E D4 4E 60 48 AE 47 14 44 06 5B 45 4C 53 45
-5D 00 8E 4E 0C 4F B2 4E D2 4E 60 48 14 44 04 5B
-49 46 5D 00 8E 4E D4 4E 3A 44 D2 4E 82 47 14 44
-05 0D 0A 6B 6F 20 5C 47 BC 44 AC 44 3A 44 D4 4E
-C2 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 4F 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 4C 2C 49 94 49 40 4F 60 48 46 4F
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 4F F0 47 60 48 78 4F B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 4B 06 4D 41 52 4B 45 52 00
-B0 12 12 4D BA 40 85 12 FC FF BA 40 76 4F FE FF
-28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D E8 47 60 48
-85 12 B8 4F 14 4E E6 4D 2C 47 90 4C 6C 4E F6 46
-88 4F 12 49 B0 50 C4 50 9C 48 26 49 00 00 60 4F
-CE 4C F4 49 00 00 85 12 B8 4F A2 56 08 57 4A 56
-58 57 10 56 00 00 DC 53 00 00 20 58 04 58 74 56
-B2 56 EC 54 00 00 00 00 74 57 E4 4F 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 4F 3E 50
-44 50 54 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 4C 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 50 2C 58 E0 48 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 50 F3 3F 8A 50
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 50
-30 4D 9C 50 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 51 FE FF 29 83 B9 40 02 46 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 46 B2 49 FA 44
-B2 49 02 44 B2 49 20 46 B2 49 E4 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08
-29 83 89 43 00 1C FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 B2 43 26 02 B2 43 22 02 D2 D3 24 02 B2 43
-46 02 B2 43 42 02 B2 43 66 02 B2 43 62 02 B2 43
-86 02 B2 40 7F FF 82 02 F2 43 26 03 F2 43 22 03
-F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01
-F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43 66 01
-B2 40 33 00 64 01 D2 43 61 01 39 40 40 00 18 42
-00 18 18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0
-10 00 2A 03 F2 C0 40 00 A2 04 1E 42 08 18 82 43
-08 18 1E D2 9E 01 B0 12 F8 44 1E 46 38 40 C0 1D
-0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24
-7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D
-1C 15 0E 12 12 12 C4 1D 84 12 2C 49 94 49 F0 47
-34 44 1C 52 50 4A 34 44 36 52 30 52 1E 52 3C 4E
-3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
-21 52 1B 17 30 41 38 52 B2 41 C4 1D 3E 41 84 12
-0A 44 2B 00 2C 49 94 49 F0 47 34 44 54 52 50 4A
-34 44 22 4C BA 47 2C 49 50 4A 34 44 22 4C 60 52
-3E 5F E7 3F 3E 40 28 00 B0 12 00 52 19 42 C6 1D
-A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D
-C4 1D 02 20 30 40 80 4D 1C 15 12 12 C4 1D 92 53
-C4 1D 84 12 2C 49 50 4A 34 44 A8 52 9E 52 21 53
-3E 90 10 00 C6 2B 7F 2D AA 52 B2 41 C4 1D C1 3F
-0D 12 84 12 24 4C DC 51 BA 52 0C 43 1B 42 C6 1D
-A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
-C4 1D B0 12 00 52 3C 40 00 03 0E 93 1C 24 3C 40
-10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
-20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
-30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53
-C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
-07 20 3C 40 10 02 92 53 C4 1D B0 12 00 52 ED 3F
-7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12
-88 52 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 88 52
-92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E
-DA 3F B0 12 88 52 FA 23 3C 50 10 00 B0 12 64 52
-EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12
-24 4C DC 51 86 53 FE 90 26 00 00 00 3E 40 20 00
-03 20 3C 50 82 00 C7 3F B0 12 88 52 E0 23 3C 50
-80 00 B0 12 64 52 DB 3F 00 00 04 52 45 54 49 00
-0D 12 84 12 0A 44 00 13 5A 4B 60 48 0A 44 2C 00
-B0 52 7C 53 C6 53 09 4B 2E 4E 0E DC A2 3F 5A 4E
-03 4D 4F 56 85 12 BC 53 00 40 D0 53 05 4D 4F 56
-2E 42 85 12 BC 53 40 40 00 00 03 41 44 44 85 12
-BC 53 00 50 EA 53 05 41 44 44 2E 42 85 12 BC 53
-40 50 F6 53 04 41 44 44 43 00 85 12 BC 53 00 60
-04 54 06 41 44 44 43 2E 42 00 85 12 BC 53 40 60
-AA 53 04 53 55 42 43 00 85 12 BC 53 00 70 22 54
-06 53 55 42 43 2E 42 00 85 12 BC 53 40 70 30 54
-03 53 55 42 85 12 BC 53 00 80 40 54 05 53 55 42
-2E 42 85 12 BC 53 40 80 36 4E 03 43 4D 50 85 12
-BC 53 00 90 5A 54 05 43 4D 50 2E 42 85 12 BC 53
-40 90 22 4E 04 44 41 44 44 00 85 12 BC 53 00 A0
-74 54 06 44 41 44 44 2E 42 00 85 12 BC 53 40 A0
-66 54 03 42 49 54 85 12 BC 53 00 B0 92 54 05 42
-49 54 2E 42 85 12 BC 53 40 B0 9E 54 03 42 49 43
-85 12 BC 53 00 C0 AC 54 05 42 49 43 2E 42 85 12
-BC 53 40 C0 B8 54 03 42 49 53 85 12 BC 53 00 D0
-C6 54 05 42 49 53 2E 42 85 12 BC 53 40 D0 00 00
-03 58 4F 52 85 12 BC 53 00 E0 E0 54 05 58 4F 52
-2E 42 85 12 BC 53 40 E0 12 54 03 41 4E 44 85 12
-BC 53 00 F0 FA 54 05 41 4E 44 2E 42 85 12 BC 53
-40 F0 24 4C B0 52 18 55 0A 4C 3C F0 70 00 8A 10
-3A F0 0F 00 0C DA 4F 3F 4C 54 03 52 52 43 85 12
-12 55 00 10 2A 55 05 52 52 43 2E 42 85 12 12 55
-40 10 36 55 04 53 57 50 42 00 85 12 12 55 80 10
-44 55 03 52 52 41 85 12 12 55 00 11 52 55 05 52
-52 41 2E 42 85 12 12 55 40 11 5E 55 03 53 58 54
-85 12 12 55 80 11 00 00 04 50 55 53 48 00 85 12
-12 55 00 12 78 55 06 50 55 53 48 2E 42 00 85 12
-12 55 40 12 D2 54 04 43 41 4C 4C 00 85 12 12 55
-80 12 1A 53 0E 4A 0D 12 84 12 D6 48 14 44 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 36 45 6C 55
-03 53 3E 3D 86 12 00 38 C0 55 02 53 3C 00 86 12
-00 34 86 55 03 30 3E 3D 86 12 00 30 D4 55 02 30
-3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
-E8 55 03 55 3E 3D 86 12 00 28 DE 55 03 30 3C 3E
-86 12 00 24 FC 55 02 30 3D 00 86 12 00 20 00 00
-02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D
-0E 4A 30 4D F2 55 04 54 48 45 4E 00 1A 42 C6 1D
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-B1 2F 88 DA 00 00 30 4D 82 54 04 45 4C 53 45 00
-1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83
-8F 4A 00 00 E3 3F 96 55 05 42 45 47 49 4E 30 40
-28 44 26 56 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D
-06 55 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
-00 00 05 57 48 49 4C 45 0D 12 84 12 14 56 BA 47
-60 48 CA 55 06 52 45 50 45 41 54 00 0D 12 84 12
-A8 56 2C 56 60 48 D8 56 3D 41 08 4E 3E 4F 2A 48
-B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 68 56
-03 42 57 31 85 12 D6 56 00 00 F0 56 03 42 57 32
-85 12 D6 56 00 00 FC 56 03 42 57 33 85 12 D6 56
-00 00 14 57 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D
-88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F
-30 4D 00 00 03 46 57 31 85 12 12 57 00 00 34 57
-03 46 57 32 85 12 12 57 00 00 40 57 03 46 57 33
-85 12 12 57 00 00 4C 57 04 47 4F 54 4F 00 2F 83
-8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 4C FE 4B
-60 48 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
-3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
-24 4C DC 51 96 57 92 53 C4 1D 3E 40 2C 00 84 12
-2C 49 50 4A 34 44 22 4C 72 53 AC 57 0A 4E 3E 4F
-1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
-0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
-E8 2E 8A 10 5A 06 A6 3E C4 56 04 52 52 43 4D 00
-85 12 90 57 50 00 DA 57 04 52 52 41 4D 00 85 12
-90 57 50 01 E8 57 04 52 4C 41 4D 00 85 12 90 57
-50 02 F6 57 04 52 52 55 4D 00 85 12 90 57 50 03
-06 56 05 50 55 53 48 4D 85 12 90 57 00 15 12 58
-04 50 4F 50 4D 00 85 12 90 57 00 17
+A2 82 C6 1D 0D 12 84 12 04 50 DE 4F 60 48 B4 4D
+07 48 44 4E 43 4F 44 45 B2 40 E2 4F DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D
+1E 50 3C 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 1E 50 3C 50 60 48
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F 00 4E 85 48 49 32 4C 4F 0D 12 84 12 28 44
+AC 4F 5A 4B BC 4C F4 4D 60 48 9A 4D 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 4E 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 44 00 00 D8 47 14 4C 2C 49
+AA 4B A0 47 34 44 14 4F AE 47 14 44 06 5B 54 48
+45 4E 5D 00 86 4E EE 4E AA 4E CC 4E 60 48 AE 47
+14 44 06 5B 45 4C 53 45 5D 00 86 4E 04 4F AA 4E
+CA 4E 60 48 14 44 04 5B 49 46 5D 00 86 4E CC 4E
+3A 44 CA 4E 82 47 14 44 05 0D 0A 6B 6F 20 5C 47
+BC 44 AC 44 3A 44 CC 4E BA 4E 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 4F 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 4C 2C 49
+94 49 38 4F 60 48 3E 4F 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 4F F0 47 60 48 70 4F
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 4B
+06 4D 41 52 4B 45 52 00 B0 12 12 4D BA 40 85 12
+FC FF BA 40 6E 4F FE FF 28 83 8A 48 00 00 BA 40
+AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A 44 CA 1D E8 47 60 48 85 12 B0 4F 78 4C E6 4D
+2C 47 90 4C 64 4E F6 46 80 4F 12 49 A8 50 BC 50
+9C 48 26 49 00 00 58 4F CE 4C F4 49 00 00 85 12
+B0 4F 9A 56 00 57 42 56 50 57 08 56 00 00 D4 53
+00 00 18 58 FC 57 6C 56 AA 56 E4 54 00 00 00 00
+6C 57 DC 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D B8 4F 36 50 3C 50 4C 50 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 4C 09 50 57 52 5F 53 54 41 54 45 85 12 44 50
+24 58 E0 48 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 50 F3 3F 82 50 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 90 50 30 4D 94 50 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 51 FE FF 29 83
+B9 40 02 46 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 46 B2 49 FA 44 B2 49 02 44 B2 49 20 46
+B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 B2 43 26 02 B2 43
+22 02 D2 D3 24 02 B2 43 46 02 B2 43 42 02 B2 43
+66 02 B2 43 62 02 B2 43 86 02 B2 40 7F FF 82 02
+F2 43 26 03 F2 43 22 03 F2 40 A5 00 41 01 F2 40
+10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40
+48 00 62 01 82 43 66 01 B2 40 33 00 64 01 D2 43
+61 01 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
+FA 23 B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00
+A2 04 1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12
+F8 44 1E 46 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E
+1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
+2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D
+84 12 2C 49 94 49 F0 47 34 44 14 52 50 4A 34 44
+2E 52 28 52 16 52 3C 4E 3C 80 87 12 05 24 1C 53
+02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 30 52
+B2 41 C4 1D 3E 41 84 12 0A 44 2B 00 2C 49 94 49
+F0 47 34 44 4C 52 50 4A 34 44 12 4C BA 47 2C 49
+50 4A 34 44 12 4C 58 52 3E 5F E7 3F 3E 40 28 00
+B0 12 F8 51 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
+3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40 80 4D
+1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2C 49 50 4A
+34 44 A0 52 96 52 21 53 3E 90 10 00 C6 2B 7F 2D
+A2 52 B2 41 C4 1D C1 3F 0D 12 84 12 14 4C D4 51
+B2 52 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F
+7A 90 23 00 27 20 92 53 C4 1D B0 12 F8 51 3C 40
+00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
+20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
+30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
+30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F
+3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
+C4 1D B0 12 F8 51 ED 3F 7A 90 40 00 16 20 3C 40
+20 00 92 53 C4 1D B0 12 80 52 0C 20 3C 50 10 00
+3E 40 2B 00 B0 12 80 52 92 92 C0 1D C4 1D 02 24
+92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 80 52 FA 23
+3C 50 10 00 B0 12 5C 52 EF 3F 0C 43 1B 42 C6 1D
+A2 53 C6 1D 0D 12 84 12 14 4C D4 51 7E 53 FE 90
+26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
+B0 12 80 52 E0 23 3C 50 80 00 B0 12 5C 52 DB 3F
+00 00 04 52 45 54 49 00 0D 12 84 12 0A 44 00 13
+5A 4B 60 48 0A 44 2C 00 A8 52 74 53 BE 53 09 4B
+2E 4E 0E DC A2 3F 52 4E 03 4D 4F 56 85 12 B4 53
+00 40 C8 53 05 4D 4F 56 2E 42 85 12 B4 53 40 40
+00 00 03 41 44 44 85 12 B4 53 00 50 E2 53 05 41
+44 44 2E 42 85 12 B4 53 40 50 EE 53 04 41 44 44
+43 00 85 12 B4 53 00 60 FC 53 06 41 44 44 43 2E
+42 00 85 12 B4 53 40 60 A2 53 04 53 55 42 43 00
+85 12 B4 53 00 70 1A 54 06 53 55 42 43 2E 42 00
+85 12 B4 53 40 70 28 54 03 53 55 42 85 12 B4 53
+00 80 38 54 05 53 55 42 2E 42 85 12 B4 53 40 80
+28 4E 03 43 4D 50 85 12 B4 53 00 90 52 54 05 43
+4D 50 2E 42 85 12 B4 53 40 90 12 4E 04 44 41 44
+44 00 85 12 B4 53 00 A0 6C 54 06 44 41 44 44 2E
+42 00 85 12 B4 53 40 A0 5E 54 03 42 49 54 85 12
+B4 53 00 B0 8A 54 05 42 49 54 2E 42 85 12 B4 53
+40 B0 96 54 03 42 49 43 85 12 B4 53 00 C0 A4 54
+05 42 49 43 2E 42 85 12 B4 53 40 C0 B0 54 03 42
+49 53 85 12 B4 53 00 D0 BE 54 05 42 49 53 2E 42
+85 12 B4 53 40 D0 00 00 03 58 4F 52 85 12 B4 53
+00 E0 D8 54 05 58 4F 52 2E 42 85 12 B4 53 40 E0
+0A 54 03 41 4E 44 85 12 B4 53 00 F0 F2 54 05 41
+4E 44 2E 42 85 12 B4 53 40 F0 14 4C A8 52 10 55
+0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
+44 54 03 52 52 43 85 12 0A 55 00 10 22 55 05 52
+52 43 2E 42 85 12 0A 55 40 10 2E 55 04 53 57 50
+42 00 85 12 0A 55 80 10 3C 55 03 52 52 41 85 12
+0A 55 00 11 4A 55 05 52 52 41 2E 42 85 12 0A 55
+40 11 56 55 03 53 58 54 85 12 0A 55 80 11 00 00
+04 50 55 53 48 00 85 12 0A 55 00 12 70 55 06 50
+55 53 48 2E 42 00 85 12 0A 55 40 12 CA 54 04 43
+41 4C 4C 00 85 12 0A 55 80 12 1A 53 0E 4A 0D 12
+84 12 D6 48 14 44 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 36 45 64 55 03 53 3E 3D 86 12 00 38
+B8 55 02 53 3C 00 86 12 00 34 7E 55 03 30 3E 3D
+86 12 00 30 CC 55 02 30 3C 00 86 12 00 30 00 00
+02 55 3C 00 86 12 00 2C E0 55 03 55 3E 3D 86 12
+00 28 D6 55 03 30 3C 3E 86 12 00 24 F4 55 02 30
+3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D
+8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D EA 55 04 54
+48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
+7A 54 04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C
+00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 8E 55
+05 42 45 47 49 4E 30 40 28 44 1E 56 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89
+0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 C6 1D 30 4D FE 54 05 41 47 41 49 4E
+0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
+0D 12 84 12 0C 56 BA 47 60 48 C2 55 06 52 45 50
+45 41 54 00 0D 12 84 12 A0 56 24 56 60 48 D0 56
+3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42
+C6 1D 00 00 30 4D 60 56 03 42 57 31 85 12 CE 56
+00 00 E8 56 03 42 57 32 85 12 CE 56 00 00 F4 56
+03 42 57 33 85 12 CE 56 00 00 0C 57 3D 41 1A 42
+C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53
+C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
+85 12 0A 57 00 00 2C 57 03 46 57 32 85 12 0A 57
+00 00 38 57 03 46 57 33 85 12 0A 57 00 00 44 57
+04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
+0D 12 84 12 92 4C EE 4B 60 48 00 00 05 3F 47 4F
+54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
+EF 27 3E E0 00 08 EC 3F 14 4C D4 51 8E 57 92 53
+C4 1D 3E 40 2C 00 84 12 2C 49 50 4A 34 44 12 4C
+6A 53 A4 57 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
+0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
+EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
+BC 56 04 52 52 43 4D 00 85 12 88 57 50 00 D2 57
+04 52 52 41 4D 00 85 12 88 57 50 01 E0 57 04 52
+4C 41 4D 00 85 12 88 57 50 02 EE 57 04 52 52 55
+4D 00 85 12 88 57 50 03 FE 55 05 50 55 53 48 4D
+85 12 88 57 00 15 0A 58 04 50 4F 50 4D 00 85 12
+88 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -335,5 +335,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 94 46 02 46 02 46 02 46 02 46 02 46
-02 46 02 46 02 46 02 46 02 46 02 46 02 46 22 51
+02 46 02 46 02 46 02 46 02 46 02 46 02 46 1A 51
 q
index 1eded2a..3d509b8 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 12 00 00 00 F8 00 F9 FF 14 58 08 50 33 01
-10 00 81 86 B4 45 AA 44 B6 45 8A 45 80 46 14 58
-08 50 6E 46 90 47 FC 46 D8 46 3C 1D 5E 48 D4 44
-E2 44 EE 44 20 00 0A 00 26 4C 40 4C 00 00 00 00
+E8 03 12 00 00 00 F8 00 F9 FF FC 57 F0 4F 34 01
+10 00 41 87 B6 45 AA 44 B8 45 8C 45 82 46 FC 57
+F0 4F 70 46 80 47 FE 46 DA 46 3C 1D 4E 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 B6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
-B2 49 6C 46 B2 49 8E 47 B2 49 FA 46 B2 49 D6 46
+B2 49 6E 46 B2 49 7E 47 B2 49 FC 46 B2 49 D8 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D4 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 45 B0 12 F8 44
-0A 44 DE 1D DE 47 14 47 48 47 34 44 26 4C 14 44
-05 1B 5B 37 6D 40 5A 47 0A 44 02 18 DE 47 D4 48
-A6 47 34 44 7C 45 14 44 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 47 9E 48 5A 47 14 44
-04 1B 5B 30 6D 00 5A 47 26 4C 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 45 E2 B3 00 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 45 04 57 41 52 4D 00 B0 12 8A 45
-78 40 03 00 B0 12 B8 45 84 12 14 44 07 0D 0A 1B
-5B 37 6D 40 5A 47 0A 44 02 18 DE 47 D4 48 0A 44
-23 00 F8 46 D4 48 14 44 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 47 0A 44 40 FF 28 44 D2 47 9E 48 14 44
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 44 7C 45
-00 00 06 41 43 43 45 50 54 00 30 40 6E 46 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B9 22 3A 17
-92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 45
-82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 46 2F 83 8F 4E 00 00 58 43
-B0 12 B8 45 92 B3 6C 06 FD 27 1E 42 4C 06 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 46 08 4E 3E 4F
-A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F2 46 04 45
-43 48 4F 00 B2 40 C2 48 06 47 82 43 DE 1D 38 40
-05 00 B0 12 B8 45 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 47 92 43 DE 1D 28 42 F1 3F
-2A 47 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 47 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 46 78 47 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 45 02 43 52 00 30 40 90 47
-0D 12 84 12 14 44 02 0D 0A 00 5A 47 5E 48 2F 83
+B2 49 DC 1D 3D 41 30 40 BC 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 45 0E 12 B0 12
+F8 44 0A 44 DE 1D CE 47 16 47 EE 44 34 44 8A 45
+14 44 05 1B 5B 37 6D 40 4A 47 0A 44 02 18 CE 47
+C4 48 96 47 34 44 7E 45 14 44 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 47 8E 48 4A 47
+14 44 04 1B 5B 30 6D 00 4A 47 16 4C 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 45 E2 B3 00 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 45 04 57 41 52 4D 00 B0 12
+8C 45 78 40 03 00 B0 12 BA 45 84 12 14 44 07 0D
+0A 1B 5B 37 6D 40 4A 47 0A 44 02 18 CE 47 C4 48
+0A 44 23 00 FA 46 C4 48 14 44 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 47 0A 44 40 FF 28 44 C2 47 8E 48
+14 44 0A 62 79 74 65 73 20 66 72 65 65 00 3A 44
+7E 45 00 00 06 41 43 43 45 50 54 00 30 40 70 46
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
+3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 46 2F 83 8F 4E 00 00
+58 43 B0 12 BA 45 92 B3 6C 06 FD 27 1E 42 4C 06
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 46 08 4E
+3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 46
+04 45 43 48 4F 00 B2 40 C2 48 08 47 82 43 DE 1D
+38 40 05 00 B0 12 BA 45 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 47 92 43 DE 1D 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 47 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 46 68 47 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 45 02 43 52 00 30 40 80 47
+0D 12 84 12 14 44 02 0D 0A 00 4A 47 4E 48 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 46 01 40 2E 4E
-30 4D DC 47 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 46 01 40 2E 4E
+30 4D CC 47 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D 88 47 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D 78 47 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E 44 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 18 48 02 23 53 00 0D 12 84 12
-1A 48 54 48 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 48 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 08 48 02 23 53 00 0D 12 84 12
+0A 48 44 48 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 48 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 47 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 47 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 48
-4C 48 EE 44 8C 48 68 48 5A 47 22 4C F8 46 5E 48
-42 47 01 2E 0E 93 E3 37 38 43 E2 3F 86 48 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44 58 4B
-0A 44 22 00 2A 49 F8 48 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D D2 48
-82 2E 22 00 0D 12 84 12 E2 48 0A 44 5A 47 58 4B
-5E 48 F6 45 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 47
+3C 48 EE 44 7C 48 58 48 4A 47 02 4C FA 46 4E 48
+2C 47 01 2E 0E 93 E3 37 38 43 E2 3F 76 48 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44 48 4B
+0A 44 22 00 1A 49 E8 48 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D C2 48
+82 2E 22 00 0D 12 84 12 D2 48 0A 44 4A 47 48 4B
+4E 48 F8 45 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AC 4A 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9C 4A 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 4A 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 4A 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,217 +121,216 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D E4 47 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D 56 4B 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D 46 4B 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 48 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 44 22 4C 2A 49 DE 4B 94 26
-3D 40 E6 4B D6 3E E8 4B 0A 4E 3E 4F 3D 40 02 4C
-2E 27 3D 40 D8 4B 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 04 4C 3E 4F 3D 40 D8 4B BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 4D CC 3F
-0C 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8C 47 BC 44
-D2 4B C2 47 F4 47 14 44 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44 FC 47
+54 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 48 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 44 02 4C 1A 49 BE 4B 9C 26
+3D 40 C6 4B DE 3E C8 4B 0A 4E 3E 4F 3D 40 E2 4B
+36 27 3D 40 B8 4B 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 E4 4B 3E 4F 3D 40 B8 4B BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 4D CC 3F
+EC 4B 86 12 20 00 D4 47 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7C 47 BC 44
+B2 4B B2 47 E4 47 14 44 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44 EC 47
 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 45
-3A 44 3C 4C 6A 4B 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 48 0A 44 2A 45 58 4B 5E 48 8C 49 01 27
-0D 12 84 12 22 4C 2A 49 92 49 34 44 20 4C 5E 48
-00 00 83 5B 27 5D 0D 12 84 12 90 4C 0A 44 0A 44
-58 4B 58 4B 5E 48 A2 4C 81 5B 82 43 BE 1D 30 4D
-0A 48 01 5D B2 43 BE 1D 30 4D C2 4C 81 5C 92 42
+3A 44 2C 4C 08 4C 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 48 0A 44 2A 45 48 4B 4E 48 7C 49 01 27
+0D 12 84 12 02 4C 1A 49 82 49 34 44 00 4C 4E 48
+00 00 83 5B 27 5D 0D 12 84 12 80 4C 0A 44 0A 44
+48 4B 48 4B 4E 48 92 4C 81 5B 82 43 BE 1D 30 4D
+FA 47 01 5D B2 43 BE 1D 30 4D B2 4C 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 4C 2A 49 92 49 A6 47 34 44
-20 4C F4 47 34 44 04 4D 0A 44 0A 44 58 4B 58 4B
-0A 44 58 4B 58 4B 5E 48 B8 4C 01 3A 30 12 54 4D
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 22 4C 2A 49
-22 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 4C 1A 49 82 49 96 47 34 44
+00 4C E4 47 34 44 F4 4C 0A 44 0A 44 48 4B 48 4B
+0A 44 48 4B 48 4B 4E 48 A8 4C 01 3A 30 12 44 4D
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 02 4C 1A 49
+12 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 45 0A 4D 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A 44 5E 48 58 4B 66 4D BA 4C 5E 48
-C8 4B 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D B2 4B 06 43 52 45 41 54
-45 00 B0 12 10 4D BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 4D 04 43 4F 44 45 00 B0 12 10 4D A2 82
-C6 1D 0D 12 84 12 0A 50 E4 4F 5E 48 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 4D 24 50 5E 48
-76 4C 03 41 53 4D B2 40 E8 4F DA 1D E6 3F FE 4D
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 4E 42 50
-5E 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 24 50 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F B2 4D 85 48 49 32 4C 4F
-0D 12 84 12 28 44 B2 4F 58 4B BA 4C F2 4D 5E 48
-98 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 4E
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44 00 00
-D6 47 22 4C 2A 49 B8 4B 9E 47 34 44 1A 4F AC 47
-14 44 06 5B 54 48 45 4E 5D 00 8C 4E F4 4E B0 4E
-D2 4E 5E 48 AC 47 14 44 06 5B 45 4C 53 45 5D 00
-8C 4E 0A 4F B0 4E D0 4E 5E 48 14 44 04 5B 49 46
-5D 00 8C 4E D2 4E 3A 44 D0 4E 80 47 14 44 05 0D
-0A 6B 6F 20 5A 47 BC 44 AC 44 3A 44 D2 4E C0 4E
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 4F 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 4C 2A 49 92 49 3E 4F 5E 48 44 4F 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 4F
-EE 47 5E 48 76 4F B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 4B 06 4D 41 52 4B 45 52 00 B0 12
-10 4D BA 40 85 12 FC FF BA 40 74 4F FE FF 28 83
-8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00 C6 1D
-DD 3E 2E 53 30 4D 0A 44 CA 1D E6 47 5E 48 85 12
-B6 4F 12 4E E4 4D 0E 47 8E 4C 6A 4E D0 46 86 4F
-10 49 AE 50 C2 50 9A 48 24 49 00 00 5E 4F CC 4C
-F2 49 00 00 85 12 B6 4F 8A 56 F0 56 32 56 40 57
-F8 55 00 00 C4 53 00 00 08 58 EC 57 5C 56 9A 56
-D4 54 00 00 00 00 5C 57 E2 4F 3A 40 0C 00 39 40
-D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 1D
-30 4D 92 42 CA 1D DA 1D 30 4D BE 4F 3C 50 42 50
-52 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E C6 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 4C 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 50 14 58 DE 48 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 50 F3 3F 88 50 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 96 50 30 4D
-9A 50 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 51 FE FF 29 83 B9 40 E0 45 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 45 B2 49 FA 44 B2 49
-02 44 B2 49 FE 45 B2 49 EC FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08 29 83
-89 43 00 1C FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 43 26 02 B2 43 22 02 B2 43 46 02 B2 43 42 02
-B2 43 66 02 B2 43 62 02 B2 43 86 02 B2 40 7F FF
-82 02 F2 43 26 03 F2 43 22 03 F2 40 A5 00 61 01
-82 43 62 01 82 43 66 01 B2 40 33 00 64 01 D2 43
-61 01 39 40 40 00 18 42 00 18 18 83 FE 23 19 83
-FA 23 B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00
-A2 04 1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12
-F8 44 FC 45 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E
-1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E
-2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D
-84 12 2A 49 92 49 EE 47 34 44 04 52 4E 4A 34 44
-1E 52 18 52 06 52 3C 4E 3C 80 87 12 05 24 1C 53
-02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41 20 52
-B2 41 C4 1D 3E 41 84 12 0A 44 2B 00 2A 49 92 49
-EE 47 34 44 3C 52 4E 4A 34 44 20 4C B8 47 2A 49
-4E 4A 34 44 20 4C 48 52 3E 5F E7 3F 3E 40 28 00
-B0 12 E8 51 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40 7E 4D
-1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2A 49 4E 4A
-34 44 90 52 86 52 21 53 3E 90 10 00 C6 2B 7F 2D
-92 52 B2 41 C4 1D C1 3F 0D 12 84 12 22 4C C4 51
-A2 52 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F
-7A 90 23 00 27 20 92 53 C4 1D B0 12 E8 51 3C 40
-00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40
-20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40
-30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40
-30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F
-3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53
-C4 1D B0 12 E8 51 ED 3F 7A 90 40 00 16 20 3C 40
-20 00 92 53 C4 1D B0 12 70 52 0C 20 3C 50 10 00
-3E 40 2B 00 B0 12 70 52 92 92 C0 1D C4 1D 02 24
-92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 70 52 FA 23
-3C 50 10 00 B0 12 4C 52 EF 3F 0C 43 1B 42 C6 1D
-A2 53 C6 1D 0D 12 84 12 22 4C C4 51 6E 53 FE 90
-26 00 00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F
-B0 12 70 52 E0 23 3C 50 80 00 B0 12 4C 52 DB 3F
-00 00 04 52 45 54 49 00 0D 12 84 12 0A 44 00 13
-58 4B 5E 48 0A 44 2C 00 98 52 64 53 AE 53 09 4B
-2E 4E 0E DC A2 3F 58 4E 03 4D 4F 56 85 12 A4 53
-00 40 B8 53 05 4D 4F 56 2E 42 85 12 A4 53 40 40
-00 00 03 41 44 44 85 12 A4 53 00 50 D2 53 05 41
-44 44 2E 42 85 12 A4 53 40 50 DE 53 04 41 44 44
-43 00 85 12 A4 53 00 60 EC 53 06 41 44 44 43 2E
-42 00 85 12 A4 53 40 60 92 53 04 53 55 42 43 00
-85 12 A4 53 00 70 0A 54 06 53 55 42 43 2E 42 00
-85 12 A4 53 40 70 18 54 03 53 55 42 85 12 A4 53
-00 80 28 54 05 53 55 42 2E 42 85 12 A4 53 40 80
-34 4E 03 43 4D 50 85 12 A4 53 00 90 42 54 05 43
-4D 50 2E 42 85 12 A4 53 40 90 20 4E 04 44 41 44
-44 00 85 12 A4 53 00 A0 5C 54 06 44 41 44 44 2E
-42 00 85 12 A4 53 40 A0 4E 54 03 42 49 54 85 12
-A4 53 00 B0 7A 54 05 42 49 54 2E 42 85 12 A4 53
-40 B0 86 54 03 42 49 43 85 12 A4 53 00 C0 94 54
-05 42 49 43 2E 42 85 12 A4 53 40 C0 A0 54 03 42
-49 53 85 12 A4 53 00 D0 AE 54 05 42 49 53 2E 42
-85 12 A4 53 40 D0 00 00 03 58 4F 52 85 12 A4 53
-00 E0 C8 54 05 58 4F 52 2E 42 85 12 A4 53 40 E0
-FA 53 03 41 4E 44 85 12 A4 53 00 F0 E2 54 05 41
-4E 44 2E 42 85 12 A4 53 40 F0 22 4C 98 52 00 55
-0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F
-34 54 03 52 52 43 85 12 FA 54 00 10 12 55 05 52
-52 43 2E 42 85 12 FA 54 40 10 1E 55 04 53 57 50
-42 00 85 12 FA 54 80 10 2C 55 03 52 52 41 85 12
-FA 54 00 11 3A 55 05 52 52 41 2E 42 85 12 FA 54
-40 11 46 55 03 53 58 54 85 12 FA 54 80 11 00 00
-04 50 55 53 48 00 85 12 FA 54 00 12 60 55 06 50
-55 53 48 2E 42 00 85 12 FA 54 40 12 BA 54 04 43
-41 4C 4C 00 85 12 FA 54 80 12 1A 53 0E 4A 0D 12
-84 12 D4 48 14 44 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 36 45 54 55 03 53 3E 3D 86 12 00 38
-A8 55 02 53 3C 00 86 12 00 34 6E 55 03 30 3E 3D
-86 12 00 30 BC 55 02 30 3C 00 86 12 00 30 00 00
-02 55 3C 00 86 12 00 2C D0 55 03 55 3E 3D 86 12
-00 28 C6 55 03 30 3C 3E 86 12 00 24 E4 55 02 30
-3D 00 86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D
-8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D DA 55 04 54
-48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D
-6A 54 04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C
-00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 7E 55
-05 42 45 47 49 4E 30 40 28 44 0E 56 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 C6 1D 30 4D EE 54 05 41 47 41 49 4E
-0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45
-0D 12 84 12 FC 55 B8 47 5E 48 B2 55 06 52 45 50
-45 41 54 00 0D 12 84 12 90 56 14 56 5E 48 C0 56
-3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42
-C6 1D 00 00 30 4D 50 56 03 42 57 31 85 12 BE 56
-00 00 D8 56 03 42 57 32 85 12 BE 56 00 00 E4 56
-03 42 57 33 85 12 BE 56 00 00 FC 56 3D 41 1A 42
-C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53
-C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31
-85 12 FA 56 00 00 1C 57 03 46 57 32 85 12 FA 56
-00 00 28 57 03 46 57 33 85 12 FA 56 00 00 34 57
-04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C
-0D 12 84 12 90 4C FC 4B 5E 48 00 00 05 3F 47 4F
-54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10
-EF 27 3E E0 00 08 EC 3F 22 4C C4 51 7E 57 92 53
-C4 1D 3E 40 2C 00 84 12 2A 49 4E 4A 34 44 20 4C
-5A 53 94 57 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E
-0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00
-EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E
-AC 56 04 52 52 43 4D 00 85 12 78 57 50 00 C2 57
-04 52 52 41 4D 00 85 12 78 57 50 01 D0 57 04 52
-4C 41 4D 00 85 12 78 57 50 02 DE 57 04 52 52 55
-4D 00 85 12 78 57 50 03 EE 55 05 50 55 53 48 4D
-85 12 78 57 00 15 FA 57 04 50 4F 50 4D 00 85 12
-78 57 00 17
+74 63 68 21 36 45 FA 4C 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A 44 4E 48 48 4B 56 4D AA 4C 4E 48
+A8 4B 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D 92 4B 06 43 52 45 41 54
+45 00 B0 12 00 4D BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 4D 04 43 4F 44 45 00 B0 12 00 4D A2 82
+C6 1D 0D 12 84 12 F2 4F CC 4F 4E 48 A2 4D 07 48
+44 4E 43 4F 44 45 B2 40 D0 4F DA 1D EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 4D 0C 50
+2A 50 4E 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 0C 50 2A 50 4E 48 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+EE 4D 85 48 49 32 4C 4F 0D 12 84 12 28 44 9A 4F
+48 4B AA 4C E2 4D 4E 48 88 4D 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 4E 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 44 00 00 C6 47 02 4C 1A 49 98 4B
+8E 47 34 44 02 4F 9C 47 14 44 06 5B 54 48 45 4E
+5D 00 74 4E DC 4E 98 4E BA 4E 4E 48 9C 47 14 44
+06 5B 45 4C 53 45 5D 00 74 4E F2 4E 98 4E B8 4E
+4E 48 14 44 04 5B 49 46 5D 00 74 4E BA 4E 3A 44
+B8 4E 70 47 14 44 05 0D 0A 6B 6F 20 4A 47 BC 44
+AC 44 3A 44 BA 4E A8 4E 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 4F 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 4C 1A 49 82 49
+26 4F 4E 48 2C 4F 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 4F DE 47 4E 48 5E 4F B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 4B 06 4D
+41 52 4B 45 52 00 B0 12 00 4D BA 40 85 12 FC FF
+BA 40 5C 4F FE FF 28 83 8A 48 00 00 BA 40 AA 44
+04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D 0A 44
+CA 1D D6 47 4E 48 85 12 9E 4F 66 4C D4 4D 10 47
+7E 4C 52 4E D2 46 6E 4F 00 49 96 50 AA 50 8A 48
+14 49 00 00 46 4F BC 4C E2 49 00 00 85 12 9E 4F
+72 56 D8 56 1A 56 28 57 E0 55 00 00 AC 53 00 00
+F0 57 D4 57 44 56 82 56 BC 54 00 00 00 00 44 57
+CA 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D DA 1D
+30 4D A6 4F 24 50 2A 50 3A 50 1A 42 20 18 82 4A
+C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 4C
+09 50 57 52 5F 53 54 41 54 45 85 12 32 50 FC 57
+CE 48 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 50 F3 3F 70 50 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 7E 50 30 4D 82 50 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 51 FE FF 29 83 B9 40
+E2 45 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 45 B2 49 FA 44 B2 49 02 44 B2 49 00 46 B2 49
+EC FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 08 29 83 89 43 00 1C FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 43 26 02 B2 43 22 02
+B2 43 46 02 B2 43 42 02 B2 43 66 02 B2 43 62 02
+B2 43 86 02 B2 40 7F FF 82 02 F2 43 26 03 F2 43
+22 03 F2 40 A5 00 61 01 82 43 62 01 82 43 66 01
+B2 40 33 00 64 01 D2 43 61 01 39 40 40 00 18 42
+00 18 18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0
+10 00 2A 03 F2 C0 40 00 A2 04 1E 42 08 18 82 43
+08 18 1E D2 9E 01 B0 12 F8 44 FE 45 38 40 C0 1D
+0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24
+7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D
+1C 15 0E 12 12 12 C4 1D 84 12 1A 49 82 49 DE 47
+34 44 EC 51 3E 4A 34 44 06 52 00 52 EE 51 3C 4E
+3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83
+21 52 1B 17 30 41 08 52 B2 41 C4 1D 3E 41 84 12
+0A 44 2B 00 1A 49 82 49 DE 47 34 44 24 52 3E 4A
+34 44 00 4C A8 47 1A 49 3E 4A 34 44 00 4C 30 52
+3E 5F E7 3F 3E 40 28 00 B0 12 D0 51 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D
+C4 1D 02 20 30 40 6E 4D 1C 15 12 12 C4 1D 92 53
+C4 1D 84 12 1A 49 3E 4A 34 44 78 52 6E 52 21 53
+3E 90 10 00 C6 2B 7F 2D 7A 52 B2 41 C4 1D C1 3F
+0D 12 84 12 02 4C AC 51 8A 52 0C 43 1B 42 C6 1D
+A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53
+C4 1D B0 12 D0 51 3C 40 00 03 0E 93 1C 24 3C 40
+10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40
+20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40
+30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53
+C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00
+07 20 3C 40 10 02 92 53 C4 1D B0 12 D0 51 ED 3F
+7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12
+58 52 0C 20 3C 50 10 00 3E 40 2B 00 B0 12 58 52
+92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E
+DA 3F B0 12 58 52 FA 23 3C 50 10 00 B0 12 34 52
+EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12
+02 4C AC 51 56 53 FE 90 26 00 00 00 3E 40 20 00
+03 20 3C 50 82 00 C7 3F B0 12 58 52 E0 23 3C 50
+80 00 B0 12 34 52 DB 3F 00 00 04 52 45 54 49 00
+0D 12 84 12 0A 44 00 13 48 4B 4E 48 0A 44 2C 00
+80 52 4C 53 96 53 09 4B 2E 4E 0E DC A2 3F 40 4E
+03 4D 4F 56 85 12 8C 53 00 40 A0 53 05 4D 4F 56
+2E 42 85 12 8C 53 40 40 00 00 03 41 44 44 85 12
+8C 53 00 50 BA 53 05 41 44 44 2E 42 85 12 8C 53
+40 50 C6 53 04 41 44 44 43 00 85 12 8C 53 00 60
+D4 53 06 41 44 44 43 2E 42 00 85 12 8C 53 40 60
+7A 53 04 53 55 42 43 00 85 12 8C 53 00 70 F2 53
+06 53 55 42 43 2E 42 00 85 12 8C 53 40 70 00 54
+03 53 55 42 85 12 8C 53 00 80 10 54 05 53 55 42
+2E 42 85 12 8C 53 40 80 16 4E 03 43 4D 50 85 12
+8C 53 00 90 2A 54 05 43 4D 50 2E 42 85 12 8C 53
+40 90 00 4E 04 44 41 44 44 00 85 12 8C 53 00 A0
+44 54 06 44 41 44 44 2E 42 00 85 12 8C 53 40 A0
+36 54 03 42 49 54 85 12 8C 53 00 B0 62 54 05 42
+49 54 2E 42 85 12 8C 53 40 B0 6E 54 03 42 49 43
+85 12 8C 53 00 C0 7C 54 05 42 49 43 2E 42 85 12
+8C 53 40 C0 88 54 03 42 49 53 85 12 8C 53 00 D0
+96 54 05 42 49 53 2E 42 85 12 8C 53 40 D0 00 00
+03 58 4F 52 85 12 8C 53 00 E0 B0 54 05 58 4F 52
+2E 42 85 12 8C 53 40 E0 E2 53 03 41 4E 44 85 12
+8C 53 00 F0 CA 54 05 41 4E 44 2E 42 85 12 8C 53
+40 F0 02 4C 80 52 E8 54 0A 4C 3C F0 70 00 8A 10
+3A F0 0F 00 0C DA 4F 3F 1C 54 03 52 52 43 85 12
+E2 54 00 10 FA 54 05 52 52 43 2E 42 85 12 E2 54
+40 10 06 55 04 53 57 50 42 00 85 12 E2 54 80 10
+14 55 03 52 52 41 85 12 E2 54 00 11 22 55 05 52
+52 41 2E 42 85 12 E2 54 40 11 2E 55 03 53 58 54
+85 12 E2 54 80 11 00 00 04 50 55 53 48 00 85 12
+E2 54 00 12 48 55 06 50 55 53 48 2E 42 00 85 12
+E2 54 40 12 A2 54 04 43 41 4C 4C 00 85 12 E2 54
+80 12 1A 53 0E 4A 0D 12 84 12 C4 48 14 44 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 36 45 3C 55
+03 53 3E 3D 86 12 00 38 90 55 02 53 3C 00 86 12
+00 34 56 55 03 30 3E 3D 86 12 00 30 A4 55 02 30
+3C 00 86 12 00 30 00 00 02 55 3C 00 86 12 00 2C
+B8 55 03 55 3E 3D 86 12 00 28 AE 55 03 30 3C 3E
+86 12 00 24 CC 55 02 30 3D 00 86 12 00 20 00 00
+02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D
+0E 4A 30 4D C2 55 04 54 48 45 4E 00 1A 42 C6 1D
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+B1 2F 88 DA 00 00 30 4D 52 54 04 45 4C 53 45 00
+1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83
+8F 4A 00 00 E3 3F 66 55 05 42 45 47 49 4E 30 40
+28 44 F6 55 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D
+D6 54 05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F
+00 00 05 57 48 49 4C 45 0D 12 84 12 E4 55 A8 47
+4E 48 9A 55 06 52 45 50 45 41 54 00 0D 12 84 12
+78 56 FC 55 4E 48 A8 56 3D 41 08 4E 3E 4F 2A 48
+B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 38 56
+03 42 57 31 85 12 A6 56 00 00 C0 56 03 42 57 32
+85 12 A6 56 00 00 CC 56 03 42 57 33 85 12 A6 56
+00 00 E4 56 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D
+88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F
+30 4D 00 00 03 46 57 31 85 12 E2 56 00 00 04 57
+03 46 57 32 85 12 E2 56 00 00 10 57 03 46 57 33
+85 12 E2 56 00 00 1C 57 04 47 4F 54 4F 00 2F 83
+8F 4E 00 00 3E 40 00 3C 0D 12 84 12 80 4C DC 4B
+4E 48 00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27
+3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F
+02 4C AC 51 66 57 92 53 C4 1D 3E 40 2C 00 84 12
+1A 49 3E 4A 34 44 00 4C 42 53 7C 57 0A 4E 3E 4F
+1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28
+0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92
+E8 2E 8A 10 5A 06 A6 3E 94 56 04 52 52 43 4D 00
+85 12 60 57 50 00 AA 57 04 52 52 41 4D 00 85 12
+60 57 50 01 B8 57 04 52 4C 41 4D 00 85 12 60 57
+50 02 C6 57 04 52 52 55 4D 00 85 12 60 57 50 03
+D6 55 05 50 55 53 48 4D 85 12 60 57 00 15 E2 57
+04 50 4F 50 4D 00 85 12 60 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 80 46 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 20 51
+77 00 10 00 12 00 14 00 16 00 00 00 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 82 46 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 08 51
 q
index d9b3be6..bdae606 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-E8 03 08 00 00 D6 18 00 F9 FF 1A 58 0A 50 33 01
-10 00 81 B6 94 45 AA 44 C6 45 9C 45 94 46 1A 58
-0A 50 7A 46 92 47 24 47 FE 46 3C 1D 60 48 D4 44
-E2 44 EE 44 20 00 0A 00 28 4C 42 4C 00 00 00 00
+E8 03 08 00 00 D6 18 00 F9 FF 12 58 02 50 34 01
+10 00 41 B3 94 45 AA 44 DA 45 9C 45 94 46 12 58
+02 50 7A 46 92 47 24 47 FE 46 3C 1D 60 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 C6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
 B2 49 78 46 B2 49 90 47 B2 49 22 47 B2 49 FC 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 45 92 C3 FC 05 18 42
+B2 49 DC 1D 3D 41 30 40 CE 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 45 92 C3 FC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 FC 05 F3 23 B0 12 F8 44 0A 44 DE 1D E0 47 32 47
 14 44 04 1B 5B 37 6D 00 5C 47 A8 47 34 44 86 45
@@ -52,7 +52,7 @@ C2 48 EE 05 30 4D C8 46 2D 83 92 B3 FC 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 FC 05
 FD 27 58 42 EC 05 82 93 DE 1D 02 24 92 53 DE 1D
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 46 2F 83
-8F 4E 00 00 B0 12 C6 45 92 B3 FC 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 45 92 B3 FC 05 FD 27 1E 42
 EC 05 B0 12 C8 45 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 47 08 4E 3E 4F C8 3F 1A 47 04 45 43 48
 4F 00 B2 40 C2 48 C0 46 82 43 DE 1D 30 4D 00 00
@@ -80,7 +80,7 @@ B2 1D C8 4A 00 00 30 4D 1A 48 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 47 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 48 4E 48 EE 44 8E 48 6A 48 5C 47 24 4C 20 47
+10 48 4E 48 EE 44 8E 48 6A 48 5C 47 14 4C 20 47
 60 48 40 47 01 2E 0E 93 E3 37 38 43 E2 3F 88 48
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44
 5A 4B 0A 44 22 00 2C 49 FA 48 B2 40 20 00 B4 1D
@@ -122,34 +122,34 @@ B0 12 66 44 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 47 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 48 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 44 24 4C 2C 49 E0 4B
-94 26 3D 40 E8 4B D6 3E EA 4B 0A 4E 3E 4F 3D 40
-04 4C 2E 27 3D 40 DA 4B 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 4C 3E 4F 3D 40 DA 4B BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 44 14 4C 2C 49 D0 4B
+9C 26 3D 40 D8 4B DE 3E DA 4B 0A 4E 3E 4F 3D 40
+F4 4B 36 27 3D 40 CA 4B 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 F6 4B 3E 4F 3D 40 CA 4B BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 4D
-CC 3F 0E 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F FE 4B 86 12 20 00 E6 47 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E 47
-BC 44 D4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
+BC 44 C4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44
 FE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 45 3A 44 3E 4C 6C 4B 86 41 42 4F 52 54 22 00
+2A 45 3A 44 3E 4C 1A 4C 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 48 0A 44 2A 45 5A 4B 60 48 8E 49
-01 27 0D 12 84 12 24 4C 2C 49 94 49 34 44 22 4C
+01 27 0D 12 84 12 14 4C 2C 49 94 49 34 44 12 4C
 60 48 00 00 83 5B 27 5D 0D 12 84 12 92 4C 0A 44
 0A 44 5A 4B 5A 4B 60 48 A4 4C 81 5B 82 43 BE 1D
 30 4D 0C 48 01 5D B2 43 BE 1D 30 4D C4 4C 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 4C 2C 49 94 49 A8 47
-34 44 22 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
+4F 4E 45 00 0D 12 84 12 14 4C 2C 49 94 49 A8 47
+34 44 12 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
 5A 4B 0A 44 5A 4B 5A 4B 60 48 BA 4C 01 3A 30 12
-56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 4C
+56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 14 4C
 2C 49 24 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -159,173 +159,173 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 45 0C 4D 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A 44 60 48 5A 4B 68 4D BC 4C
-60 48 CA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 4B 06 43 52 45
+60 48 BA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D A4 4B 06 43 52 45
 41 54 45 00 B0 12 12 4D BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 4D 04 43 4F 44 45 00 B0 12 12 4D
-A2 82 C6 1D 0D 12 84 12 0C 50 E6 4F 60 48 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D 26 50
-60 48 78 4C 03 41 53 4D B2 40 EA 4F DA 1D E6 3F
-00 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 4E
-44 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 50 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 4D 85 48 49 32
-4C 4F 0D 12 84 12 28 44 B4 4F 5A 4B BC 4C F4 4D
-60 48 9A 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44
-00 00 D8 47 24 4C 2C 49 BA 4B A0 47 34 44 1C 4F
-AE 47 14 44 06 5B 54 48 45 4E 5D 00 8E 4E F6 4E
-B2 4E D4 4E 60 48 AE 47 14 44 06 5B 45 4C 53 45
-5D 00 8E 4E 0C 4F B2 4E D2 4E 60 48 14 44 04 5B
-49 46 5D 00 8E 4E D4 4E 3A 44 D2 4E 82 47 14 44
-05 0D 0A 6B 6F 20 5C 47 BC 44 AC 44 3A 44 D4 4E
-C2 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 4F 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 4C 2C 49 94 49 40 4F 60 48 46 4F
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 4F F0 47 60 48 78 4F B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 4B 06 4D 41 52 4B 45 52 00
-B0 12 12 4D BA 40 85 12 FC FF BA 40 76 4F FE FF
-28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D E8 47 60 48
-85 12 B8 4F 14 4E E6 4D 2C 47 90 4C 6C 4E F6 46
-88 4F 12 49 B0 50 C4 50 9C 48 26 49 00 00 60 4F
-CE 4C F4 49 00 00 85 12 B8 4F 90 56 F6 56 38 56
-46 57 FE 55 00 00 CA 53 00 00 0E 58 F2 57 62 56
-A0 56 DA 54 00 00 00 00 62 57 E4 4F 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 4F 3E 50
-44 50 54 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 4C 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 50 1A 58 E0 48 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 50 F3 3F 8A 50
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 50
-30 4D 9C 50 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 51 FE FF 29 83 B9 40 02 46 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 46 B2 49 FA 44
-B2 49 02 44 B2 49 20 46 B2 49 E4 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08
-29 83 89 43 00 1C FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 B2 43 26 02 B2 43 22 02 D2 D3 24 02 B2 43
-46 02 B2 43 42 02 B2 43 66 02 B2 43 62 02 B2 43
-86 02 B2 40 7F FF 82 02 F2 43 26 03 F2 43 22 03
-F2 40 A5 00 61 01 82 43 62 01 82 43 66 01 B2 40
-33 00 64 01 D2 43 61 01 39 40 40 00 18 42 00 18
-18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00
-2A 03 F2 C0 40 00 A2 04 1E 42 08 18 82 43 08 18
-1E D2 9E 01 B0 12 F8 44 1E 46 38 40 C0 1D 0A 4E
-39 48 2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E
-FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15
-0E 12 12 12 C4 1D 84 12 2C 49 94 49 F0 47 34 44
-0A 52 50 4A 34 44 24 52 1E 52 0C 52 3C 4E 3C 80
-87 12 05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52
-1B 17 30 41 26 52 B2 41 C4 1D 3E 41 84 12 0A 44
-2B 00 2C 49 94 49 F0 47 34 44 42 52 50 4A 34 44
-22 4C BA 47 2C 49 50 4A 34 44 22 4C 4E 52 3E 5F
-E7 3F 3E 40 28 00 B0 12 EE 51 19 42 C6 1D A2 53
-C6 1D 89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D
-02 20 30 40 80 4D 1C 15 12 12 C4 1D 92 53 C4 1D
-84 12 2C 49 50 4A 34 44 96 52 8C 52 21 53 3E 90
-10 00 C6 2B 7F 2D 98 52 B2 41 C4 1D C1 3F 0D 12
-84 12 24 4C CA 51 A8 52 0C 43 1B 42 C6 1D A2 53
-C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D
-B0 12 EE 51 3C 40 00 03 0E 93 1C 24 3C 40 10 03
-1E 93 18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02
-2E 92 10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03
-3E 93 08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D
-89 4E 00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20
-3C 40 10 02 92 53 C4 1D B0 12 EE 51 ED 3F 7A 90
-40 00 16 20 3C 40 20 00 92 53 C4 1D B0 12 76 52
-0C 20 3C 50 10 00 3E 40 2B 00 B0 12 76 52 92 92
-C0 1D C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F
-B0 12 76 52 FA 23 3C 50 10 00 B0 12 52 52 EF 3F
-0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 24 4C
-CA 51 74 53 FE 90 26 00 00 00 3E 40 20 00 03 20
-3C 50 82 00 C7 3F B0 12 76 52 E0 23 3C 50 80 00
-B0 12 52 52 DB 3F 00 00 04 52 45 54 49 00 0D 12
-84 12 0A 44 00 13 5A 4B 60 48 0A 44 2C 00 9E 52
-6A 53 B4 53 09 4B 2E 4E 0E DC A2 3F 5A 4E 03 4D
-4F 56 85 12 AA 53 00 40 BE 53 05 4D 4F 56 2E 42
-85 12 AA 53 40 40 00 00 03 41 44 44 85 12 AA 53
-00 50 D8 53 05 41 44 44 2E 42 85 12 AA 53 40 50
-E4 53 04 41 44 44 43 00 85 12 AA 53 00 60 F2 53
-06 41 44 44 43 2E 42 00 85 12 AA 53 40 60 98 53
-04 53 55 42 43 00 85 12 AA 53 00 70 10 54 06 53
-55 42 43 2E 42 00 85 12 AA 53 40 70 1E 54 03 53
-55 42 85 12 AA 53 00 80 2E 54 05 53 55 42 2E 42
-85 12 AA 53 40 80 36 4E 03 43 4D 50 85 12 AA 53
-00 90 48 54 05 43 4D 50 2E 42 85 12 AA 53 40 90
-22 4E 04 44 41 44 44 00 85 12 AA 53 00 A0 62 54
-06 44 41 44 44 2E 42 00 85 12 AA 53 40 A0 54 54
-03 42 49 54 85 12 AA 53 00 B0 80 54 05 42 49 54
-2E 42 85 12 AA 53 40 B0 8C 54 03 42 49 43 85 12
-AA 53 00 C0 9A 54 05 42 49 43 2E 42 85 12 AA 53
-40 C0 A6 54 03 42 49 53 85 12 AA 53 00 D0 B4 54
-05 42 49 53 2E 42 85 12 AA 53 40 D0 00 00 03 58
-4F 52 85 12 AA 53 00 E0 CE 54 05 58 4F 52 2E 42
-85 12 AA 53 40 E0 00 54 03 41 4E 44 85 12 AA 53
-00 F0 E8 54 05 41 4E 44 2E 42 85 12 AA 53 40 F0
-24 4C 9E 52 06 55 0A 4C 3C F0 70 00 8A 10 3A F0
-0F 00 0C DA 4F 3F 3A 54 03 52 52 43 85 12 00 55
-00 10 18 55 05 52 52 43 2E 42 85 12 00 55 40 10
-24 55 04 53 57 50 42 00 85 12 00 55 80 10 32 55
-03 52 52 41 85 12 00 55 00 11 40 55 05 52 52 41
-2E 42 85 12 00 55 40 11 4C 55 03 53 58 54 85 12
-00 55 80 11 00 00 04 50 55 53 48 00 85 12 00 55
-00 12 66 55 06 50 55 53 48 2E 42 00 85 12 00 55
-40 12 C0 54 04 43 41 4C 4C 00 85 12 00 55 80 12
-1A 53 0E 4A 0D 12 84 12 D6 48 14 44 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 36 45 5A 55 03 53
-3E 3D 86 12 00 38 AE 55 02 53 3C 00 86 12 00 34
-74 55 03 30 3E 3D 86 12 00 30 C2 55 02 30 3C 00
-86 12 00 30 00 00 02 55 3C 00 86 12 00 2C D6 55
-03 55 3E 3D 86 12 00 28 CC 55 03 30 3C 3E 86 12
-00 24 EA 55 02 30 3D 00 86 12 00 20 00 00 02 49
-46 00 1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A
-30 4D E0 55 04 54 48 45 4E 00 1A 42 C6 1D 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F
-88 DA 00 00 30 4D 70 54 04 45 4C 53 45 00 1A 42
-C6 1D BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A
-00 00 E3 3F 84 55 05 42 45 47 49 4E 30 40 28 44
-14 56 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C6 1D 30 4D F4 54
-05 41 47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00
-05 57 48 49 4C 45 0D 12 84 12 02 56 BA 47 60 48
-B8 55 06 52 45 50 45 41 54 00 0D 12 84 12 96 56
-1A 56 60 48 C6 56 3D 41 08 4E 3E 4F 2A 48 B2 92
-C4 1D CB 2F 98 42 C6 1D 00 00 30 4D 56 56 03 42
-57 31 85 12 C4 56 00 00 DE 56 03 42 57 32 85 12
-C4 56 00 00 EA 56 03 42 57 33 85 12 C4 56 00 00
-02 57 3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B
-BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D
-00 00 03 46 57 31 85 12 00 57 00 00 22 57 03 46
-57 32 85 12 00 57 00 00 2E 57 03 46 57 33 85 12
-00 57 00 00 3A 57 04 47 4F 54 4F 00 2F 83 8F 4E
-00 00 3E 40 00 3C 0D 12 84 12 92 4C FE 4B 60 48
-00 00 05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0
-00 04 3E B0 00 10 EF 27 3E E0 00 08 EC 3F 24 4C
-CA 51 84 57 92 53 C4 1D 3E 40 2C 00 84 12 2C 49
-50 4A 34 44 22 4C 60 53 9A 57 0A 4E 3E 4F 1A 83
-F7 32 29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A
-08 8A 38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E
-8A 10 5A 06 A6 3E B2 56 04 52 52 43 4D 00 85 12
-7E 57 50 00 C8 57 04 52 52 41 4D 00 85 12 7E 57
-50 01 D6 57 04 52 4C 41 4D 00 85 12 7E 57 50 02
-E4 57 04 52 52 55 4D 00 85 12 7E 57 50 03 F4 55
-05 50 55 53 48 4D 85 12 7E 57 00 15 00 58 04 50
-4F 50 4D 00 85 12 7E 57 00 17
+A2 82 C6 1D 0D 12 84 12 04 50 DE 4F 60 48 B4 4D
+07 48 44 4E 43 4F 44 45 B2 40 E2 4F DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D
+1E 50 3C 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 1E 50 3C 50 60 48
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F 00 4E 85 48 49 32 4C 4F 0D 12 84 12 28 44
+AC 4F 5A 4B BC 4C F4 4D 60 48 9A 4D 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 4E 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 44 00 00 D8 47 14 4C 2C 49
+AA 4B A0 47 34 44 14 4F AE 47 14 44 06 5B 54 48
+45 4E 5D 00 86 4E EE 4E AA 4E CC 4E 60 48 AE 47
+14 44 06 5B 45 4C 53 45 5D 00 86 4E 04 4F AA 4E
+CA 4E 60 48 14 44 04 5B 49 46 5D 00 86 4E CC 4E
+3A 44 CA 4E 82 47 14 44 05 0D 0A 6B 6F 20 5C 47
+BC 44 AC 44 3A 44 CC 4E BA 4E 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 4F 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 4C 2C 49
+94 49 38 4F 60 48 3E 4F 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 4F F0 47 60 48 70 4F
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 4B
+06 4D 41 52 4B 45 52 00 B0 12 12 4D BA 40 85 12
+FC FF BA 40 6E 4F FE FF 28 83 8A 48 00 00 BA 40
+AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A 44 CA 1D E8 47 60 48 85 12 B0 4F 78 4C E6 4D
+2C 47 90 4C 64 4E F6 46 80 4F 12 49 A8 50 BC 50
+9C 48 26 49 00 00 58 4F CE 4C F4 49 00 00 85 12
+B0 4F 88 56 EE 56 30 56 3E 57 F6 55 00 00 C2 53
+00 00 06 58 EA 57 5A 56 98 56 D2 54 00 00 00 00
+5A 57 DC 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D B8 4F 36 50 3C 50 4C 50 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 4C 09 50 57 52 5F 53 54 41 54 45 85 12 44 50
+12 58 E0 48 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 50 F3 3F 82 50 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 90 50 30 4D 94 50 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 51 FE FF 29 83
+B9 40 02 46 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 46 B2 49 FA 44 B2 49 02 44 B2 49 20 46
+B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 B2 43 26 02 B2 43
+22 02 D2 D3 24 02 B2 43 46 02 B2 43 42 02 B2 43
+66 02 B2 43 62 02 B2 43 86 02 B2 40 7F FF 82 02
+F2 43 26 03 F2 43 22 03 F2 40 A5 00 61 01 82 43
+62 01 82 43 66 01 B2 40 33 00 64 01 D2 43 61 01
+39 40 40 00 18 42 00 18 18 83 FE 23 19 83 FA 23
+B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A2 04
+1E 42 08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 44
+1E 46 38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52
+C4 1D 09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88
+82 4A C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12
+2C 49 94 49 F0 47 34 44 02 52 50 4A 34 44 1C 52
+16 52 04 52 3C 4E 3C 80 87 12 05 24 1C 53 02 20
+2E 4E 01 3C 2E 83 21 52 1B 17 30 41 1E 52 B2 41
+C4 1D 3E 41 84 12 0A 44 2B 00 2C 49 94 49 F0 47
+34 44 3A 52 50 4A 34 44 12 4C BA 47 2C 49 50 4A
+34 44 12 4C 46 52 3E 5F E7 3F 3E 40 28 00 B0 12
+E6 51 19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40
+29 00 92 92 C0 1D C4 1D 02 20 30 40 80 4D 1C 15
+12 12 C4 1D 92 53 C4 1D 84 12 2C 49 50 4A 34 44
+8E 52 84 52 21 53 3E 90 10 00 C6 2B 7F 2D 90 52
+B2 41 C4 1D C1 3F 0D 12 84 12 14 4C C2 51 A0 52
+0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90
+23 00 27 20 92 53 C4 1D B0 12 E6 51 3C 40 00 03
+0E 93 1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03
+2E 93 14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02
+3E 92 0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00
+19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41
+30 4D 7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D
+B0 12 E6 51 ED 3F 7A 90 40 00 16 20 3C 40 20 00
+92 53 C4 1D B0 12 6E 52 0C 20 3C 50 10 00 3E 40
+2B 00 B0 12 6E 52 92 92 C0 1D C4 1D 02 24 92 53
+C4 1D 8E 10 0C 5E DA 3F B0 12 6E 52 FA 23 3C 50
+10 00 B0 12 4A 52 EF 3F 0C 43 1B 42 C6 1D A2 53
+C6 1D 0D 12 84 12 14 4C C2 51 6C 53 FE 90 26 00
+00 00 3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12
+6E 52 E0 23 3C 50 80 00 B0 12 4A 52 DB 3F 00 00
+04 52 45 54 49 00 0D 12 84 12 0A 44 00 13 5A 4B
+60 48 0A 44 2C 00 96 52 62 53 AC 53 09 4B 2E 4E
+0E DC A2 3F 52 4E 03 4D 4F 56 85 12 A2 53 00 40
+B6 53 05 4D 4F 56 2E 42 85 12 A2 53 40 40 00 00
+03 41 44 44 85 12 A2 53 00 50 D0 53 05 41 44 44
+2E 42 85 12 A2 53 40 50 DC 53 04 41 44 44 43 00
+85 12 A2 53 00 60 EA 53 06 41 44 44 43 2E 42 00
+85 12 A2 53 40 60 90 53 04 53 55 42 43 00 85 12
+A2 53 00 70 08 54 06 53 55 42 43 2E 42 00 85 12
+A2 53 40 70 16 54 03 53 55 42 85 12 A2 53 00 80
+26 54 05 53 55 42 2E 42 85 12 A2 53 40 80 28 4E
+03 43 4D 50 85 12 A2 53 00 90 40 54 05 43 4D 50
+2E 42 85 12 A2 53 40 90 12 4E 04 44 41 44 44 00
+85 12 A2 53 00 A0 5A 54 06 44 41 44 44 2E 42 00
+85 12 A2 53 40 A0 4C 54 03 42 49 54 85 12 A2 53
+00 B0 78 54 05 42 49 54 2E 42 85 12 A2 53 40 B0
+84 54 03 42 49 43 85 12 A2 53 00 C0 92 54 05 42
+49 43 2E 42 85 12 A2 53 40 C0 9E 54 03 42 49 53
+85 12 A2 53 00 D0 AC 54 05 42 49 53 2E 42 85 12
+A2 53 40 D0 00 00 03 58 4F 52 85 12 A2 53 00 E0
+C6 54 05 58 4F 52 2E 42 85 12 A2 53 40 E0 F8 53
+03 41 4E 44 85 12 A2 53 00 F0 E0 54 05 41 4E 44
+2E 42 85 12 A2 53 40 F0 14 4C 96 52 FE 54 0A 4C
+3C F0 70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 32 54
+03 52 52 43 85 12 F8 54 00 10 10 55 05 52 52 43
+2E 42 85 12 F8 54 40 10 1C 55 04 53 57 50 42 00
+85 12 F8 54 80 10 2A 55 03 52 52 41 85 12 F8 54
+00 11 38 55 05 52 52 41 2E 42 85 12 F8 54 40 11
+44 55 03 53 58 54 85 12 F8 54 80 11 00 00 04 50
+55 53 48 00 85 12 F8 54 00 12 5E 55 06 50 55 53
+48 2E 42 00 85 12 F8 54 40 12 B8 54 04 43 41 4C
+4C 00 85 12 F8 54 80 12 1A 53 0E 4A 0D 12 84 12
+D6 48 14 44 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 36 45 52 55 03 53 3E 3D 86 12 00 38 A6 55
+02 53 3C 00 86 12 00 34 6C 55 03 30 3E 3D 86 12
+00 30 BA 55 02 30 3C 00 86 12 00 30 00 00 02 55
+3C 00 86 12 00 2C CE 55 03 55 3E 3D 86 12 00 28
+C4 55 03 30 3C 3E 86 12 00 24 E2 55 02 30 3D 00
+86 12 00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E
+00 00 A2 53 C6 1D 0E 4A 30 4D D8 55 04 54 48 45
+4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 B1 2F 88 DA 00 00 30 4D 68 54
+04 45 4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00
+A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F 7C 55 05 42
+45 47 49 4E 30 40 28 44 0C 56 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11
+3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C6 1D 30 4D EC 54 05 41 47 41 49 4E 0A 4E
+38 40 00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12
+84 12 FA 55 BA 47 60 48 B0 55 06 52 45 50 45 41
+54 00 0D 12 84 12 8E 56 12 56 60 48 BE 56 3D 41
+08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D
+00 00 30 4D 4E 56 03 42 57 31 85 12 BC 56 00 00
+D6 56 03 42 57 32 85 12 BC 56 00 00 E2 56 03 42
+57 33 85 12 BC 56 00 00 FA 56 3D 41 1A 42 C6 1D
+28 4E B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D
+8E 4A 00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12
+F8 56 00 00 1A 57 03 46 57 32 85 12 F8 56 00 00
+26 57 03 46 57 33 85 12 F8 56 00 00 32 57 04 47
+4F 54 4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12
+84 12 92 4C EE 4B 60 48 00 00 05 3F 47 4F 54 4F
+3E 90 00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27
+3E E0 00 08 EC 3F 14 4C C2 51 7C 57 92 53 C4 1D
+3E 40 2C 00 84 12 2C 49 50 4A 34 44 12 4C 58 53
+92 57 0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28
+08 4C 59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E
+5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E AA 56
+04 52 52 43 4D 00 85 12 76 57 50 00 C0 57 04 52
+52 41 4D 00 85 12 76 57 50 01 CE 57 04 52 4C 41
+4D 00 85 12 76 57 50 02 DC 57 04 52 52 55 4D 00
+85 12 76 57 50 03 EC 55 05 50 55 53 48 4D 85 12
+76 57 00 15 F8 57 04 50 4F 50 4D 00 85 12 76 57
+00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -334,5 +334,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 94 46 02 46 02 46 02 46 02 46 02 46
-02 46 02 46 02 46 02 46 02 46 02 46 02 46 22 51
+02 46 02 46 02 46 02 46 02 46 02 46 02 46 1A 51
 q
index 6f81690..166b30f 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 12 00 00 00 F8 00 F9 FF 10 58 08 50 33 01
-10 00 81 86 B4 45 AA 44 B6 45 8A 45 80 46 10 58
-08 50 6E 46 90 47 FC 46 D8 46 3C 1D 5E 48 D4 44
-E2 44 EE 44 20 00 0A 00 26 4C 40 4C 00 00 00 00
+40 1F 12 00 00 00 F8 00 F9 FF F8 57 F0 4F 34 01
+10 00 41 87 B6 45 AA 44 B8 45 8C 45 82 46 F8 57
+F0 4F 70 46 80 47 FE 46 DA 46 3C 1D 4E 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 B6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 B8 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -17,76 +17,75 @@ C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
 8F 4A 02 00 8F 49 00 00 0E 48 30 41 82 4E C0 1D
 B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
-02 00 CB 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+02 00 CC 3C 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
 30 4D 2F 83 8F 4E 00 00 3E 41 2E 4E 30 4D 2F 83
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
-B2 49 6C 46 B2 49 8E 47 B2 49 FA 46 B2 49 D6 46
+B2 49 6E 46 B2 49 7E 47 B2 49 FC 46 B2 49 D8 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D4 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D 68 43 B0 12 B8 45 B0 12 F8 44
-0A 44 DE 1D DE 47 14 47 48 47 34 44 26 4C 14 44
-05 1B 5B 37 6D 40 5A 47 0A 44 02 18 DE 47 D4 48
-A6 47 34 44 7C 45 14 44 0F 4C 41 53 54 2E 34 54
-48 2C 20 6C 69 6E 65 20 5A 47 9E 48 5A 47 14 44
-04 1B 5B 30 6D 00 5A 47 26 4C 2E 93 13 28 B2 D0
-C0 07 40 06 18 42 02 18 08 11 38 D0 00 04 82 48
-54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2 6A 06
-92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27 C2 48
-4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57 49 50
-45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C 44 00
-B0 12 B4 45 E2 B3 00 02 02 20 B2 43 08 18 B2 40
-04 A5 20 01 CC 45 04 57 41 52 4D 00 B0 12 8A 45
-78 40 03 00 B0 12 B8 45 84 12 14 44 07 0D 0A 1B
-5B 37 6D 40 5A 47 0A 44 02 18 DE 47 D4 48 0A 44
-23 00 F8 46 D4 48 14 44 19 46 61 73 74 46 6F 72
-74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 5A 47 0A 44 40 FF 28 44 D2 47 9E 48 14 44
-0A 62 79 74 65 73 20 66 72 65 65 00 3A 44 7C 45
-00 00 06 41 43 43 45 50 54 00 30 40 6E 46 0A 4E
-2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15 C0 3E
-21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B9 22 3A 17
-92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24 48 9C
-06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F 0E 9A
-EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12 C2 45
-82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C 00 00
-03 4B 45 59 30 40 D8 46 2F 83 8F 4E 00 00 58 43
-B0 12 B8 45 92 B3 6C 06 FD 27 1E 42 4C 06 30 4D
-00 00 04 45 4D 49 54 00 30 40 FC 46 08 4E 3E 4F
-A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F2 46 04 45
-43 48 4F 00 B2 40 C2 48 06 47 82 43 DE 1D 38 40
-05 00 B0 12 B8 45 30 4D 00 00 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 06 47 92 43 DE 1D 28 42 F1 3F
-2A 47 04 4F 56 45 52 00 8F 4E FE FF 2E 4F 2F 83
-30 4D 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
-3D 40 76 47 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
-02 00 10 42 FA 46 78 47 2D 83 1E 83 F3 23 3D 41
-2F 53 3E 4F 30 4D DA 45 02 43 52 00 30 40 90 47
-0D 12 84 12 14 44 02 0D 0A 00 5A 47 5E 48 2F 83
+B2 49 DC 1D 3D 41 30 40 BC 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D 68 43 B0 12 BA 45 0E 12 B0 12
+F8 44 0A 44 DE 1D CE 47 16 47 EE 44 34 44 8A 45
+14 44 05 1B 5B 37 6D 40 4A 47 0A 44 02 18 CE 47
+C4 48 96 47 34 44 7E 45 14 44 0F 4C 41 53 54 2E
+34 54 48 2C 20 6C 69 6E 65 20 4A 47 8E 48 4A 47
+14 44 04 1B 5B 30 6D 00 4A 47 16 4C 2E 93 13 28
+B2 D0 C0 07 40 06 18 42 02 18 08 11 38 D0 00 04
+82 48 54 06 F2 D0 C0 00 0C 02 92 C3 40 06 A2 D2
+6A 06 92 C3 30 01 30 41 48 43 A2 B3 6C 06 FD 27
+C2 48 4E 06 A2 B2 6C 06 FD 27 30 41 00 00 04 57
+49 50 45 00 B2 43 08 18 04 3C 00 00 04 43 4F 4C
+44 00 B0 12 B6 45 E2 B3 00 02 02 20 B2 43 08 18
+B2 40 04 A5 20 01 CE 45 04 57 41 52 4D 00 B0 12
+8C 45 78 40 03 00 B0 12 BA 45 84 12 14 44 07 0D
+0A 1B 5B 37 6D 40 4A 47 0A 44 02 18 CE 47 C4 48
+0A 44 23 00 FA 46 C4 48 14 44 19 46 61 73 74 46
+6F 72 74 68 20 C2 A9 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 4A 47 0A 44 40 FF 28 44 C2 47 8E 48
+14 44 0A 62 79 74 65 73 20 66 72 65 65 00 3A 44
+7E 45 00 00 06 41 43 43 45 50 54 00 30 40 70 46
+0A 4E 2E 4F 0A 5E 3B 40 0A 00 3C 40 20 00 3D 15
+BF 3E 21 52 A2 C2 6C 06 B2 B0 10 00 40 06 B8 22
+3A 17 92 B3 6C 06 FD 27 58 42 4C 06 48 9B 0E 24
+48 9C 06 2C 78 92 F5 23 2E 9F F3 27 1E 83 F1 3F
+0E 9A EF 27 CE 48 00 00 1E 53 EB 3F 3E 8F B0 12
+C4 45 82 93 DE 1D 02 24 92 53 DE 1D 08 4C 19 3C
+00 00 03 4B 45 59 30 40 DA 46 2F 83 8F 4E 00 00
+58 43 B0 12 BA 45 92 B3 6C 06 FD 27 1E 42 4C 06
+30 4D 00 00 04 45 4D 49 54 00 30 40 FE 46 08 4E
+3E 4F A2 B3 6C 06 FD 27 C2 48 4E 06 30 4D F4 46
+04 45 43 48 4F 00 B2 40 C2 48 08 47 82 43 DE 1D
+38 40 05 00 B0 12 BA 45 30 4D 00 00 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 08 47 92 43 DE 1D 28 42
+F1 3F 00 00 04 54 59 50 45 00 0E 93 11 24 0D 12
+3D 40 66 47 28 4F 2F 83 8F 4E 00 00 7E 48 8F 48
+02 00 10 42 FC 46 68 47 2D 83 1E 83 F3 23 3D 41
+2F 53 3E 4F 30 4D DC 45 02 43 52 00 30 40 80 47
+0D 12 84 12 14 44 02 0D 0A 00 4A 47 4E 48 2F 83
 8F 4E 00 00 30 4D 0E 93 FA 23 30 4D 8F 4E FE FF
 AF 4F FC FF 2F 82 30 4D 2A 4F 8F 4E 00 00 0E 4A
 30 4D 8F 4E FE FF 3E 40 80 1C 0E 8F 0E 11 2F 83
-30 4D 3E 8F 3E E3 1E 53 30 4D 62 46 01 40 2E 4E
-30 4D DC 47 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
+30 4D 3E 8F 3E E3 1E 53 30 4D 64 46 01 40 2E 4E
+30 4D CC 47 01 21 BE 4F 00 00 3E 4F 30 4D 1E 83
 0E 7E 30 4D 0E 5E 0E 7E 3E E3 30 4D 3E 8F 03 24
 3E 43 01 2C 0E F3 30 4D 00 00 02 3C 23 00 B2 40
-B2 1D B2 1D 30 4D 88 47 01 23 1B 42 DC 1D 2C 4F
+B2 1D B2 1D 30 4D 78 47 01 23 1B 42 DC 1D 2C 4F
 2F 83 B0 12 6E 44 BF 4F 00 00 7A 90 0A 00 02 28
 7A 50 07 00 7A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D 18 48 02 23 53 00 0D 12 84 12
-1A 48 54 48 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
-30 4D 48 48 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
+C8 4A 00 00 30 4D 08 48 02 23 53 00 0D 12 84 12
+0A 48 44 48 2D 83 09 93 E2 23 0E 93 E0 23 3D 41
+30 4D 38 48 02 23 3E 00 9F 42 B2 1D 00 00 3E 40
 B2 1D 2E 8F 30 4D 00 00 04 48 4F 4C 44 00 4A 4E
 3E 4F DA 3F 00 00 04 53 49 47 4E 00 0E 93 3E 4F
-7A 40 2D 00 D1 33 30 4D 54 47 02 55 2E 00 08 43
+7A 40 2D 00 D1 33 30 4D 44 47 02 55 2E 00 08 43
 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3 06 34
-BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 0E 48
-4C 48 EE 44 8C 48 68 48 5A 47 22 4C F8 46 5E 48
-42 47 01 2E 0E 93 E3 37 38 43 E2 3F 86 48 82 53
-22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44 58 4B
-0A 44 22 00 2A 49 F8 48 B2 40 20 00 B4 1D 6E 4E
-1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D D2 48
-82 2E 22 00 0D 12 84 12 E2 48 0A 44 5A 47 58 4B
-5E 48 F6 45 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12 FE 47
+3C 48 EE 44 7C 48 58 48 4A 47 02 4C FA 46 4E 48
+2C 47 01 2E 0E 93 E3 37 38 43 E2 3F 76 48 82 53
+22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44 48 4B
+0A 44 22 00 1A 49 E8 48 B2 40 20 00 B4 1D 6E 4E
+1E 53 1E B3 82 6E C6 1D 3E 4F 3D 41 30 4D C2 48
+82 2E 22 00 0D 12 84 12 D2 48 0A 44 4A 47 48 4B
+4E 48 F8 45 04 57 4F 52 44 00 3C 40 C0 1D 39 4C
 3A 4C 09 5A 3A 5C 28 4C 09 9A 1D 24 7E 9A FC 27
 1A 83 3B 40 60 00 15 42 B4 1D FA 90 27 00 00 00
 01 20 05 43 C8 4C 00 00 09 9A 0B 24 7C 4A 4E 9C
@@ -105,12 +104,12 @@ FA 23 19 B3 09 63 0C 49 CE 93 00 00 1E 43 01 30
 0F 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 8F 4C 00 00 8F 48 02 00 8F 49 04 00 30 4D 32 C0
-00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 AC 4A 09 43
+00 02 1B 42 DC 1D 0C 43 2D 15 3D 40 9C 4A 09 43
 08 43 3F 82 8F 4E 06 00 0C 4E 7E 4C FC 90 27 00
 00 00 07 20 5C 4C 01 00 8F 4C 04 00 7E 90 03 00
 48 3C 6A 4C 7A 80 2D 00 04 28 BD 23 B1 43 02 00
 0A 3C 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 7A 53 36 20 1C 53 1E 83 EB 3F AE 4A 31 24
+10 00 7A 53 36 20 1C 53 1E 83 EB 3F 9E 4A 31 24
 2D 83 7A 90 28 00 C1 27 32 B0 00 02 2A 20 32 D0
 00 02 7A 90 F7 00 B9 27 7A 90 F5 00 22 20 0A 4E
 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 79 80
@@ -122,216 +121,216 @@ E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E3 23
 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 3E 4F 30 4D E4 47 05 41 4C 4C 4F 54
-82 5E C6 1D 3E 4F 30 4D 56 4B 87 4C 49 54 45 52
+A2 53 C6 1D 3E 4F 30 4D 46 4B 87 4C 49 54 45 52
 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D A2 52
 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F 32 B0
 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F 30 4D
-64 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 78 48 09 49 4E 54 45 52 50 52
-45 54 0D 12 84 12 AC 44 22 4C 2A 49 DE 4B 94 26
-3D 40 E6 4B D6 3E E8 4B 0A 4E 3E 4F 3D 40 02 4C
-2E 27 3D 40 D8 4B 1A E2 BE 1D AE 27 0E 12 3E 4F
-30 41 04 4C 3E 4F 3D 40 D8 4B BB 23 DE 53 00 00
-68 4E 08 5E F8 40 3F 00 00 00 3D 40 94 4D CC 3F
-0C 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8C 47 BC 44
-D2 4B C2 47 F4 47 14 44 0C 73 74 61 63 6B 20 65
-6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44 FC 47
+54 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 68 48 09 49 4E 54 45 52 50 52
+45 54 0D 12 84 12 AC 44 02 4C 1A 49 BE 4B 9C 26
+3D 40 C6 4B DE 3E C8 4B 0A 4E 3E 4F 3D 40 E2 4B
+36 27 3D 40 B8 4B 1A E2 BE 1D B6 27 0E 12 3E 4F
+30 41 E4 4B 3E 4F 3D 40 B8 4B BB 23 DE 53 00 00
+68 4E 08 5E F8 40 3F 00 00 00 3D 40 84 4D CC 3F
+EC 4B 86 12 20 00 D4 47 05 41 4C 4C 4F 54 82 5E
+C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 84 12 7C 47 BC 44
+B2 4B B2 47 E4 47 14 44 0C 73 74 61 63 6B 20 65
+6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44 EC 47
 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00 2A 45
-3A 44 3C 4C 6A 4B 86 41 42 4F 52 54 22 00 0D 12
-84 12 E2 48 0A 44 2A 45 58 4B 5E 48 8C 49 01 27
-0D 12 84 12 22 4C 2A 49 92 49 34 44 20 4C 5E 48
-00 00 83 5B 27 5D 0D 12 84 12 90 4C 0A 44 0A 44
-58 4B 58 4B 5E 48 A2 4C 81 5B 82 43 BE 1D 30 4D
-0A 48 01 5D B2 43 BE 1D 30 4D C2 4C 81 5C 92 42
+3A 44 2C 4C 08 4C 86 41 42 4F 52 54 22 00 0D 12
+84 12 D2 48 0A 44 2A 45 48 4B 4E 48 7C 49 01 27
+0D 12 84 12 02 4C 1A 49 82 49 34 44 00 4C 4E 48
+00 00 83 5B 27 5D 0D 12 84 12 80 4C 0A 44 0A 44
+48 4B 48 4B 4E 48 92 4C 81 5B 82 43 BE 1D 30 4D
+FA 47 01 5D B2 43 BE 1D 30 4D B2 4C 81 5C 92 42
 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50 4F 4E
-45 00 0D 12 84 12 22 4C 2A 49 92 49 A6 47 34 44
-20 4C F4 47 34 44 04 4D 0A 44 0A 44 58 4B 58 4B
-0A 44 58 4B 58 4B 5E 48 B8 4C 01 3A 30 12 54 4D
-92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 22 4C 2A 49
-22 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+45 00 0D 12 84 12 02 4C 1A 49 82 49 96 47 34 44
+00 4C E4 47 34 44 F4 4C 0A 44 0A 44 48 4B 48 4B
+0A 44 48 4B 48 4B 4E 48 A8 4C 01 3A 30 12 44 4D
+92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 02 4C 1A 49
+12 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48 B6 1D
 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52 82 4A
 C6 1D 30 41 BA 40 0D 12 FC FF BA 40 84 12 FE FF
 B2 43 BE 1D 30 4D 82 9F BC 1D 09 20 18 42 B6 1D
 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0D 12
 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 36 45 0A 4D 81 3B 82 93 BE 1D 97 27
-0D 12 84 12 0A 44 5E 48 58 4B 66 4D BA 4C 5E 48
-C8 4B 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
-F8 D0 80 00 00 00 30 4D B2 4B 06 43 52 45 41 54
-45 00 B0 12 10 4D BA 40 86 12 FC FF 8A 4A FE FF
-C9 3F CA 4D 04 43 4F 44 45 00 B0 12 10 4D A2 82
-C6 1D 0D 12 84 12 0A 50 E4 4F 5E 48 00 00 07 45
-4E 44 43 4F 44 45 0D 12 84 12 66 4D 24 50 5E 48
-76 4C 03 41 53 4D B2 40 E8 4F DA 1D E6 3F FE 4D
-06 45 4E 44 41 53 4D 00 0D 12 84 12 06 4E 42 50
-5E 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D BA 40
-0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D B2 43
-BE 1D 30 40 24 50 00 00 05 4C 4F 32 48 49 A2 83
-C6 1D 1A 42 C6 1D EE 3F B2 4D 85 48 49 32 4C 4F
-0D 12 84 12 28 44 B2 4F 58 4B BA 4C F2 4D 5E 48
-98 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E 38 4F
-3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30 1C 83
-04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F 30 4D
-2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F 82 4E
-86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44 00 00
-D6 47 22 4C 2A 49 B8 4B 9E 47 34 44 1A 4F AC 47
-14 44 06 5B 54 48 45 4E 5D 00 8C 4E F4 4E B0 4E
-D2 4E 5E 48 AC 47 14 44 06 5B 45 4C 53 45 5D 00
-8C 4E 0A 4F B0 4E D0 4E 5E 48 14 44 04 5B 49 46
-5D 00 8C 4E D2 4E 3A 44 D0 4E 80 47 14 44 05 0D
-0A 6B 6F 20 5A 47 BC 44 AC 44 3A 44 D2 4E C0 4E
-84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D 2F 53
-30 4D 30 4F 89 5B 44 45 46 49 4E 45 44 5D 0D 12
-84 12 22 4C 2A 49 92 49 3E 4F 5E 48 44 4F 8B 5B
-55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12 4E 4F
-EE 47 5E 48 76 4F B2 4E 0A 18 2E 53 BE 12 3E 4F
-3D 41 90 3C 7A 4B 06 4D 41 52 4B 45 52 00 B0 12
-10 4D BA 40 85 12 FC FF BA 40 74 4F FE FF 28 83
-8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00 C6 1D
-DD 3E 2E 53 30 4D 0A 44 CA 1D E6 47 5E 48 85 12
-B6 4F 12 4E E4 4D 0E 47 8E 4C 6A 4E D0 46 86 4F
-10 49 AE 50 C2 50 9A 48 24 49 00 00 5E 4F CC 4C
-F2 49 00 00 85 12 B6 4F 86 56 EC 56 2E 56 3C 57
-F4 55 00 00 C0 53 00 00 04 58 E8 57 58 56 96 56
-D0 54 00 00 00 00 58 57 E2 4F 3A 40 0C 00 39 40
-D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48 29 53
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43 CC 1D
-30 4D 92 42 CA 1D DA 1D 30 4D BE 4F 3C 50 42 50
-52 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E C6 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D8 4C 09 50 57 52 5F 53 54 41
-54 45 85 12 4A 50 10 58 DE 48 09 52 53 54 5F 53
-54 41 54 45 92 42 0A 18 96 50 F3 3F 88 50 08 50
-57 52 5F 48 45 52 45 00 92 42 C6 1D 96 50 30 4D
-9A 50 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
-0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37 0E 93
-D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23 B9 40
-20 51 FE FF 29 83 B9 40 E0 45 FE FF 39 90 AE FF
-F9 23 39 40 14 18 B2 49 E2 45 B2 49 FA 44 B2 49
-02 44 B2 49 FE 45 B2 49 EC FF B2 49 0A 18 C2 3F
-B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40 80 5A
-5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08 29 83
-89 43 00 1C FC 23 B2 D3 06 02 B2 40 FE FF 02 02
-B2 43 26 02 B2 43 22 02 B2 43 46 02 B2 43 42 02
-B2 43 66 02 B2 43 62 02 B2 43 86 02 B2 40 7F FF
-82 02 F2 43 26 03 F2 43 22 03 F2 40 A5 00 61 01
-82 43 66 01 B2 40 33 00 64 01 D2 43 61 01 39 40
-40 00 18 42 00 18 18 83 FE 23 19 83 FA 23 B2 42
-B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A2 04 1E 42
-08 18 82 43 08 18 1E D2 9E 01 B0 12 F8 44 FC 45
-38 40 C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D
-09 9E 03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A
-C4 1D 30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2A 49
-92 49 EE 47 34 44 00 52 4E 4A 34 44 1A 52 14 52
-02 52 3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E
-01 3C 2E 83 21 52 1B 17 30 41 1C 52 B2 41 C4 1D
-3E 41 84 12 0A 44 2B 00 2A 49 92 49 EE 47 34 44
-38 52 4E 4A 34 44 20 4C B8 47 2A 49 4E 4A 34 44
-20 4C 44 52 3E 5F E7 3F 3E 40 28 00 B0 12 E4 51
-19 42 C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00
-92 92 C0 1D C4 1D 02 20 30 40 7E 4D 1C 15 12 12
-C4 1D 92 53 C4 1D 84 12 2A 49 4E 4A 34 44 8C 52
-82 52 21 53 3E 90 10 00 C6 2B 7F 2D 8E 52 B2 41
-C4 1D C1 3F 0D 12 84 12 22 4C C0 51 9E 52 0C 43
-1B 42 C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00
-27 20 92 53 C4 1D B0 12 E4 51 3C 40 00 03 0E 93
-1C 24 3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93
-14 24 3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92
-0C 24 3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42
-C6 1D A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-7A 90 26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12
-E4 51 ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53
-C4 1D B0 12 6C 52 0C 20 3C 50 10 00 3E 40 2B 00
-B0 12 6C 52 92 92 C0 1D C4 1D 02 24 92 53 C4 1D
-8E 10 0C 5E DA 3F B0 12 6C 52 FA 23 3C 50 10 00
-B0 12 48 52 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D
-0D 12 84 12 22 4C C0 51 6A 53 FE 90 26 00 00 00
-3E 40 20 00 03 20 3C 50 82 00 C7 3F B0 12 6C 52
-E0 23 3C 50 80 00 B0 12 48 52 DB 3F 00 00 04 52
-45 54 49 00 0D 12 84 12 0A 44 00 13 58 4B 5E 48
-0A 44 2C 00 94 52 60 53 AA 53 09 4B 2E 4E 0E DC
-A2 3F 58 4E 03 4D 4F 56 85 12 A0 53 00 40 B4 53
-05 4D 4F 56 2E 42 85 12 A0 53 40 40 00 00 03 41
-44 44 85 12 A0 53 00 50 CE 53 05 41 44 44 2E 42
-85 12 A0 53 40 50 DA 53 04 41 44 44 43 00 85 12
-A0 53 00 60 E8 53 06 41 44 44 43 2E 42 00 85 12
-A0 53 40 60 8E 53 04 53 55 42 43 00 85 12 A0 53
-00 70 06 54 06 53 55 42 43 2E 42 00 85 12 A0 53
-40 70 14 54 03 53 55 42 85 12 A0 53 00 80 24 54
-05 53 55 42 2E 42 85 12 A0 53 40 80 34 4E 03 43
-4D 50 85 12 A0 53 00 90 3E 54 05 43 4D 50 2E 42
-85 12 A0 53 40 90 20 4E 04 44 41 44 44 00 85 12
-A0 53 00 A0 58 54 06 44 41 44 44 2E 42 00 85 12
-A0 53 40 A0 4A 54 03 42 49 54 85 12 A0 53 00 B0
-76 54 05 42 49 54 2E 42 85 12 A0 53 40 B0 82 54
-03 42 49 43 85 12 A0 53 00 C0 90 54 05 42 49 43
-2E 42 85 12 A0 53 40 C0 9C 54 03 42 49 53 85 12
-A0 53 00 D0 AA 54 05 42 49 53 2E 42 85 12 A0 53
-40 D0 00 00 03 58 4F 52 85 12 A0 53 00 E0 C4 54
-05 58 4F 52 2E 42 85 12 A0 53 40 E0 F6 53 03 41
-4E 44 85 12 A0 53 00 F0 DE 54 05 41 4E 44 2E 42
-85 12 A0 53 40 F0 22 4C 94 52 FC 54 0A 4C 3C F0
-70 00 8A 10 3A F0 0F 00 0C DA 4F 3F 30 54 03 52
-52 43 85 12 F6 54 00 10 0E 55 05 52 52 43 2E 42
-85 12 F6 54 40 10 1A 55 04 53 57 50 42 00 85 12
-F6 54 80 10 28 55 03 52 52 41 85 12 F6 54 00 11
-36 55 05 52 52 41 2E 42 85 12 F6 54 40 11 42 55
-03 53 58 54 85 12 F6 54 80 11 00 00 04 50 55 53
-48 00 85 12 F6 54 00 12 5C 55 06 50 55 53 48 2E
-42 00 85 12 F6 54 40 12 B6 54 04 43 41 4C 4C 00
-85 12 F6 54 80 12 1A 53 0E 4A 0D 12 84 12 D4 48
-14 44 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-36 45 50 55 03 53 3E 3D 86 12 00 38 A4 55 02 53
-3C 00 86 12 00 34 6A 55 03 30 3E 3D 86 12 00 30
-B8 55 02 30 3C 00 86 12 00 30 00 00 02 55 3C 00
-86 12 00 2C CC 55 03 55 3E 3D 86 12 00 28 C2 55
-03 30 3C 3E 86 12 00 24 E0 55 02 30 3D 00 86 12
-00 20 00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00
-A2 53 C6 1D 0E 4A 30 4D D6 55 04 54 48 45 4E 00
-1A 42 C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 B1 2F 88 DA 00 00 30 4D 66 54 04 45
-4C 53 45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53
-C6 1D 2F 83 8F 4A 00 00 E3 3F 7A 55 05 42 45 47
-49 4E 30 40 28 44 0A 56 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90
-00 FE 8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C6 1D 30 4D EA 54 05 41 47 41 49 4E 0A 4E 38 40
-00 3C E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12
-F8 55 B8 47 5E 48 AE 55 06 52 45 50 45 41 54 00
-0D 12 84 12 8C 56 10 56 5E 48 BC 56 3D 41 08 4E
-3E 4F 2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00
-30 4D 4C 56 03 42 57 31 85 12 BA 56 00 00 D4 56
-03 42 57 32 85 12 BA 56 00 00 E0 56 03 42 57 33
-85 12 BA 56 00 00 F8 56 3D 41 1A 42 C6 1D 28 4E
-B2 92 C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A
-00 00 3E 4F 30 4D 00 00 03 46 57 31 85 12 F6 56
-00 00 18 57 03 46 57 32 85 12 F6 56 00 00 24 57
-03 46 57 33 85 12 F6 56 00 00 30 57 04 47 4F 54
-4F 00 2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12
-90 4C FC 4B 5E 48 00 00 05 3F 47 4F 54 4F 3E 90
-00 30 F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0
-00 08 EC 3F 22 4C C0 51 7A 57 92 53 C4 1D 3E 40
-2C 00 84 12 2A 49 4E 4A 34 44 20 4C 56 53 90 57
-0A 4E 3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C
-59 0A 01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E
-AB 3E 2A 92 E8 2E 8A 10 5A 06 A6 3E A8 56 04 52
-52 43 4D 00 85 12 74 57 50 00 BE 57 04 52 52 41
-4D 00 85 12 74 57 50 01 CC 57 04 52 4C 41 4D 00
-85 12 74 57 50 02 DA 57 04 52 52 55 4D 00 85 12
-74 57 50 03 EA 55 05 50 55 53 48 4D 85 12 74 57
-00 15 F6 57 04 50 4F 50 4D 00 85 12 74 57 00 17
+74 63 68 21 36 45 FA 4C 81 3B 82 93 BE 1D 97 27
+0D 12 84 12 0A 44 4E 48 48 4B 56 4D AA 4C 4E 48
+A8 4B 09 49 4D 4D 45 44 49 41 54 45 18 42 B6 1D
+F8 D0 80 00 00 00 30 4D 92 4B 06 43 52 45 41 54
+45 00 B0 12 00 4D BA 40 86 12 FC FF 8A 4A FE FF
+C9 3F BA 4D 04 43 4F 44 45 00 B0 12 00 4D A2 82
+C6 1D 0D 12 84 12 F2 4F CC 4F 4E 48 A2 4D 07 48
+44 4E 43 4F 44 45 B2 40 D0 4F DA 1D EE 3F 00 00
+07 45 4E 44 43 4F 44 45 0D 12 84 12 56 4D 0C 50
+2A 50 4E 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
+BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
+B2 43 BE 1D 0D 12 84 12 0C 50 2A 50 4E 48 00 00
+05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D EB 3F
+EE 4D 85 48 49 32 4C 4F 0D 12 84 12 28 44 9A 4F
+48 4B AA 4C E2 4D 4E 48 88 4D 86 5B 54 48 45 4E
+5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98 FF FF
+F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00 F9 23
+2F 53 2D 53 F7 3F 6A 4E 86 5B 45 4C 53 45 5D 00
+0D 12 84 12 0A 44 00 00 C6 47 02 4C 1A 49 98 4B
+8E 47 34 44 02 4F 9C 47 14 44 06 5B 54 48 45 4E
+5D 00 74 4E DC 4E 98 4E BA 4E 4E 48 9C 47 14 44
+06 5B 45 4C 53 45 5D 00 74 4E F2 4E 98 4E B8 4E
+4E 48 14 44 04 5B 49 46 5D 00 74 4E BA 4E 3A 44
+B8 4E 70 47 14 44 05 0D 0A 6B 6F 20 4A 47 BC 44
+AC 44 3A 44 BA 4E A8 4E 84 5B 49 46 5D 00 0E 93
+3E 4F C6 27 30 4D 2F 53 30 4D 18 4F 89 5B 44 45
+46 49 4E 45 44 5D 0D 12 84 12 02 4C 1A 49 82 49
+26 4F 4E 48 2C 4F 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 0D 12 84 12 36 4F DE 47 4E 48 5E 4F B2 4E
+0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 5A 4B 06 4D
+41 52 4B 45 52 00 B0 12 00 4D BA 40 85 12 FC FF
+BA 40 5C 4F FE FF 28 83 8A 48 00 00 BA 40 AA 44
+04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D 0A 44
+CA 1D D6 47 4E 48 85 12 9E 4F 66 4C D4 4D 10 47
+7E 4C 52 4E D2 46 6E 4F 00 49 96 50 AA 50 8A 48
+14 49 00 00 46 4F BC 4C E2 49 00 00 85 12 9E 4F
+6E 56 D4 56 16 56 24 57 DC 55 00 00 A8 53 00 00
+EC 57 D0 57 40 56 7E 56 B8 54 00 00 00 00 40 57
+CA 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40 0E 00
+38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53 1A 83
+FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D DA 1D
+30 4D A6 4F 24 50 2A 50 3A 50 1A 42 20 18 82 4A
+C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D C8 4C
+09 50 57 52 5F 53 54 41 54 45 85 12 32 50 F8 57
+CE 48 09 52 53 54 5F 53 54 41 54 45 92 42 0A 18
+7E 50 F3 3F 70 50 08 50 57 52 5F 48 45 52 45 00
+92 42 C6 1D 7E 50 30 4D 82 50 08 52 53 54 5F 48
+45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90 0E 00
+DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00 29 83
+B9 43 80 FF FC 23 B9 40 08 51 FE FF 29 83 B9 40
+E2 45 FE FF 39 90 AE FF F9 23 39 40 14 18 B2 49
+E4 45 B2 49 FA 44 B2 49 02 44 B2 49 00 46 B2 49
+EC FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01 B2 D0
+10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C 3F 40
+80 1C 39 40 00 08 29 83 89 43 00 1C FC 23 B2 D3
+06 02 B2 40 FE FF 02 02 B2 43 26 02 B2 43 22 02
+B2 43 46 02 B2 43 42 02 B2 43 66 02 B2 43 62 02
+B2 43 86 02 B2 40 7F FF 82 02 F2 43 26 03 F2 43
+22 03 F2 40 A5 00 61 01 82 43 66 01 B2 40 33 00
+64 01 D2 43 61 01 39 40 40 00 18 42 00 18 18 83
+FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03
+F2 C0 40 00 A2 04 1E 42 08 18 82 43 08 18 1E D2
+9E 01 B0 12 F8 44 FE 45 38 40 C0 1D 0A 4E 39 48
+2E 48 09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27
+1E 83 0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12
+12 12 C4 1D 84 12 1A 49 82 49 DE 47 34 44 E8 51
+3E 4A 34 44 02 52 FC 51 EA 51 3C 4E 3C 80 87 12
+05 24 1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17
+30 41 04 52 B2 41 C4 1D 3E 41 84 12 0A 44 2B 00
+1A 49 82 49 DE 47 34 44 20 52 3E 4A 34 44 00 4C
+A8 47 1A 49 3E 4A 34 44 00 4C 2C 52 3E 5F E7 3F
+3E 40 28 00 B0 12 CC 51 19 42 C6 1D A2 53 C6 1D
+89 4E 00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20
+30 40 6E 4D 1C 15 12 12 C4 1D 92 53 C4 1D 84 12
+1A 49 3E 4A 34 44 74 52 6A 52 21 53 3E 90 10 00
+C6 2B 7F 2D 76 52 B2 41 C4 1D C1 3F 0D 12 84 12
+02 4C A8 51 86 52 0C 43 1B 42 C6 1D A2 53 C6 1D
+6A 4E 3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12
+CC 51 3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93
+18 24 3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92
+10 24 3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93
+08 24 3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E
+00 00 3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40
+10 02 92 53 C4 1D B0 12 CC 51 ED 3F 7A 90 40 00
+16 20 3C 40 20 00 92 53 C4 1D B0 12 54 52 0C 20
+3C 50 10 00 3E 40 2B 00 B0 12 54 52 92 92 C0 1D
+C4 1D 02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12
+54 52 FA 23 3C 50 10 00 B0 12 30 52 EF 3F 0C 43
+1B 42 C6 1D A2 53 C6 1D 0D 12 84 12 02 4C A8 51
+52 53 FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50
+82 00 C7 3F B0 12 54 52 E0 23 3C 50 80 00 B0 12
+30 52 DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12
+0A 44 00 13 48 4B 4E 48 0A 44 2C 00 7C 52 48 53
+92 53 09 4B 2E 4E 0E DC A2 3F 40 4E 03 4D 4F 56
+85 12 88 53 00 40 9C 53 05 4D 4F 56 2E 42 85 12
+88 53 40 40 00 00 03 41 44 44 85 12 88 53 00 50
+B6 53 05 41 44 44 2E 42 85 12 88 53 40 50 C2 53
+04 41 44 44 43 00 85 12 88 53 00 60 D0 53 06 41
+44 44 43 2E 42 00 85 12 88 53 40 60 76 53 04 53
+55 42 43 00 85 12 88 53 00 70 EE 53 06 53 55 42
+43 2E 42 00 85 12 88 53 40 70 FC 53 03 53 55 42
+85 12 88 53 00 80 0C 54 05 53 55 42 2E 42 85 12
+88 53 40 80 16 4E 03 43 4D 50 85 12 88 53 00 90
+26 54 05 43 4D 50 2E 42 85 12 88 53 40 90 00 4E
+04 44 41 44 44 00 85 12 88 53 00 A0 40 54 06 44
+41 44 44 2E 42 00 85 12 88 53 40 A0 32 54 03 42
+49 54 85 12 88 53 00 B0 5E 54 05 42 49 54 2E 42
+85 12 88 53 40 B0 6A 54 03 42 49 43 85 12 88 53
+00 C0 78 54 05 42 49 43 2E 42 85 12 88 53 40 C0
+84 54 03 42 49 53 85 12 88 53 00 D0 92 54 05 42
+49 53 2E 42 85 12 88 53 40 D0 00 00 03 58 4F 52
+85 12 88 53 00 E0 AC 54 05 58 4F 52 2E 42 85 12
+88 53 40 E0 DE 53 03 41 4E 44 85 12 88 53 00 F0
+C6 54 05 41 4E 44 2E 42 85 12 88 53 40 F0 02 4C
+7C 52 E4 54 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00
+0C DA 4F 3F 18 54 03 52 52 43 85 12 DE 54 00 10
+F6 54 05 52 52 43 2E 42 85 12 DE 54 40 10 02 55
+04 53 57 50 42 00 85 12 DE 54 80 10 10 55 03 52
+52 41 85 12 DE 54 00 11 1E 55 05 52 52 41 2E 42
+85 12 DE 54 40 11 2A 55 03 53 58 54 85 12 DE 54
+80 11 00 00 04 50 55 53 48 00 85 12 DE 54 00 12
+44 55 06 50 55 53 48 2E 42 00 85 12 DE 54 40 12
+9E 54 04 43 41 4C 4C 00 85 12 DE 54 80 12 1A 53
+0E 4A 0D 12 84 12 C4 48 14 44 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 36 45 38 55 03 53 3E 3D
+86 12 00 38 8C 55 02 53 3C 00 86 12 00 34 52 55
+03 30 3E 3D 86 12 00 30 A0 55 02 30 3C 00 86 12
+00 30 00 00 02 55 3C 00 86 12 00 2C B4 55 03 55
+3E 3D 86 12 00 28 AA 55 03 30 3C 3E 86 12 00 24
+C8 55 02 30 3D 00 86 12 00 20 00 00 02 49 46 00
+1A 42 C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D
+BE 55 04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA
+00 00 30 4D 4E 54 04 45 4C 53 45 00 1A 42 C6 1D
+BA 40 00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00
+E3 3F 62 55 05 42 45 47 49 4E 30 40 28 44 F2 55
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D
+2A 83 0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C6 1D 30 4D D2 54 05 41
+47 41 49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57
+48 49 4C 45 0D 12 84 12 E0 55 A8 47 4E 48 96 55
+06 52 45 50 45 41 54 00 0D 12 84 12 74 56 F8 55
+4E 48 A4 56 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D
+CB 2F 98 42 C6 1D 00 00 30 4D 34 56 03 42 57 31
+85 12 A2 56 00 00 BC 56 03 42 57 32 85 12 A2 56
+00 00 C8 56 03 42 57 33 85 12 A2 56 00 00 E0 56
+3D 41 1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F
+00 00 A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00
+03 46 57 31 85 12 DE 56 00 00 00 57 03 46 57 32
+85 12 DE 56 00 00 0C 57 03 46 57 33 85 12 DE 56
+00 00 18 57 04 47 4F 54 4F 00 2F 83 8F 4E 00 00
+3E 40 00 3C 0D 12 84 12 80 4C DC 4B 4E 48 00 00
+05 3F 47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04
+3E B0 00 10 EF 27 3E E0 00 08 EC 3F 02 4C A8 51
+62 57 92 53 C4 1D 3E 40 2C 00 84 12 1A 49 3E 4A
+34 44 00 4C 3E 53 78 57 0A 4E 3E 4F 1A 83 F7 32
+29 4E 59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A
+38 90 10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10
+5A 06 A6 3E 90 56 04 52 52 43 4D 00 85 12 5C 57
+50 00 A6 57 04 52 52 41 4D 00 85 12 5C 57 50 01
+B4 57 04 52 4C 41 4D 00 85 12 5C 57 50 02 C2 57
+04 52 52 55 4D 00 85 12 5C 57 50 03 D2 55 05 50
+55 53 48 4D 85 12 5C 57 00 15 DE 57 04 50 4F 50
+4D 00 85 12 5C 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
-77 00 10 00 12 00 14 00 16 00 00 00 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 80 46 E0 45
-E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 E0 45 20 51
+77 00 10 00 12 00 14 00 16 00 00 00 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 82 46 E2 45
+E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 E2 45 08 51
 q
index 7da5d02..868f118 100644 (file)
@@ -1,10 +1,10 @@
 @1800
-40 1F 04 00 51 55 18 00 F9 FF 16 58 0A 50 33 01
-10 00 81 B6 94 45 AA 44 C6 45 9C 45 94 46 16 58
-0A 50 7A 46 92 47 24 47 FE 46 3C 1D 60 48 D4 44
-E2 44 EE 44 20 00 0A 00 28 4C 42 4C 00 00 00 00
+40 1F 04 00 51 55 18 00 F9 FF 0E 58 02 50 34 01
+10 00 41 B3 94 45 AA 44 DA 45 9C 45 94 46 0E 58
+02 50 7A 46 92 47 24 47 FE 46 3C 1D 60 48 D4 44
+E2 44 EE 44 20 00 0A 00 00 00 00 00 00 00 00 00
 @4400
-B0 12 C6 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
+B0 12 DA 45 12 D2 06 18 FB 3F 2F 83 8F 4E 00 00
 3E 4D 30 4D 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
 0D 5E 1D B3 0D 63 30 4D 2F 83 8F 4E 00 00 1E 42
 C6 1D 30 4D 0E 93 3E 4F 11 20 2D 4D 30 4D 39 40
@@ -22,8 +22,8 @@ B2 4F C2 1D 82 43 C4 1D 3E 4F 30 4D 3F 80 06 00
 8F 4E 00 00 3E 41 30 4D B0 12 AA 44 39 40 22 18
 B2 49 78 46 B2 49 90 47 B2 49 22 47 B2 49 FC 46
 B2 49 CA 44 34 49 35 49 36 49 37 49 B2 49 B4 1D
-B2 49 DC 1D 3D 41 30 40 D6 50 8F 93 02 00 03 20
-2F 52 3E 4F 30 4D B0 12 C6 45 92 C3 FC 05 18 42
+B2 49 DC 1D 3D 41 30 40 CE 50 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B0 12 DA 45 92 C3 FC 05 18 42
 00 18 39 40 41 00 19 83 FE 23 18 83 FA 23 92 B3
 FC 05 F3 23 B0 12 F8 44 0A 44 DE 1D E0 47 32 47
 14 44 04 1B 5B 37 6D 00 5C 47 A8 47 34 44 86 45
@@ -52,7 +52,7 @@ C2 48 EE 05 30 4D C8 46 2D 83 92 B3 FC 05 E4 23
 FC 3F 3E 8F 3D 41 B2 40 18 00 06 18 92 B3 FC 05
 FD 27 58 42 EC 05 82 93 DE 1D 02 24 92 53 DE 1D
 08 4C E3 3F 00 00 03 4B 45 59 30 40 FE 46 2F 83
-8F 4E 00 00 B0 12 C6 45 92 B3 FC 05 FD 27 1E 42
+8F 4E 00 00 B0 12 DA 45 92 B3 FC 05 FD 27 1E 42
 EC 05 B0 12 C8 45 30 4D 00 00 04 45 4D 49 54 00
 30 40 24 47 08 4E 3E 4F C8 3F 1A 47 04 45 43 48
 4F 00 B2 40 C2 48 C0 46 82 43 DE 1D 30 4D 00 00
@@ -80,7 +80,7 @@ B2 1D C8 4A 00 00 30 4D 1A 48 02 23 53 00 0D 12
 3E 4F 7A 40 2D 00 D1 33 30 4D 56 47 02 55 2E 00
 08 43 2F 83 8F 4E 00 00 0E 48 0D 12 0E 12 3E F3
 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 84 12
-10 48 4E 48 EE 44 8E 48 6A 48 5C 47 24 4C 20 47
+10 48 4E 48 EE 44 8E 48 6A 48 5C 47 14 4C 20 47
 60 48 40 47 01 2E 0E 93 E3 37 38 43 E2 3F 88 48
 82 53 22 00 82 43 B4 1D 0D 12 84 12 0A 44 14 44
 5A 4B 0A 44 22 00 2C 49 FA 48 B2 40 20 00 B4 1D
@@ -122,34 +122,34 @@ B0 12 66 44 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
 01 20 2F 53 30 4D 00 00 01 2C 1A 42 C6 1D 8A 4E
-00 00 A2 53 C6 1D 3E 4F 30 4D E6 47 05 41 4C 4C
-4F 54 82 5E C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
+00 00 A2 53 C6 1D 3E 4F 30 4D 58 4B 87 4C 49 54
 45 52 41 4C 82 93 BE 1D 0D 24 09 4E 1A 42 C6 1D
 A2 52 C6 1D BA 40 0A 44 00 00 8A 49 02 00 3E 4F
 32 B0 00 02 32 C0 00 02 03 24 8A 4E 02 00 EE 3F
 30 4D 66 48 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E
 00 00 5E 4E FF FF 30 4D 7A 48 09 49 4E 54 45 52
-50 52 45 54 0D 12 84 12 AC 44 24 4C 2C 49 E0 4B
-94 26 3D 40 E8 4B D6 3E EA 4B 0A 4E 3E 4F 3D 40
-04 4C 2E 27 3D 40 DA 4B 1A E2 BE 1D AE 27 0E 12
-3E 4F 30 41 06 4C 3E 4F 3D 40 DA 4B BB 23 DE 53
+50 52 45 54 0D 12 84 12 AC 44 14 4C 2C 49 D0 4B
+9C 26 3D 40 D8 4B DE 3E DA 4B 0A 4E 3E 4F 3D 40
+F4 4B 36 27 3D 40 CA 4B 1A E2 BE 1D B6 27 0E 12
+3E 4F 30 41 F6 4B 3E 4F 3D 40 CA 4B BB 23 DE 53
 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 96 4D
-CC 3F 0E 4C 86 12 20 00 3F 40 80 1C 0E 43 31 40
+CC 3F FE 4B 86 12 20 00 E6 47 05 41 4C 4C 4F 54
+82 5E C6 1D 3E 4F 30 4D 3F 40 80 1C 0E 43 31 40
 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 84 12 8E 47
-BC 44 D4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
+BC 44 C4 4B C4 47 F6 47 14 44 0C 73 74 61 63 6B
 20 65 6D 70 74 79 21 00 2A 45 0A 44 40 FF 28 44
 FE 47 14 44 0A 46 52 41 4D 20 66 75 6C 6C 21 00
-2A 45 3A 44 3E 4C 6C 4B 86 41 42 4F 52 54 22 00
+2A 45 3A 44 3E 4C 1A 4C 86 41 42 4F 52 54 22 00
 0D 12 84 12 E4 48 0A 44 2A 45 5A 4B 60 48 8E 49
-01 27 0D 12 84 12 24 4C 2C 49 94 49 34 44 22 4C
+01 27 0D 12 84 12 14 4C 2C 49 94 49 34 44 12 4C
 60 48 00 00 83 5B 27 5D 0D 12 84 12 92 4C 0A 44
 0A 44 5A 4B 5A 4B 60 48 A4 4C 81 5B 82 43 BE 1D
 30 4D 0C 48 01 5D B2 43 BE 1D 30 4D C4 4C 81 5C
 92 42 C0 1D C4 1D 30 4D 00 00 88 50 4F 53 54 50
-4F 4E 45 00 0D 12 84 12 24 4C 2C 49 94 49 A8 47
-34 44 22 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
+4F 4E 45 00 0D 12 84 12 14 4C 2C 49 94 49 A8 47
+34 44 12 4C F6 47 34 44 06 4D 0A 44 0A 44 5A 4B
 5A 4B 0A 44 5A 4B 5A 4B 60 48 BA 4C 01 3A 30 12
-56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 24 4C
+56 4D 92 B3 C6 1D A2 63 C6 1D 0D 12 84 12 14 4C
 2C 49 24 4D 3D 41 08 4E 7A 4E 5A D3 5A 53 0A 58
 19 42 DA 1D 6E 4E 3E F0 1E 00 09 5E 3E 4F 82 48
 B6 1D 82 49 B8 1D 82 4A BA 1D 82 4F BC 1D 2A 52
@@ -159,173 +159,172 @@ B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
 0D 12 84 12 14 44 0F 73 74 61 63 6B 20 6D 69 73
 6D 61 74 63 68 21 36 45 0C 4D 81 3B 82 93 BE 1D
 97 27 0D 12 84 12 0A 44 60 48 5A 4B 68 4D BC 4C
-60 48 CA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
-B6 1D F8 D0 80 00 00 00 30 4D B4 4B 06 43 52 45
+60 48 BA 4B 09 49 4D 4D 45 44 49 41 54 45 18 42
+B6 1D F8 D0 80 00 00 00 30 4D A4 4B 06 43 52 45
 41 54 45 00 B0 12 12 4D BA 40 86 12 FC FF 8A 4A
 FE FF C9 3F CC 4D 04 43 4F 44 45 00 B0 12 12 4D
-A2 82 C6 1D 0D 12 84 12 0C 50 E6 4F 60 48 00 00
-07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D 26 50
-60 48 78 4C 03 41 53 4D B2 40 EA 4F DA 1D E6 3F
-00 4E 06 45 4E 44 41 53 4D 00 0D 12 84 12 08 4E
-44 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42 C6 1D
-BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52 C6 1D
-B2 43 BE 1D 30 40 26 50 00 00 05 4C 4F 32 48 49
-A2 83 C6 1D 1A 42 C6 1D EE 3F B4 4D 85 48 49 32
-4C 4F 0D 12 84 12 28 44 B4 4F 5A 4B BC 4C F4 4D
-60 48 9A 4D 86 5B 54 48 45 4E 5D 00 30 4D 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 10 24 1B 83 06 30
-1C 83 04 30 19 53 F9 98 FF FF F5 27 2D 4D 3E 4F
-30 4D 2F 53 9F 83 00 00 F9 23 2F 53 2D 53 F7 3F
-84 4E 86 5B 45 4C 53 45 5D 00 0D 12 84 12 0A 44
-00 00 D8 47 24 4C 2C 49 BA 4B A0 47 34 44 1C 4F
-AE 47 14 44 06 5B 54 48 45 4E 5D 00 8E 4E F6 4E
-B2 4E D4 4E 60 48 AE 47 14 44 06 5B 45 4C 53 45
-5D 00 8E 4E 0C 4F B2 4E D2 4E 60 48 14 44 04 5B
-49 46 5D 00 8E 4E D4 4E 3A 44 D2 4E 82 47 14 44
-05 0D 0A 6B 6F 20 5C 47 BC 44 AC 44 3A 44 D4 4E
-C2 4E 84 5B 49 46 5D 00 0E 93 3E 4F C6 27 30 4D
-2F 53 30 4D 32 4F 89 5B 44 45 46 49 4E 45 44 5D
-0D 12 84 12 24 4C 2C 49 94 49 40 4F 60 48 46 4F
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 0D 12 84 12
-50 4F F0 47 60 48 78 4F B2 4E 0A 18 2E 53 BE 12
-3E 4F 3D 41 90 3C 7C 4B 06 4D 41 52 4B 45 52 00
-B0 12 12 4D BA 40 85 12 FC FF BA 40 76 4F FE FF
-28 83 8A 48 00 00 BA 40 AA 44 04 00 B2 50 06 00
-C6 1D DD 3E 2E 53 30 4D 0A 44 CA 1D E8 47 60 48
-85 12 B8 4F 14 4E E6 4D 2C 47 90 4C 6C 4E F6 46
-88 4F 12 49 B0 50 C4 50 9C 48 26 49 00 00 60 4F
-CE 4C F4 49 00 00 85 12 B8 4F 8C 56 F2 56 34 56
-42 57 FA 55 00 00 C6 53 00 00 0A 58 EE 57 5E 56
-9C 56 D6 54 00 00 00 00 5E 57 E4 4F 3A 40 0C 00
-39 40 D6 1D 08 49 28 53 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 3A 40 0E 00 38 40 CA 1D 09 48
-29 53 F8 49 00 00 18 53 1A 83 FB 23 30 4D 82 43
-CC 1D 30 4D 92 42 CA 1D DA 1D 30 4D C0 4F 3E 50
-44 50 54 50 1A 42 20 18 82 4A C8 1D 2E 4E 82 4E
-C6 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D DA 4C 09 50 57 52 5F 53
-54 41 54 45 85 12 4C 50 16 58 E0 48 09 52 53 54
-5F 53 54 41 54 45 92 42 0A 18 98 50 F3 3F 8A 50
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D 98 50
-30 4D 9C 50 08 52 53 54 5F 48 45 52 45 00 92 42
-C6 1D 0A 18 F2 3F 3E 90 0E 00 DC 27 2E 92 E3 37
-0E 93 D8 37 39 40 10 00 29 83 B9 43 80 FF FC 23
-B9 40 22 51 FE FF 29 83 B9 40 02 46 FE FF 39 90
-AE FF F9 23 39 40 14 18 B2 49 04 46 B2 49 FA 44
-B2 49 02 44 B2 49 20 46 B2 49 E4 FF B2 49 0A 18
-C2 3F B2 D0 03 00 04 01 B2 D0 10 00 00 01 B2 40
-80 5A 5C 01 31 40 E0 1C 3F 40 80 1C 39 40 00 08
-29 83 89 43 00 1C FC 23 B2 D3 06 02 B2 40 FE FF
-02 02 B2 43 26 02 B2 43 22 02 D2 D3 24 02 B2 43
-46 02 B2 43 42 02 B2 43 66 02 B2 43 62 02 B2 43
-86 02 B2 40 7F FF 82 02 F2 43 26 03 F2 43 22 03
-F2 40 A5 00 61 01 82 43 66 01 B2 40 33 00 64 01
-D2 43 61 01 39 40 40 00 18 42 00 18 18 83 FE 23
-19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A2 04 1E 42 08 18 82 43 08 18 1E D2 9E 01
-B0 12 F8 44 1E 46 38 40 C0 1D 0A 4E 39 48 2E 48
-09 5E 1E 52 C4 1D 09 9E 03 24 7A 9E FC 27 1E 83
-0A 4E 2A 88 82 4A C4 1D 30 4D 1C 15 0E 12 12 12
-C4 1D 84 12 2C 49 94 49 F0 47 34 44 06 52 50 4A
-34 44 20 52 1A 52 08 52 3C 4E 3C 80 87 12 05 24
-1C 53 02 20 2E 4E 01 3C 2E 83 21 52 1B 17 30 41
-22 52 B2 41 C4 1D 3E 41 84 12 0A 44 2B 00 2C 49
-94 49 F0 47 34 44 3E 52 50 4A 34 44 22 4C BA 47
-2C 49 50 4A 34 44 22 4C 4A 52 3E 5F E7 3F 3E 40
-28 00 B0 12 EA 51 19 42 C6 1D A2 53 C6 1D 89 4E
-00 00 3E 40 29 00 92 92 C0 1D C4 1D 02 20 30 40
-80 4D 1C 15 12 12 C4 1D 92 53 C4 1D 84 12 2C 49
-50 4A 34 44 92 52 88 52 21 53 3E 90 10 00 C6 2B
-7F 2D 94 52 B2 41 C4 1D C1 3F 0D 12 84 12 24 4C
-C6 51 A4 52 0C 43 1B 42 C6 1D A2 53 C6 1D 6A 4E
-3E 4F 7A 90 23 00 27 20 92 53 C4 1D B0 12 EA 51
-3C 40 00 03 0E 93 1C 24 3C 40 10 03 1E 93 18 24
-3C 40 20 03 2E 93 14 24 3C 40 20 02 2E 92 10 24
-3C 40 30 02 3E 92 0C 24 3C 40 30 03 3E 93 08 24
-3C 40 30 00 19 42 C6 1D A2 53 C6 1D 89 4E 00 00
-3E 4F 3D 41 30 4D 7A 90 26 00 07 20 3C 40 10 02
-92 53 C4 1D B0 12 EA 51 ED 3F 7A 90 40 00 16 20
-3C 40 20 00 92 53 C4 1D B0 12 72 52 0C 20 3C 50
-10 00 3E 40 2B 00 B0 12 72 52 92 92 C0 1D C4 1D
-02 24 92 53 C4 1D 8E 10 0C 5E DA 3F B0 12 72 52
-FA 23 3C 50 10 00 B0 12 4E 52 EF 3F 0C 43 1B 42
-C6 1D A2 53 C6 1D 0D 12 84 12 24 4C C6 51 70 53
-FE 90 26 00 00 00 3E 40 20 00 03 20 3C 50 82 00
-C7 3F B0 12 72 52 E0 23 3C 50 80 00 B0 12 4E 52
-DB 3F 00 00 04 52 45 54 49 00 0D 12 84 12 0A 44
-00 13 5A 4B 60 48 0A 44 2C 00 9A 52 66 53 B0 53
-09 4B 2E 4E 0E DC A2 3F 5A 4E 03 4D 4F 56 85 12
-A6 53 00 40 BA 53 05 4D 4F 56 2E 42 85 12 A6 53
-40 40 00 00 03 41 44 44 85 12 A6 53 00 50 D4 53
-05 41 44 44 2E 42 85 12 A6 53 40 50 E0 53 04 41
-44 44 43 00 85 12 A6 53 00 60 EE 53 06 41 44 44
-43 2E 42 00 85 12 A6 53 40 60 94 53 04 53 55 42
-43 00 85 12 A6 53 00 70 0C 54 06 53 55 42 43 2E
-42 00 85 12 A6 53 40 70 1A 54 03 53 55 42 85 12
-A6 53 00 80 2A 54 05 53 55 42 2E 42 85 12 A6 53
-40 80 36 4E 03 43 4D 50 85 12 A6 53 00 90 44 54
-05 43 4D 50 2E 42 85 12 A6 53 40 90 22 4E 04 44
-41 44 44 00 85 12 A6 53 00 A0 5E 54 06 44 41 44
-44 2E 42 00 85 12 A6 53 40 A0 50 54 03 42 49 54
-85 12 A6 53 00 B0 7C 54 05 42 49 54 2E 42 85 12
-A6 53 40 B0 88 54 03 42 49 43 85 12 A6 53 00 C0
-96 54 05 42 49 43 2E 42 85 12 A6 53 40 C0 A2 54
-03 42 49 53 85 12 A6 53 00 D0 B0 54 05 42 49 53
-2E 42 85 12 A6 53 40 D0 00 00 03 58 4F 52 85 12
-A6 53 00 E0 CA 54 05 58 4F 52 2E 42 85 12 A6 53
-40 E0 FC 53 03 41 4E 44 85 12 A6 53 00 F0 E4 54
-05 41 4E 44 2E 42 85 12 A6 53 40 F0 24 4C 9A 52
-02 55 0A 4C 3C F0 70 00 8A 10 3A F0 0F 00 0C DA
-4F 3F 36 54 03 52 52 43 85 12 FC 54 00 10 14 55
-05 52 52 43 2E 42 85 12 FC 54 40 10 20 55 04 53
-57 50 42 00 85 12 FC 54 80 10 2E 55 03 52 52 41
-85 12 FC 54 00 11 3C 55 05 52 52 41 2E 42 85 12
-FC 54 40 11 48 55 03 53 58 54 85 12 FC 54 80 11
-00 00 04 50 55 53 48 00 85 12 FC 54 00 12 62 55
-06 50 55 53 48 2E 42 00 85 12 FC 54 40 12 BC 54
-04 43 41 4C 4C 00 85 12 FC 54 80 12 1A 53 0E 4A
-0D 12 84 12 D6 48 14 44 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 36 45 56 55 03 53 3E 3D 86 12
-00 38 AA 55 02 53 3C 00 86 12 00 34 70 55 03 30
-3E 3D 86 12 00 30 BE 55 02 30 3C 00 86 12 00 30
-00 00 02 55 3C 00 86 12 00 2C D2 55 03 55 3E 3D
-86 12 00 28 C8 55 03 30 3C 3E 86 12 00 24 E6 55
-02 30 3D 00 86 12 00 20 00 00 02 49 46 00 1A 42
-C6 1D 8A 4E 00 00 A2 53 C6 1D 0E 4A 30 4D DC 55
-04 54 48 45 4E 00 1A 42 C6 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 B1 2F 88 DA 00 00
-30 4D 6C 54 04 45 4C 53 45 00 1A 42 C6 1D BA 40
-00 3C 00 00 A2 53 C6 1D 2F 83 8F 4A 00 00 E3 3F
-80 55 05 42 45 47 49 4E 30 40 28 44 10 56 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C6 1D 2A 83
-0A 89 0A 11 3A 90 00 FE 8A 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 C6 1D 30 4D F0 54 05 41 47 41
-49 4E 0A 4E 38 40 00 3C E7 3F 00 00 05 57 48 49
-4C 45 0D 12 84 12 FE 55 BA 47 60 48 B4 55 06 52
-45 50 45 41 54 00 0D 12 84 12 92 56 16 56 60 48
-C2 56 3D 41 08 4E 3E 4F 2A 48 B2 92 C4 1D CB 2F
-98 42 C6 1D 00 00 30 4D 52 56 03 42 57 31 85 12
-C0 56 00 00 DA 56 03 42 57 32 85 12 C0 56 00 00
-E6 56 03 42 57 33 85 12 C0 56 00 00 FE 56 3D 41
-1A 42 C6 1D 28 4E B2 92 C4 1D 88 2B BA 4F 00 00
-A2 53 C6 1D 8E 4A 00 00 3E 4F 30 4D 00 00 03 46
-57 31 85 12 FC 56 00 00 1E 57 03 46 57 32 85 12
-FC 56 00 00 2A 57 03 46 57 33 85 12 FC 56 00 00
-36 57 04 47 4F 54 4F 00 2F 83 8F 4E 00 00 3E 40
-00 3C 0D 12 84 12 92 4C FE 4B 60 48 00 00 05 3F
-47 4F 54 4F 3E 90 00 30 F4 27 3E E0 00 04 3E B0
-00 10 EF 27 3E E0 00 08 EC 3F 24 4C C6 51 80 57
-92 53 C4 1D 3E 40 2C 00 84 12 2C 49 50 4A 34 44
-22 4C 5C 53 96 57 0A 4E 3E 4F 1A 83 F7 32 29 4E
-59 0E 0A 28 08 4C 59 0A 01 28 0C 8A 08 8A 38 90
-10 00 EC 2E 5A 0E AB 3E 2A 92 E8 2E 8A 10 5A 06
-A6 3E AE 56 04 52 52 43 4D 00 85 12 7A 57 50 00
-C4 57 04 52 52 41 4D 00 85 12 7A 57 50 01 D2 57
-04 52 4C 41 4D 00 85 12 7A 57 50 02 E0 57 04 52
-52 55 4D 00 85 12 7A 57 50 03 F0 55 05 50 55 53
-48 4D 85 12 7A 57 00 15 FC 57 04 50 4F 50 4D 00
-85 12 7A 57 00 17
+A2 82 C6 1D 0D 12 84 12 04 50 DE 4F 60 48 B4 4D
+07 48 44 4E 43 4F 44 45 B2 40 E2 4F DA 1D EE 3F
+00 00 07 45 4E 44 43 4F 44 45 0D 12 84 12 68 4D
+1E 50 3C 50 60 48 00 00 05 43 4F 4C 4F 4E 1A 42
+C6 1D BA 40 0D 12 00 00 BA 40 84 12 02 00 A2 52
+C6 1D B2 43 BE 1D 0D 12 84 12 1E 50 3C 50 60 48
+00 00 05 4C 4F 32 48 49 A2 83 C6 1D 1A 42 C6 1D
+EB 3F 00 4E 85 48 49 32 4C 4F 0D 12 84 12 28 44
+AC 4F 5A 4B BC 4C F4 4D 60 48 9A 4D 86 5B 54 48
+45 4E 5D 00 30 4D 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 10 24 1B 83 06 30 1C 83 04 30 19 53 F9 98
+FF FF F5 27 2D 4D 3E 4F 30 4D 2F 53 9F 83 00 00
+F9 23 2F 53 2D 53 F7 3F 7C 4E 86 5B 45 4C 53 45
+5D 00 0D 12 84 12 0A 44 00 00 D8 47 14 4C 2C 49
+AA 4B A0 47 34 44 14 4F AE 47 14 44 06 5B 54 48
+45 4E 5D 00 86 4E EE 4E AA 4E CC 4E 60 48 AE 47
+14 44 06 5B 45 4C 53 45 5D 00 86 4E 04 4F AA 4E
+CA 4E 60 48 14 44 04 5B 49 46 5D 00 86 4E CC 4E
+3A 44 CA 4E 82 47 14 44 05 0D 0A 6B 6F 20 5C 47
+BC 44 AC 44 3A 44 CC 4E BA 4E 84 5B 49 46 5D 00
+0E 93 3E 4F C6 27 30 4D 2F 53 30 4D 2A 4F 89 5B
+44 45 46 49 4E 45 44 5D 0D 12 84 12 14 4C 2C 49
+94 49 38 4F 60 48 3E 4F 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 0D 12 84 12 48 4F F0 47 60 48 70 4F
+B2 4E 0A 18 2E 53 BE 12 3E 4F 3D 41 90 3C 6C 4B
+06 4D 41 52 4B 45 52 00 B0 12 12 4D BA 40 85 12
+FC FF BA 40 6E 4F FE FF 28 83 8A 48 00 00 BA 40
+AA 44 04 00 B2 50 06 00 C6 1D E1 3E 2E 53 30 4D
+0A 44 CA 1D E8 47 60 48 85 12 B0 4F 78 4C E6 4D
+2C 47 90 4C 64 4E F6 46 80 4F 12 49 A8 50 BC 50
+9C 48 26 49 00 00 58 4F CE 4C F4 49 00 00 85 12
+B0 4F 84 56 EA 56 2C 56 3A 57 F2 55 00 00 BE 53
+00 00 02 58 E6 57 56 56 94 56 CE 54 00 00 00 00
+56 57 DC 4F 3A 40 0C 00 39 40 D6 1D 08 49 28 53
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 3A 40
+0E 00 38 40 CA 1D 09 48 29 53 F8 49 00 00 18 53
+1A 83 FB 23 30 4D 82 43 CC 1D 30 4D 92 42 CA 1D
+DA 1D 30 4D B8 4F 36 50 3C 50 4C 50 1A 42 20 18
+82 4A C8 1D 2E 4E 82 4E C6 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+DA 4C 09 50 57 52 5F 53 54 41 54 45 85 12 44 50
+0E 58 E0 48 09 52 53 54 5F 53 54 41 54 45 92 42
+0A 18 90 50 F3 3F 82 50 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D 90 50 30 4D 94 50 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0A 18 F2 3F 3E 90
+0E 00 DC 27 2E 92 E3 37 0E 93 D8 37 39 40 10 00
+29 83 B9 43 80 FF FC 23 B9 40 1A 51 FE FF 29 83
+B9 40 02 46 FE FF 39 90 AE FF F9 23 39 40 14 18
+B2 49 04 46 B2 49 FA 44 B2 49 02 44 B2 49 20 46
+B2 49 E4 FF B2 49 0A 18 C2 3F B2 D0 03 00 04 01
+B2 D0 10 00 00 01 B2 40 80 5A 5C 01 31 40 E0 1C
+3F 40 80 1C 39 40 00 08 29 83 89 43 00 1C FC 23
+B2 D3 06 02 B2 40 FE FF 02 02 B2 43 26 02 B2 43
+22 02 D2 D3 24 02 B2 43 46 02 B2 43 42 02 B2 43
+66 02 B2 43 62 02 B2 43 86 02 B2 40 7F FF 82 02
+F2 43 26 03 F2 43 22 03 F2 40 A5 00 61 01 82 43
+66 01 B2 40 33 00 64 01 D2 43 61 01 39 40 40 00
+18 42 00 18 18 83 FE 23 19 83 FA 23 B2 42 B0 01
+F2 D0 10 00 2A 03 F2 C0 40 00 A2 04 1E 42 08 18
+82 43 08 18 1E D2 9E 01 B0 12 F8 44 1E 46 38 40
+C0 1D 0A 4E 39 48 2E 48 09 5E 1E 52 C4 1D 09 9E
+03 24 7A 9E FC 27 1E 83 0A 4E 2A 88 82 4A C4 1D
+30 4D 1C 15 0E 12 12 12 C4 1D 84 12 2C 49 94 49
+F0 47 34 44 FE 51 50 4A 34 44 18 52 12 52 00 52
+3C 4E 3C 80 87 12 05 24 1C 53 02 20 2E 4E 01 3C
+2E 83 21 52 1B 17 30 41 1A 52 B2 41 C4 1D 3E 41
+84 12 0A 44 2B 00 2C 49 94 49 F0 47 34 44 36 52
+50 4A 34 44 12 4C BA 47 2C 49 50 4A 34 44 12 4C
+42 52 3E 5F E7 3F 3E 40 28 00 B0 12 E2 51 19 42
+C6 1D A2 53 C6 1D 89 4E 00 00 3E 40 29 00 92 92
+C0 1D C4 1D 02 20 30 40 80 4D 1C 15 12 12 C4 1D
+92 53 C4 1D 84 12 2C 49 50 4A 34 44 8A 52 80 52
+21 53 3E 90 10 00 C6 2B 7F 2D 8C 52 B2 41 C4 1D
+C1 3F 0D 12 84 12 14 4C BE 51 9C 52 0C 43 1B 42
+C6 1D A2 53 C6 1D 6A 4E 3E 4F 7A 90 23 00 27 20
+92 53 C4 1D B0 12 E2 51 3C 40 00 03 0E 93 1C 24
+3C 40 10 03 1E 93 18 24 3C 40 20 03 2E 93 14 24
+3C 40 20 02 2E 92 10 24 3C 40 30 02 3E 92 0C 24
+3C 40 30 03 3E 93 08 24 3C 40 30 00 19 42 C6 1D
+A2 53 C6 1D 89 4E 00 00 3E 4F 3D 41 30 4D 7A 90
+26 00 07 20 3C 40 10 02 92 53 C4 1D B0 12 E2 51
+ED 3F 7A 90 40 00 16 20 3C 40 20 00 92 53 C4 1D
+B0 12 6A 52 0C 20 3C 50 10 00 3E 40 2B 00 B0 12
+6A 52 92 92 C0 1D C4 1D 02 24 92 53 C4 1D 8E 10
+0C 5E DA 3F B0 12 6A 52 FA 23 3C 50 10 00 B0 12
+46 52 EF 3F 0C 43 1B 42 C6 1D A2 53 C6 1D 0D 12
+84 12 14 4C BE 51 68 53 FE 90 26 00 00 00 3E 40
+20 00 03 20 3C 50 82 00 C7 3F B0 12 6A 52 E0 23
+3C 50 80 00 B0 12 46 52 DB 3F 00 00 04 52 45 54
+49 00 0D 12 84 12 0A 44 00 13 5A 4B 60 48 0A 44
+2C 00 92 52 5E 53 A8 53 09 4B 2E 4E 0E DC A2 3F
+52 4E 03 4D 4F 56 85 12 9E 53 00 40 B2 53 05 4D
+4F 56 2E 42 85 12 9E 53 40 40 00 00 03 41 44 44
+85 12 9E 53 00 50 CC 53 05 41 44 44 2E 42 85 12
+9E 53 40 50 D8 53 04 41 44 44 43 00 85 12 9E 53
+00 60 E6 53 06 41 44 44 43 2E 42 00 85 12 9E 53
+40 60 8C 53 04 53 55 42 43 00 85 12 9E 53 00 70
+04 54 06 53 55 42 43 2E 42 00 85 12 9E 53 40 70
+12 54 03 53 55 42 85 12 9E 53 00 80 22 54 05 53
+55 42 2E 42 85 12 9E 53 40 80 28 4E 03 43 4D 50
+85 12 9E 53 00 90 3C 54 05 43 4D 50 2E 42 85 12
+9E 53 40 90 12 4E 04 44 41 44 44 00 85 12 9E 53
+00 A0 56 54 06 44 41 44 44 2E 42 00 85 12 9E 53
+40 A0 48 54 03 42 49 54 85 12 9E 53 00 B0 74 54
+05 42 49 54 2E 42 85 12 9E 53 40 B0 80 54 03 42
+49 43 85 12 9E 53 00 C0 8E 54 05 42 49 43 2E 42
+85 12 9E 53 40 C0 9A 54 03 42 49 53 85 12 9E 53
+00 D0 A8 54 05 42 49 53 2E 42 85 12 9E 53 40 D0
+00 00 03 58 4F 52 85 12 9E 53 00 E0 C2 54 05 58
+4F 52 2E 42 85 12 9E 53 40 E0 F4 53 03 41 4E 44
+85 12 9E 53 00 F0 DC 54 05 41 4E 44 2E 42 85 12
+9E 53 40 F0 14 4C 92 52 FA 54 0A 4C 3C F0 70 00
+8A 10 3A F0 0F 00 0C DA 4F 3F 2E 54 03 52 52 43
+85 12 F4 54 00 10 0C 55 05 52 52 43 2E 42 85 12
+F4 54 40 10 18 55 04 53 57 50 42 00 85 12 F4 54
+80 10 26 55 03 52 52 41 85 12 F4 54 00 11 34 55
+05 52 52 41 2E 42 85 12 F4 54 40 11 40 55 03 53
+58 54 85 12 F4 54 80 11 00 00 04 50 55 53 48 00
+85 12 F4 54 00 12 5A 55 06 50 55 53 48 2E 42 00
+85 12 F4 54 40 12 B4 54 04 43 41 4C 4C 00 85 12
+F4 54 80 12 1A 53 0E 4A 0D 12 84 12 D6 48 14 44
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 36 45
+4E 55 03 53 3E 3D 86 12 00 38 A2 55 02 53 3C 00
+86 12 00 34 68 55 03 30 3E 3D 86 12 00 30 B6 55
+02 30 3C 00 86 12 00 30 00 00 02 55 3C 00 86 12
+00 2C CA 55 03 55 3E 3D 86 12 00 28 C0 55 03 30
+3C 3E 86 12 00 24 DE 55 02 30 3D 00 86 12 00 20
+00 00 02 49 46 00 1A 42 C6 1D 8A 4E 00 00 A2 53
+C6 1D 0E 4A 30 4D D4 55 04 54 48 45 4E 00 1A 42
+C6 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 B1 2F 88 DA 00 00 30 4D 64 54 04 45 4C 53
+45 00 1A 42 C6 1D BA 40 00 3C 00 00 A2 53 C6 1D
+2F 83 8F 4A 00 00 E3 3F 78 55 05 42 45 47 49 4E
+30 40 28 44 08 56 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C6 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+8A 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C6 1D
+30 4D E8 54 05 41 47 41 49 4E 0A 4E 38 40 00 3C
+E7 3F 00 00 05 57 48 49 4C 45 0D 12 84 12 F6 55
+BA 47 60 48 AC 55 06 52 45 50 45 41 54 00 0D 12
+84 12 8A 56 0E 56 60 48 BA 56 3D 41 08 4E 3E 4F
+2A 48 B2 92 C4 1D CB 2F 98 42 C6 1D 00 00 30 4D
+4A 56 03 42 57 31 85 12 B8 56 00 00 D2 56 03 42
+57 32 85 12 B8 56 00 00 DE 56 03 42 57 33 85 12
+B8 56 00 00 F6 56 3D 41 1A 42 C6 1D 28 4E B2 92
+C4 1D 88 2B BA 4F 00 00 A2 53 C6 1D 8E 4A 00 00
+3E 4F 30 4D 00 00 03 46 57 31 85 12 F4 56 00 00
+16 57 03 46 57 32 85 12 F4 56 00 00 22 57 03 46
+57 33 85 12 F4 56 00 00 2E 57 04 47 4F 54 4F 00
+2F 83 8F 4E 00 00 3E 40 00 3C 0D 12 84 12 92 4C
+EE 4B 60 48 00 00 05 3F 47 4F 54 4F 3E 90 00 30
+F4 27 3E E0 00 04 3E B0 00 10 EF 27 3E E0 00 08
+EC 3F 14 4C BE 51 78 57 92 53 C4 1D 3E 40 2C 00
+84 12 2C 49 50 4A 34 44 12 4C 54 53 8E 57 0A 4E
+3E 4F 1A 83 F7 32 29 4E 59 0E 0A 28 08 4C 59 0A
+01 28 0C 8A 08 8A 38 90 10 00 EC 2E 5A 0E AB 3E
+2A 92 E8 2E 8A 10 5A 06 A6 3E A6 56 04 52 52 43
+4D 00 85 12 72 57 50 00 BC 57 04 52 52 41 4D 00
+85 12 72 57 50 01 CA 57 04 52 4C 41 4D 00 85 12
+72 57 50 02 D8 57 04 52 52 55 4D 00 85 12 72 57
+50 03 E8 55 05 50 55 53 48 4D 85 12 72 57 00 15
+F4 57 04 50 4F 50 4D 00 85 12 72 57 00 17
 @FF80
 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -334,5 +333,5 @@ FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 02 46 02 46 02 46 02 46 02 46 02 46
 02 46 02 46 94 46 02 46 02 46 02 46 02 46 02 46
-02 46 02 46 02 46 02 46 02 46 02 46 02 46 22 51
+02 46 02 46 02 46 02 46 02 46 02 46 02 46 1A 51
 q
index a9dec4e..46ce45e 100644 (file)
@@ -36,6 +36,6 @@
 @set PortCOM=%2
 @if 1%PortCOM% == 1 CALL %~d1\config\Select.bat SelectPortCOM
 
-@%~d1\prog\BSL-Scripter.exe -g -q -i [INVOKE,%PortCOM%,UART,9600,PARITY] -n FRxx -e ERASE_ALL -z
-@%~d1\prog\BSL-Scripter.exe -g -i [INVOKE,%PortCOM%,UART,9600,PARITY] -n FRxx -j FAST -b %~d1\binaries\pass32_default.txt -w %~d1\binaries\%~n1.txt -z
-@pause
\ No newline at end of file
+@%~d1\prog\BSL-Scripter.exe --log --quiet --initComm [INVOKE,%PortCOM%,UART,9600,PARITY] --device FRxx --erase ERASE_ALL --exit [RESET]
+@%~d1\prog\BSL-Scripter.exe --log --initComm [INVOKE,%PortCOM%,UART,9600,PARITY] --device FRxx --speed FAST  --bslPwd %~d1\binaries\pass32_default.txt -w %~d1\binaries\%~n1.txt --exit [RESET]
+@pause
index 4783f94..f1c2af6 100644 (file)
@@ -2,7 +2,7 @@
 
 @call  %~d1\config\Select.bat SelectDevice %1
 @echo %device% programmation
-%~d1\prog\msp430flasher -s -m SBW2 -n %device% -v -w %~d1\binaries\%~n1.txt  -z [RESET,VCC]
+%~d1\prog\msp430flasher -s -m SBW2 -u -n %device% -v -w %~d1\binaries\%~n1.txt  -z [RESET,VCC]
 
 @exit
 
@@ -11,6 +11,7 @@
 :: %n1 = file filename (= target) to flash
 :: -s : force update
 :: -m : select SBW2 mode
+:: -u : Unlocks locked flash memory (INFOA) for writing.
 :: -n %device% : device set from %n1
 :: -v : verify device
 :: -w %~dpn1.txt : file to be flashed
index d4d1a3b..05dbc61 100644 (file)
@@ -1,3 +1,6 @@
+#!/bin/bash
+
+
 gema -nobackup -line -t '\r\n=\n'  -f  $FF/inc/$2.pat $1.f $FF/MSP430-FORTH/LAST.4th 
 gema -line -t '\n=\r\n'  $FF/MSP430-FORTH/LAST.4th $FF/MSP430-FORTH/LAST.4th
 rm $FF/MSP430-FORTH/LAST.4th.bak
index 7e2e7f2..1f5416f 100644 (file)
 @set PortCOM=COM%number%
 
 @exit /b
+
+
+:SelectBridge
+@echo select your bridge:
+@echo 1  USB to UART
+@echo 2  WIFI
+@set /p choice=your choice: 
+
+@if %choice% == 1    set bridge="C/ ECHO"
+@if %choice% == 2    set bridge="FastForth:3000 HALF"
+
+@exit /b
+
+
index 9a31a06..936d0a6 100644 (file)
@@ -1,6 +1,13 @@
-gema -nobackup -line -t '\r\n=\n'  -f  $FF/inc/$2.pat $1.f $FF/MSP430-FORTH/LAST.4th 
-gema -line -t '\n=\r\n'  $FF/MSP430-FORTH/LAST.4th $FF/MSP430-FORTH/LAST.4th
-rm $FF/MSP430-FORTH/LAST.4th.bak
+#!/bin/bash
+
+echo $1
+echo $2
+echo $3
+
+#gema -nobackup -line -t '\r\n=\n'  -f  $FF/inc/$2.pat $1.f $FF/MSP430-FORTH/$1.4th 
+gema -nobackup -line -t '\r\n=\n'  -f  $FF/inc/$2.pat $1.f $1.4th 
+gema -line -t '\n=\r\n'  $FF/MSP430-FORTH/$1.4th $FF/MSP430-FORTH/LAST.4th
+#rm $FF/MSP430-FORTH/$1.4th
 
 
 cat LAST.4th > /dev/ttyUSB0
index 76a816f..f270f93 100644 (file)
@@ -26,11 +26,12 @@ showtt 0            ; close teraterm windows
 sendln 'CODE ?ID';                              CODE ?ID
 sendln 'CMP #0,R14 0<> IF SUB &$1A04,R14 THEN'; CMP #0,TOS 0<> IF SUB &DEVICEID,TOS THEN
 sendln 'COLON ';                                COLON
-sendln '$1B EMIT $63 EMIT';                     $1B EMIT $63 EMIT   \ send 'ESC c' (clear screen)
+;sendln '$1B EMIT $63 EMIT';                     $1B EMIT $63 EMIT   \ send 'ESC c' (clear screen)
 sendln 'CR ABORT" Device'39's ID mismatch!" ' ; CR ABORT" Device's ID mismatch!"
 sendln 'PWR_STATE ;' ;                          PWR_STATE ;
 
-sendln param4 ' ?ID NOECHO'    ; send: %deviceID% ?ID
+;sendln param4 ' ?ID NOECHO'    ; send: %deviceID% ?ID
+sendln param4 ' ?ID ECHO'    ; send: %deviceID% ?ID
 
 sendln 'TERM2SD" ' inputstr '"'    ; send to FastForth the command TERM2SD" \file.4TH" ...with optionnal path added in inputbox
 
@@ -43,7 +44,7 @@ sendln #4               ; send ETX to ask TERM2SD" to close file
 uptime timeend  ; stops chrono...
 diff = timeend - timestart
 
-sendln 'ECHO'
+;sendln 'ECHO'
 
 showtt 1    ; display teraterm window
 
index ba2a207..9db7b78 100644 (file)
@@ -126,17 +126,18 @@ if PLAT_WIN
     command.5.*.asm=*\config\BSL_prog.bat $(CurrentSelection) $(3)
 
 if PLAT_GTK
-    # variable $FF = /home//DATA/CloudStation is defined in my ~.profile 
+    # variable $FF = /home/thoorens/CloudStation/projets/msp430 is defined in my ~.profile 
+    # open first scite in a terminal to import $FF
     command.name.0.*.asm=Assemble for 1:[target]
-    command.0.*.asm=*$HOME/Cloudstation/projets/msp430/config/build $(FileNameExt) $(1)$(2)
+    command.0.*.asm=*$FF/config/build $(FileNameExt) $(1)$(2)
     
     command.name.1.*.asm=FET Prog 1:[target]
-    command.1.*.asm=*$FF/msp430/config/prog $(1)$(2)
+    command.1.*.asm=*$FF/config/prog $(1)$(2)
     
     
     command.name.2.*.asm=Assemble for target CurrentSelection
-    command.2.*.asm=$FF/msp430/config/build $(FileNameExt) $(CurrentSelection)
+    command.2.*.asm=$FF/config/build $(FileNameExt) $(CurrentSelection)
     
     command.name.3.*.asm=FET Prog target CurrentSelection
-    command.3.*.asm=$FF/msp430/config/prog $(CurrentSelection)
+    command.3.*.asm=$FF/config/prog $(CurrentSelection)
 
index 219864e..d1a846e 100644 (file)
@@ -1,5 +1,9 @@
-asl -i $FF/inc -L  $1 -o $2.p
-p2hex $FF/binaries/$2.p -r 0000h-FFFFh
-srec_cat $FF/binaries/$2.hex -intel -output $FF/binaries/$2.txt -ti-text
+#asl -i $FF/inc -L  $(FilePath)$1 -o $FF/binaries/$2.p
+asl -i $FF/inc -L  $1 -o $FF/binaries/$2.p
+#p2hex -F Intel $FF/binaries/$2.p
+p2hex $FF/binaries/$2.p
+#srec_cat $FF/binaries/$2.hex -output $FF/binaries/$2.txt -Texas_Instruments_TeXT
+#srec_cat  -contradictory-bytes=warning $FF/binaries/$2.hex -intel -output  $FF/binaries/$2.txt -Texas_Instruments_TeXT 
+# rm $FF/binaries/$2.hex
 rm $FF/binaries/$2.p
-rm $FF/binaries/$2.hex
+
index 3cf4a56..e804e7e 100644 (file)
@@ -52,13 +52,13 @@ dup ?dup drop rot swap over @ ! 2@ 2! 2dup 2drop 2swap 2over nip r@ >r r> 2r@ 2>
 
 # defwords
 keywords3.$(file.patterns.forth)=\
-code endcode  :  ;  immediate ;noname codennm \
-lo2hi hi2lo colon asm endasm \
+code hdncode endcode  :  ;  immediate ;noname codennm \
+lo2hi hi2lo colon \
 [if] [else] [then] [undefined] [defined]
 
 # MSP430 assembly words & MSP430_instructions
 keywords4.$(file.patterns.forth)=\
-adc adc.b adc.w add add.b add.w addc addc.b addc.w and and.b and.w \
+    adc adc.b adc.w add add.b add.w addc addc.b addc.w and and.b and.w \
     bic bic.b bic.w bis bis.b bis.w bit bit.b bit.w br branch call clrc clrn clrz dint eint \
     clr clr.b clr.w cmp cmp.b cmp.w dadc dadc.b dadc.w dadd dadd.b dadd.w dec dec.b dec.w decd decd.b decd.w \
     inc inc.b inc.w incd incd.b incd.w inv inv.b inv.w mov mov.b mov.w pop pop.b pop.w push push.b push.w \
@@ -66,6 +66,12 @@ adc adc.b adc.w add add.b add.w addc addc.b addc.w and and.b and.w \
     rla rla.b rla.w rlc rlc.b rlc.w rra rra.b rra.w rrc rrc.b rrc.w sbc sbc.b sbc.w sub sub.b sub.w \
     subc subc.b subc.w sbb sbb.b sbb.w tst tst.b tst.w xor xor.b xor.w \
     pushm popm rlam rram rrcm rrum \
+# MSP430X_instructions
+    mova cmpa adda suba calla \
+    movx movx.a movx.b addx addx.a addx.b addcx addcx.a addcx.b subx subx.a subx.b subcx subcx.a subcx.b \
+    cmpx cmpx.a cmpx.b daddx daddx.a daddx.b bitx bitx.a bitx.b bicx bicx.a bicx.b bisx bisx.a bisx.b \
+    xorx xorx.a xorx.b andx andx.a andx.b rrcx rrcx.a rrcx.b rrux rrux.a rrux.b swpbx swpbx.a \
+    rrax rrax.a rrax.b sxtx sxtx.a pushx pushx.a pushx.b rpt
 
 
 #keywords5.$(file.patterns.forth)= \
@@ -177,72 +183,56 @@ if PLAT_WIN
 
 if PLAT_GTK
     # variable $FF = /media/jeanmi/DATA/CloudStation is defined in my ~.profile 
+    # open first scite in a terminal to import $FF
     command.name.0.*.f=preprocess file.f with 1:[target].pat then download file.4th without ECHO
-    command.0.*.f=*/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName) $(1) NOECHO
-    #command.0.*.f=*$FF/config/msp430/SendSource $(FileDir)/$(FileName) $(1) NOECHO
+    command.0.*.f=*$FF/config/SendSource $(FileDir)/$(FileName) $(1) NOECHO
     
     command.name.1.*.f=preprocess file.f with 1:[target].pat then download file.4th with ECHO
-    command.1.*.f=*/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName) $(1) ECHO
-    #command.1.*.f=*$FF/config/msp430/SendSource $(FileDir)/$(FileName) $(1) ECHO
+    command.1.*.f=*$FF/config/SendSource $(FileDir)/$(FileName) $(1) ECHO
     
     command.name.2.*.f=preprocess file.f with 1:[target].pat then download file.4th HALFDUPLEX
-    command.2.*.f=*/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName) $(1) HALF
-    #command.2.*.f=*/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName) $(1) HALF
+    command.2.*.f=*$FF/config/SendSource $(FileDir)/$(FileName) $(1) HALF
     
     command.name.3.*.f=preprocess file.f with 1:[target].pat then download file.4th to target SD_CARD
-    command.3.*.f=*/media/jeanmi/DATA/CloudStation/config/msp430/CopyTo_SD_Card $(FileDir)/$(FileName) $(1)
-    #command.3.*.f=*$FF/config/msp430/CopyTo_SD_Card $(FileDir)/$(FileName) $(1)
+    command.3.*.f=*$FF/config/CopyTo_SD_Card $(FileDir)/$(FileName) $(1)
     
     command.name.4.*.f=preprocess file.f with 1:[target].pat to LAST.4th (for debug)
-    command.4.*.f=*/media/jeanmi/DATA/CloudStation/config/msp430/Preprocess $(FileDir)/$(FileName) $(1)
-    #command.4.*.f=*$FF/config/msp430/Preprocess $(FileDir)/$(FileName) $(1)
+    command.4.*.f=*$FF/config/Preprocess $(FileDir)/$(FileName) $(1)
     
     command.name.5.*.f=preprocess file.f with for target CurrentSelection then download
-    command.5.*.f=/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName) $(CurrentSelection)
-    #command.5.*.f=$FF/config/msp430/SendSource $(FileDir)/$(FileName) $(CurrentSelection)
+    command.5.*.f=$FF/config/SendSource $(FileDir)/$(FileName) $(CurrentSelection)
     
     command.name.6.*.f=preprocess file.f with for target CurrentSelection then download with ECHO
-    command.6.*.f=/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName) $(CurrentSelection) ECHO
-    #command.6.*.f=$FF/config/msp430/SendSource $(FileDir)/$(FileName) $(CurrentSelection) ECHO
+    command.6.*.f=$FF/config/SendSource $(FileDir)/$(FileName) $(CurrentSelection) ECHO
     
     command.name.7.*.f=preprocess file.f with for target CurrentSelection then download file.4th to target SD_CARD
-    command.7.*.f=/media/jeanmi/DATA/CloudStation/config/msp430/CopyTo_SD_Card $(FileDir)/$(FileName).f  $(CurrentSelection)
-    #command.7.*.f=$FF/config/msp430/CopyTo_SD_Card $(FileDir)/$(FileName).f  $(CurrentSelection)
+    command.7.*.f=$FF/config/CopyTo_SD_Card $(FileDir)/$(FileName).f  $(CurrentSelection)
     
     command.name.8.*.f=preprocess file.f with for target CurrentSelection to LAST.4th (for debug)
-    command.8.*.f=/media/jeanmi/DATA/CloudStation/config/msp430/Preprocess $(FileDir)/$(FileName)  $(CurrentSelection)
-    #command.8.*.f=$FF/config/msp430/Preprocess $(FileDir)/$(FileName)  $(CurrentSelection)
+    command.8.*.f=$FF/config/Preprocess $(FileDir)/$(FileName)  $(CurrentSelection)
     
     command.name.9.*.f=convert FORTH registers to TI's ones
-    command.9.*.f=gema -line -t -f /media/jeanmi/DATA/CloudStation/config/gema/FastForthREGtoTI.pat $(FileNameExt) $(FileNameExt)
-    #command.9.*.f=gema -line -t -f $FF/config/gema/FastForthREGtoTI.pat $(FileNameExt) $(FileNameExt)
+    command.9.*.f=gema -line -t -f $FF/inc/FastForthREGtoTI.pat $(FileNameExt) $(FileNameExt)
     
     command.name.10.*.f=convert TI registers to FORTH's ones
-    command.10.*.f=gema -line -t -f /media/jeanmi/DATA/CloudStation/config/gema/tiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
-    #command.10.*.f=gema -line -t -f $FF/config/gema/tiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
+    command.10.*.f=gema -line -t -f $FF/inc/tiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
     
     command.name.0.*.4th=send file.4th to target without ECHO
-    command.0.*.4th=/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName).4th NOECHO
-    #command.0.*.4th=$FF/config/msp430/SendSource $(FileDir)/$(FileName).4th NOECHO
+    command.0.*.4th=$FF/config/msp430/SendSource $(FileDir)/$(FileName).4th NOECHO
     
     command.name.1.*.4th=send file.4th to target with ECHO
-    command.1.*.4th=/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName).4th ECHO
-    #command.1.*.4th=$FF/config/msp430/SendSource $(FileDir)/$(FileName).4th ECHO
+    command.1.*.4th=$FF/config/msp430/SendSource $(FileDir)/$(FileName).4th ECHO
     
     command.name.2.*.4th=send file.4th to target with HALFDUPLEX
-    command.2.*.4th=/media/jeanmi/DATA/CloudStation/config/msp430/SendSource $(FileDir)/$(FileName).4th HALF
-    #command.2.*.4th=$FF/config/msp430/SendSource $(FileDir)/$(FileName).4th HALF
+    command.2.*.4th=$FF/config/msp430/SendSource $(FileDir)/$(FileName).4th HALF
     
     command.name.3.*.4th=send file.4th to target SD_CARD
-    command.3.*.4th=$/media/jeanmi/DATA/CloudStation/config/msp430/CopyTo_SD_Card $(FileDir)/$(FileName).4th
-    #command.3.*.4th=$FF/config/msp430/CopyTo_SD_Card $(FileDir)/$(FileName).4th
+    command.3.*.4th=$FF/config/CopyTo_SD_Card $(FileDir)/$(FileName).4th
     
     command.name.4.*.4th=convert TI registers to FORTH's ones
-    command.4.*.4th=gema -line -t -f /media/jeanmi/DATA/CloudStation/config/gema/TiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
-    #command.4.*.4th=gema -line -t -f $FF/config/gema/TiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
+    command.4.*.4th=gema -line -t -f $FF/inc/TiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
     
     command.name.5.*.4th=convert FORTH registers to TI's ones
-    command.5.*.4th=gema -line -t -f /media/jeanmi/DATA/CloudStation/config/gema/FastForthREGtoTI.pat $(FileNameExt) $(FileNameExt)
-    #command.5.*.4th=gema -line -t -f $FF/config/gema/FastForthREGtoTI.pat $(FileNameExt) $(FileNameExt)
+    command.5.*.4th=gema -line -t -f $FF/inc/FastForthREGtoTI.pat $(FileNameExt) $(FileNameExt)
 
 
index a680ea4..b717595 100644 (file)
@@ -1,4 +1,5 @@
 #!/bin/bash
+
 device=$1
 if   [ ${device:0:16}  == "MSP_EXP430FR5739" ]; then
     device=MSP430FR5739
@@ -18,6 +19,6 @@ elif [ ${device:0:16}  == "MSP_EXP430FR2355" ]; then
     device=MSP430FR2355
 fi
 echo $device
-
-MSP430Flasher -s -m SBW2 -n $device -v -w $1.txt  -z [RESET,VCC]
+echo $1
+/usr/local/MSPFlasher/MSP430Flasher -s  -n $device -v -w "$FF/binaries/$1.hex"  -z [RESET,VCC]
 
index 0830d89..feb29b4 100644 (file)
 ;-------------------------------------------------------------------------------
     .listing purecode   ; reduce listing to true conditionnal parts
     MACEXP_DFT noif     ; reduce macros listing to true part
+    .PAGE  0            ; 
 ;-------------------------------------------------------------------------------
 
-VER .equ "V307"     ; FORTH version
+VER .equ "V308"     ; FORTH version
 
 ;===============================================================================
 ; before assembling or programming you must set TARGET in scite param1 (SHIFT+F8)
@@ -28,16 +29,15 @@ VER .equ "V307"     ; FORTH version
 ; kernel size below are for 8MHz, DTC=1, THREADS=1, 4WIRES (RTS) options
 ;===============================================================================
 ;    TARGET        ;                                        ;INFO+VECTORS+ MAIN bytes
-;MSP_EXP430FR5739  ; compile for MSP-EXP430FR5739 launchpad ; 64 +  128  + 2778 bytes 
-;MSP_EXP430FR5969  ; compile for MSP-EXP430FR5969 launchpad ; 64 +  128  + 2768 bytes 
-MSP_EXP430FR5994  ; compile for MSP-EXP430FR5994 launchpad ; 64 +  128  + 2790 bytes 
-;MSP_EXP430FR6989  ; compile for MSP-EXP430FR6989 launchpad ; 64 +  128  + 2792 bytes 
-;MSP_EXP430FR4133  ; compile for MSP-EXP430FR4133 launchpad ; 64 +  128  + 2832 bytes 
-;MSP_EXP430FR2355  ; compile for MSP-EXP430FR2355 launchpad ; 64 +  128  + 2766 bytes
-;MSP_EXP430FR2433  ; compile for MSP-EXP430FR2433 launchpad ; 64 +  128  + 2758 bytes 
-;LP_MSP430FR2476   ; compile for LP_MSP430FR2476  launchpad ; 64 +  128  + 2770 bytes 
-;CHIPSTICK_FR2433  ; compile for "CHIPSTICK" of M. Ken BOAK ; 64 +  128  + 2758 bytes  
-;MSP_EXP430FR5972  ; compile for a virtual launchpad        ; 64 +  128  + 2804 bytes 
+;MSP_EXP430FR5739  ; compile for MSP-EXP430FR5739 launchpad ; 64 +  128  + 2768 bytes 
+;MSP_EXP430FR5969  ; compile for MSP-EXP430FR5969 launchpad ; 64 +  128  + 2760 bytes 
+;MSP_EXP430FR5994  ; compile for MSP-EXP430FR5994 launchpad ; 64 +  128  + 2780 bytes 
+;MSP_EXP430FR6989  ; compile for MSP-EXP430FR6989 launchpad ; 64 +  128  + 2782 bytes 
+;MSP_EXP430FR4133  ; compile for MSP-EXP430FR4133 launchpad ; 64 +  128  + 2822 bytes 
+;MSP_EXP430FR2355  ; compile for MSP-EXP430FR2355 launchpad ; 64 +  128  + 2756 bytes
+;MSP_EXP430FR2433  ; compile for MSP-EXP430FR2433 launchpad ; 64 +  128  + 2746 bytes 
+;LP_MSP430FR2476   ; compile for LP_MSP430FR2476  launchpad ; 64 +  128  + 2760 bytes 
+CHIPSTICK_FR2433;  ; compile for "CHIPSTICK" of M. Ken BOAK ; 64 +  128  + 2748 bytes  
 
 ; choose DTC model (Direct Threaded Code); if you don't know, choose 2, because DOCOL routine without using scratch register
 DTC .equ 2  ; DTC model 1 : DOCOL = CALL rDOCOL           14 cycles 1 word      shortest DTC model
@@ -54,7 +54,7 @@ FREQUENCY   .equ 1 ; fully tested at 1,2,4,8,16,24 MHz (24 MHz for MSP430FR57xx,
 TERMINAL_I2C  ; uncomment to select I2C_Master TERMINAL instead of UART TERMINAL
 ;===============================================================================
     .IFDEF TERMINAL_I2C
-MYSLAVEADR   .equ 18 ; 
+MYSLAVEADR   .equ 18
 ;===============================================================================
     .ELSE ; UART TERMINAL
 ;===============================================================================
@@ -80,13 +80,13 @@ MSP430ASSEMBLER     ; + 1812 bytes : adds embedded assembler with TI syntax; wit
 CONDCOMP            ; +  306 bytes : adds conditionnal compilation [IF] [ELSE] [THEN] [DEFINED] [UNDEFINED]
 DOUBLE_INPUT        ; +   56 bytes : adds the interpretation engine for double numbers (numbers with dot)
 FIXPOINT_INPUT      ; +   74 bytes : adds the interpretation engine for Q15.16 numbers (numbers with comma)
-DEFERRED            ; +  124 bytes : adds DEFER IS :NONAME CODENNM (CODE_No_NaMe), useful for interrupts start and stop.
-VOCABULARY_SET      ; +  174 bytes : adds words: VOCABULARY FORTH ASSEMBLER ALSO PREVIOUS ONLY DEFINITIONS (FORTH83)
-EXTENDED_MEM        ; +  740 bytes : allows assembler to execute code up to 1MB (LARGE_CODE).
-EXTENDED_ASM        ; + 1260 bytes : extended assembler to 20 bits datas (LARGE_DATA + LARGE_CODE).
-SD_CARD_LOADER      ; + 1766 bytes : to load source files from SD_card
-SD_CARD_READ_WRITE  ; + 1148 bytes : to read, create, write and del files + copy text files from PC to target SD_Card
-BOOTLOADER          ; +  132 bytes : includes in WARM process the bootloader SD_CARD\BOOT.4TH.
+;DEFERRED            ; +  124 bytes : adds DEFER IS :NONAME CODENNM (CODE_No_NaMe), useful for interrupts start and stop.
+;EXTENDED_MEM        ; +  740 bytes : allows assembler to execute code up to 1MB (LARGE_CODE).
+;EXTENDED_ASM        ; + 1260 bytes : extended assembler to 20 bits datas (LARGE_DATA + LARGE_CODE).
+;SD_CARD_LOADER      ; + 1766 bytes : to load source files from SD_card
+;SD_CARD_READ_WRITE  ; + 1148 bytes : to read, create, write and del files + copy text files from PC to target SD_Card
+;BOOTLOADER          ; +  132 bytes : includes in WARM process the bootloader SD_CARD\BOOT.4TH.
+;VOCABULARY_SET      ; +  174 bytes : adds words: VOCABULARY FORTH ASSEMBLER ALSO PREVIOUS ONLY DEFINITIONS (FORTH83)
 ;PROMPT              ; +   22 bytes : to display prompt "ok "
 ;------------------------------------------------------------------------------- 
 
@@ -371,9 +371,9 @@ WIPE_SLEEP      .word RXON              ; MOV @X+,&PFASLEEP     ; RXON
 WIPE_WARM       .word INIT_TERM         ; MOV @X+,&PFAWARM      ; INIT_TERM     --> PFAWARM
     .ELSE
 WIPE_COLD       .word COLD_TERM         ; MOV @X+,&PFACOLD      ; COLD_TERM     --> PFACOLD
-WIPE_INI_FORTH  .word INI_FORTH_SD      ; MOV @X+,&PFA_INI_FORTH; INI_FORTH_SD  --> PFA_INI_FORTH 
+WIPE_INI_FORTH  .word INI_SOFT_SD       ; MOV @X+,&PFA_INI_FORTH; INI_SOFT_SD   --> PFA_INI_FORTH 
 WIPE_SLEEP      .word RXON              ; MOV @X+,&PFASLEEP     ; RXON          --> PFASLEEP
-WIPE_WARM       .word INIT_SD           ; MOV @X+,&PFAWARM      ; INIT_SD       --> PFAWARM
+WIPE_WARM       .word INI_HARD_SD       ; MOV @X+,&PFAWARM      ; INI_HARD_SD   --> PFAWARM
     .ENDIF
 WIPE_TERM_INT   .word TERMINAL_INT      ; MOV @X+,&TERM_VEC     ; TERMINAL_INT  --> TERM_VEC
 WIPE_DP         .word ROMDICT           ; MOV @X+,&RST_DP       ; ROMDICT       --> RST_DP   
@@ -381,11 +381,11 @@ WIPE_VOC        .word lastvoclink       ; MOV @X+,&RST_VOC      ; lastvoclink
 ; --------------------------------------;
 INI_FORTH_INI                           ; MOV #INI_FORTH_INI,X, to reset all kernel variables
 ; --------------------------------------;
-WIPE_ACCEPT     .word BODYACCEPT        ; MOV @X+,&PFAACCEPT    ; BODYACCEPT    --> PFAACCEPT
-WIPE_CR         .word BODYCR            ; MOV @X+,&PFACR        ; BODYCR        --> PFACR
-INI_FORTH_EMIT  .word BODYEMIT          ; MOV @X+,&PFAEMIT      ; BODYEMIT      --> PFAEMIT
-WIPE_KEY        .word BODYKEY           ; MOV @X+,&PFAKEY       ; BODYKEY       --> PFAKEY
-WIPE_CIB        .word TIB_ORG           ; MOV @X+,&CIB_ADR      ; TIB_ORG       --> CIB_ADR
+INI_FORTH_ACCEPT    .word BODYACCEPT    ; MOV @X+,&PFAACCEPT    ; BODYACCEPT    --> PFAACCEPT
+INI_FORTH_CR        .word BODYCR        ; MOV @X+,&PFACR        ; BODYCR        --> PFACR
+INI_FORTH_EMIT      .word BODYEMIT      ; MOV @X+,&PFAEMIT      ; BODYEMIT      --> PFAEMIT
+INI_FORTH_KEY       .word BODYKEY       ; MOV @X+,&PFAKEY       ; BODYKEY       --> PFAKEY
+INI_FORTH_CIB       .word TIB_ORG       ; MOV @X+,&CIB_ADR      ; TIB_ORG       --> CIB_ADR
 ; --------------------------------------;
 HALF_FORTH_INI                          ; MOV #HALF_FORTH_INI,X to preserve defered words
 ; --------------------------------------;
@@ -403,10 +403,10 @@ INI_FORTH_VAR   .word RFROM             ; MOV @X+,rDOVAR        ; init rDOVAR
 INI_FORTH_CAPS  .word 32                ; MOV @X+,&CAPS         ; 32            --> CAPS
 INI_FORTH_BASE  .word 10                ; MOV @X+,&BASE         ; 10            --> BASE
 ; --------------------------------------;
-ABORT_ADR       .word ABORT             ; user use, QUIT_ADR = ABORT_ADR + 6
-QUIT4_ADR       .word QUIT4             ; used by BOOTLOADER
-                .word 0                 ; use free
-                .word 0                 ; use free
+USER_END        .word 0
+                .word 0
+                .word 0
+                .word 0
 
 
     .IFDEF SD_CARD_LOADER
@@ -538,12 +538,20 @@ SLEEP
 ; here, FAST FORTH sleeps, waiting any interrupt. With LPM4, supply current is below 1uA.
 ; IP,S,T,W,X,Y registers (R13 to R8) are free...
 ; ...and so TOS, PSP and RSP stacks within their rules of use.
-; remember: in any interrupt routine you must include : BIC #0xF8,0(RSP) before RETI to force SLEEP execution. 
-;           or simply : ADD #2 RSP, then RET instead of RETI (but previous SR flags will be lost)
-            CALL @PC+           ; SLEEP first calls BACKGND_APP 
-PFASLEEP    .word RXON          ; BACKGND_DEF = RXON as default BACKGND_APP; value set by WIPE.
-            BIS &LPM_MODE,SR    ; enter in LPMx mode with GIE=1 (after next instruction executing).
-            JMP SLEEP           ;
+;
+; remember: to force SLEEP execution, you must end any interrupt routine with :
+;               MOV @RSP+,SR        ; 2~
+;               BIC #%1111_1000,SR  ; 2~
+;               RET                 ; 3~    4 words
+;
+;           or faster (but return SR flags will be lost) with: 
+;               ADD #2 RSP          ; 1~
+;               RET                 ; 3~    2 words
+;
+            CALL @PC+           ;4  SLEEP first calls BACKGND_APP 
+PFASLEEP    .word RXON          ;   BACKGND_DEF = RXON as default BACKGND_APP; value set by WIPE.
+            BIS &LPM_MODE,SR    ;2  enter in LPMx mode with GIE=1
+            JMP SLEEP           ;2  instruction always executed before CPU asleeping.
 ;                                                                                   
 ;###############################################################################
 
@@ -587,7 +595,7 @@ QFBRAN      CMP #0,TOS      ; 1  test TOS value
 ; Primitive BRAN
 ;Z branch   --              ;
 BRAN        MOV @IP,IP      ; 2  take the branch destination
-            MOV @IP+,PC     ; 4  ==> branch taken = 11 cycles
+            MOV @IP+,PC     ; 4  ==> branch taken
 
 ;-------------------------------------------------------------------------------
 ; LOOP run-time
@@ -598,7 +606,7 @@ BRAN        MOV @IP,IP      ; 2  take the branch destination
 XDO         MOV #8000h,X    ;2 compute 8000h-limit = "fudge factor"
             SUB @PSP+,X     ;2
             MOV TOS,Y       ;1 loop ctr = index+fudge
-            MOV @PSP+,TOS   ;2 pop new TOS
+            MOV @PSP+,TOS   ;2
             ADD X,Y         ;1 Y = INDEX
             PUSHM #2,X      ;4 PUSHM X,Y, i.e. PUSHM LIMIT, INDEX
             MOV @IP+,PC     ;4
@@ -626,17 +634,19 @@ XLOOP       ADD #1,0(RSP)   ;4 increment INDEX
             JMP XLOOPNEXT   ;2
 
 ; primitive MUSMOD; compiled by ?NUMBER UM/MOD
+; MUSMOD    UDVDlo UDVDhi UDIVlo -- UREMlo UQUOTlo UQUOThi
 ;-------------------------------------------------------------------------------
 ; unsigned 32-BIT DiViDend : 16-BIT DIVisor --> 32-BIT QUOTient, 16-BIT REMainder
 ;-------------------------------------------------------------------------------
+
 ; 2 times faster if DVDhi = 0 (it's the general case)
 
 ; reg     division            MU/MOD      NUM
 ; ---------------------------------------------
 ; S     = DVD(15-0)         = ud1lo     = ud1lo
 ; TOS   = DVD(31-16)        = ud1hi     = ud1hi
-; T     = DIV(15-0)         = BASE
 ; W     = DVD(47-32)/REM    = rem       = digit --> char --> -[HP]
+; T     = DIV(15-0)         = BASE      = BASE
 ; X     = QUOTlo            = ud2lo     = ud2lo
 ; Y     = QUOThi            = ud2hi     = ud2hi
 ; rDODOES = count
@@ -654,9 +664,9 @@ MDIV1DIV2   RRA rDODOES             ;1  yes:loop count / 2
             MOV #0,S                ;1      DVDlo <-- 0
             MOV #0,X                ;1      QUOTlo <-- 0 (to do QUOThi = 0 at the end of division)
 ; -----------------------------------------
-MDIV1       CMP T,W                 ;1  REMlo U>= DIV ?
+MDIV1       CMP T,W                 ;1  REMlo U>= DIVlo ?
             JNC MDIV2               ;2  no : carry is reset
-            SUB T,W                 ;1  yes: REMlo - DIV ; carry is set
+            SUB T,W                 ;1  yes: REMlo - DIVlo ; carry is set
 MDIV2       ADDC X,X                ;1  RLC quotLO
             ADDC Y,Y                ;1  RLC quotHI
             SUB #1,rDODOES          ;1  Decrement loop counter
@@ -665,7 +675,7 @@ MDIV2       ADDC X,X                ;1  RLC quotLO
             ADDC TOS,TOS            ;1  RLC DVDhi
             ADDC W,W                ;1  RLC REMlo
             JNC MDIV1               ;2
-            SUB T,W                 ;1  REMlo - DIV
+            SUB T,W                 ;1  REMlo - DIVlo
             BIS #1,SR               ;1  SETC
             JMP MDIV2               ;2
 ENDMDIV     MOV #XDODOES,rDODOES    ;2  restore rDODOES
@@ -719,15 +729,15 @@ XDOVAR                              ; 4 for CALL rDOVAR    ADR -- VAR
             MOV @IP+,PC             ;+4 = 14~ = ITC+4
 
 ;-----------------------------------; 
-; RESET 6.1: init Forth engine      ; common part of QABORT|RESET
+; PUC 6.1: init Forth engine        ; common part of QABORT|WARM
 ;-----------------------------------; 
 INI_FORTH                           ;
             CALL @PC+               ;
 PFA_INI_FORTH
     .IFNDEF SD_CARD_LOADER
-            .word RET_ADR           ; INI_SOFT_DEF: default value, to do nothing
+            .word RET_ADR           ; INI_SOFT_APP default value
     .ELSE
-            .word INI_FORTH_SD      ; INI_SOFT_SD : close all handles, set default ACCEPT and TIB
+            .word INI_SOFT_SD       ; init software SD_Card : close all handles
     .ENDIF
             MOV #INI_FORTH_INI,X    ; in FRAM INFO
             MOV @X+,&PFAACCEPT      ; BODYACCEPT    --> PFAACCEPT
@@ -742,11 +752,8 @@ PFA_INI_FORTH
             MOV @X+,&CAPS           ; 32            --> CAPS            init CAPS ON
             MOV @X+,&BASE           ; 10            --> BASE            init decimal base
             MOV @RSP+,IP            ; init IP with RET_ADR = LIT|WARM from resp. QABORT|RESET
-            MOV #SEL_P_R_D,PC       ; goto RESET 6.2 to select PWR_STATE|RST_STATE|DEEP_RESET
+            MOV #SEL_P_R_D,PC       ; goto PUC 6.2 to select PWR_STATE|RST_STATE|DEEP_RESET
 
-;-------------------------------------------------------------------------------
-; SELECT TERMINAL: I2C_SLave, UART, HalfDuplex; ACCEPT KEY EMIT WIPE COLD WARM
-;-------------------------------------------------------------------------------
     .IFDEF TERMINAL_I2C
         .include "forthMSP430FR_TERM_I2C.asm"
     .ELSE
@@ -1639,13 +1646,6 @@ COMMA       MOV &DDP,W              ;3
             MOV @PSP+,TOS           ;2
             MOV @IP+,PC             ;4 15~
 
-            FORTHWORD "ALLOT"
-; https://forth-standard.org/standard/core/ALLOT
-; ALLOT   n --         allocate n bytes
-            ADD TOS,&DDP
-            MOV @PSP+,TOS
-            MOV @IP+,PC
-
         .IFDEF CORE_COMPLEMENT
             FORTHWORD "EXECUTE"
 ; https://forth-standard.org/standard/core/EXECUTE
@@ -1753,13 +1753,20 @@ EVALUATE    MOV #SOURCE_LEN,X       ;2
 FBLANK       CALL rDOCON
             .word   20h
 
+            FORTHWORD "ALLOT"
+; https://forth-standard.org/standard/core/ALLOT
+; ALLOT   n --         allocate n bytes
+ALLOT       ADD TOS,&DDP
+            MOV @PSP+,TOS
+            MOV @IP+,PC
+
 ;            FORTHWORD "ABORT"
 ; https://forth-standard.org/standard/core/ABORT
 ; Empty the data stack and perform the function of QUIT, 
 ; which includes emptying the return stack, without displaying a message.
 ; ABORT is the common next of WARM and ABORT"
 ABORT       MOV #PSTACK,PSP         ;
-            MOV #0,TOS              ; to set first PSP cell = 0, used next by WARM
+            MOV #0,TOS              ; to reset first PSP cell (TOS), used next by WARM
 ; https://forth-standard.org/standard/core/QUIT
 ; QUIT  --     interpret line by line the input stream
 QUIT        MOV #RSTACK,RSP         ;
@@ -1767,7 +1774,7 @@ QUIT        MOV #RSTACK,RSP         ;
             MOV #0,&STATE           ;
             ASMtoFORTH
     .IFDEF PROMPT
-QUIT1       .word   XSQUOTE         ;
+QUIT1       .word   XSQUOTE         ; background interpret loop
             .byte   5,13,10,"ok "   ; CR+LF + Forth prompt
 QUIT2       .word   TYPE            ; display it
     .ELSE
@@ -1796,7 +1803,7 @@ QUIT4       .word   INTERPRET       ; interpret this line|string
 ; https://forth-standard.org/standard/core/ABORTq
 ; ABORT"  i*x flag -- i*x   R: j*x -- j*x  flag=0
 ;         i*x flag --       R: j*x --      flag<>0
-; ABORT" " displays nothing
+; ABORT" " (empty string) displays nothing
 ABORTQUOTE  mDOCOL
             .word   SQUOTE
             .word   lit,QABORT,COMMA    ; see QABORT in forthMSP430FR_TERM_xxx.asm
@@ -2010,7 +2017,7 @@ HEADERLESS  SUB #2,PSP              ; common part of :NONAME and CODENNM
 
 ; DEFER! ( xt CFA_DEFERed_WORD -- ) 
 ;            FORTHWORD "DEFER!"
-DEFERSTORE  MOV @PSP+,2(TOS)        ; -- CFA_DEFERed_WORD          xt --> [CFA_DEFERed_WORD+2]
+DEFERSTORE  MOV @PSP+,2(TOS)        ; -- CFA_DEFERed_WORD          xt --> [PFA_DEFERed_WORD]
             MOV @PSP+,TOS           ; --
             MOV @IP+,PC             ;
 
@@ -2035,9 +2042,10 @@ IS_EXEC     ASMtoFORTH
     .ENDIF ; DEFERRED
 
     .IFDEF MSP430ASSEMBLER
+
            FORTHWORD "CODE"         ; a CODE word must be finished with ENDCODE
-ASMCODE     CALL #HEADER            ; (that makes room for CFA and PFA)
-ASMCODE1    SUB #4,&DDP             ; remove default room for CFA and PFA
+ASMCODE     CALL #HEADER            ; (that sets CFA and PFA)
+ASMCODE1    SUB #4,&DDP             ; remove default CFA and PFA
 ASMCODE2
     .IFDEF EXTENDED_ASM
             MOV #0,&RPT_WORD        ; clear RPT instruction
@@ -2045,32 +2053,28 @@ ASMCODE2
             mDOCOL
             .word   ALSO,ASSEMBLER,EXIT
 
+; HDNCODE (hidden CODE) is used to define a CODE word which must not to be executed by FORTH interpreter
+; i.e. typically an assembler word called by CALL and ended by RET, or an interrupt routine ended by RETI.
+; ASM words are only usable in ASSEMBLER defined words
+            FORTHWORD "HDNCODE"
+            MOV #BODYASSEMBLER,&CURRENT ; select ASSEMBLER word set to link this HDNCODE definition
+            JMP ASMCODE
+
+            asmword "ENDCODE"       ; test PSP balancing then restore previous CONTEXT
+ENDCODE     mDOCOL                  ; and set CURRENT = CONTEXT (to also end ASM definitions)
+            .word   QREVEAL
+ENDCODE1    .word   PREVIOUS,DEFINITIONS,EXIT
+
         .IFDEF DEFERRED
             FORTHWORD "CODENNM"     ; CODENoNaMe is the assembly counterpart of :NONAME
 CODENNM     PUSH #ASMCODE1          ; define HEADERLESS return
             JMP HEADERLESS          ; that makes room for CFA and PFA
         .ENDIF
 
-            asmword "ENDCODE"       ; test PSP balancing then restore previous context
-ENDCODE     mDOCOL
-            .word   QREVEAL,PREVIOUS,EXIT
+; here are 3 words used to switch FORTH <--> ASSEMBLER
 
-; ASM and ENDASM are used to define an assembler word which is not executable by FORTH interpreter
-; i.e. typically an assembler word called by CALL and ended by RET, or an interrupt routine ended by RETI.
-; ASM words are only usable in another ASSEMBLER words
-; any ASM word must be finished with ENDASM. 
-; The template " ASM ... COLON ... ; " or any other finishing by SEMICOLON is 
-; prohibited because it doesn't restore CURRENT.
-            FORTHWORD "ASM"
-            MOV #BODYASSEMBLER,&CURRENT ; select ASSEMBLER word set to link this ASM word
-            JMP ASMCODE
-
-            asmword "ENDASM"        ; end of an ASM word
-            mDOCOL                  ; select PREVIOUS word set as CURRENT word set
-            .word   ENDCODE,DEFINITIONS,EXIT
-
-; here are words used to switch from/to FORTH to/from ASSEMBLER
-            asmword "COLON"         ; compile DOCOL, remove ASSEMBLER from CONTEXT, switch to compilation state
+; COLON --      compile DOCOL, remove ASSEMBLER from CONTEXT and CURRENT, switch to compilation state
+            asmword "COLON"
             MOV &DDP,W
     .SWITCH DTC
     .CASE 1
@@ -2089,9 +2093,11 @@ COLON1      MOV #400Dh,2(W)         ; compile MOV PC,IP
     .ENDCASE ; DTC
 
 COLON2      MOV #-1,&STATE          ; enter in compile state
-            MOV #PREVIOUS,PC        ; restore previous state of CONTEXT (remove ASSEMBLER)
+            mDOCOL
+            .word   PREVIOUS,DEFINITIONS,EXIT ; restore previous CONTEXT and set CURRENT = CONTEXT
 
-            asmword "LO2HI"         ; same as COLON but without saving IP
+; LO2HI --       same as COLON but without saving IP
+            asmword "LO2HI"
     .SWITCH DTC
     .CASE 1                         ; compile 2 words
             MOV &DDP,W
@@ -2105,7 +2111,8 @@ COLON2      MOV #-1,&STATE          ; enter in compile state
             JMP COLON1
     .ENDCASE
 
-            FORTHWORDIMM "HI2LO"    ; immediate, switch to low level, set interpretation state, add ASSEMBLER context
+; HI2LO --       immediate, switch to low level, set interpretation state, add ASSEMBLER to CONTEXT
+            FORTHWORDIMM "HI2LO"    ;
             mDOCOL
             .word   HERE,CELLPLUS,COMMA ; compile HERE+2
             .word   LEFTBRACKET         ; switch to interpret state
@@ -2478,7 +2485,7 @@ ONLY        MOV #0,&CONTEXT+2
 DEFINITIONS MOV &CONTEXT,&CURRENT
             MOV @IP+,PC
 
-    .IFDEF USE_MOVE
+    .IFDEF USE_MOVE ; if UTILITY.asm|ANS_COMP.asm
             FORTHWORD "MOVE"
 ; https://forth-standard.org/standard/core/MOVE
 ; MOVE    addr1 addr2 u --     smart move
@@ -2574,7 +2581,7 @@ RST_HERE    MOV &DDP,&RST_DP
             JMP PWR_HERE            ; and obviously the same for POWER_ON...
 
 ;-------------------------------------------------------------------------------
-; RESET 6.2: SELECT PWR_STATE|RST_STATE|DEEP_RESET       <== INI_FORTH
+; PUC 6.2: SELECT PWR_STATE|RST_STATE|DEEP_RESET       <== INI_FORTH
 ;-------------------------------------------------------------------------------
 SEL_P_R_D   CMP #0Eh,TOS            ;
             JZ PWR_STATE            ; if RSTIV_MEM = 14 (SYSSVSH event)
@@ -2626,38 +2633,38 @@ INIVECLOOP  SUB #2,X                ;
 ;===============================================================================
 RESET
 ;===============================================================================
-; RESET 1: replace pin RESET by pin NMI, stops WDT_RESET
+; PUC 1: replace pin RESET by pin NMI, stops WDT_RESET
 ;-------------------------------------------------------------------------------
             BIS #3,&SFRRPCR         ; pin RST becomes pin NMI with falling edge, so SYSRSTIV = 4 
             BIS #10h,&SFRIE1        ; enable NMI interrupt ==> hardware RESET is redirected to COLD.
             MOV #5A80h,&WDTCTL      ; disable WDT RESET
 ;-------------------------------------------------------------------------------
-; RESET 2: INIT STACKS
+; PUC 2: INIT STACKS
 ;-------------------------------------------------------------------------------
             MOV #RSTACK,RSP         ; init return stack
             MOV #PSTACK,PSP         ; init parameter stack
 ;-------------------------------------------------------------------------------
-; RESET 3: init RAM to 0
+; PUC 3: init RAM to 0
 ;-------------------------------------------------------------------------------
             MOV #RAM_LEN,X
 INITRAMLOOP SUB #2,X                ; 1
             MOV #0,RAM_ORG(X)       ; 3
             JNZ INITRAMLOOP         ; 2     6 cycles loop !
 ;-------------------------------------------------------------------------------
-; RESET 4: I/O, RAM, RTC, CS, SYS initialisation limited to FastForth usage.
+; PUC 4: I/O, RAM, RTC, CS, SYS initialisation limited to FastForth usage.
 ;          All unused I/O are set as input with pullup resistor.
 ;-------------------------------------------------------------------------------
             .include "TargetInit.asm"   ; include target specific init code
 ;-------------------------------------------------------------------------------
-; RESET 5: GET SYSRSTIV
+; PUC 5: GET SYSRSTIV
 ;-------------------------------------------------------------------------------
             MOV &RSTIV_MEM,TOS      ; get RSTIV_MEM = Soft_SYSRSTIV
             MOV #0,&RSTIV_MEM       ; clear RSTIV_MEM
             BIS &SYSRSTIV,TOS       ; hard_SYSRSTIV|soft_SYSRSTIV --> TOS; SYSRSTIV = 0
 ;-------------------------------------------------------------------------------
-; RESET 6: START FORTH engine
+; PUC 6: START FORTH engine
 ;-------------------------------------------------------------------------------
-            CALL #INI_FORTH         ; common ?ABORT|RESET "hybrid" subroutine with return to FORTH interpreter
+            CALL #INI_FORTH         ; common ?ABORT|PUC "hybrid" subroutine with return to FORTH interpreter
             .word   WARM            ; goto WARM, without return. See forthMSP430FR_TERM_xxx.asm
 ;-----------------------------------; 
 
index 7444ba7..ccde9da 100644 (file)
 ; Init hardware SD_Card, called by WARM
 ; ===========================================================
 ;-----------------------------------;
-INIT_SD     CALL @PC+               ; link to previous INI_APP
-INIT_SD_PFA .word INIT_TERM         ; which activates all previous I/O settings and set TOS = RSTIV_MEM.
+INI_HARD_SD CALL @PC+               ; link to previous INI_HARD_APP
+I_H_S_PFA   .word INIT_TERM         ; which activates all previous I/O settings and set TOS = RSTIV_MEM.
 ;-----------------------------------;
             CMP #0,TOS              ; RSTIV_MEM = WARM ?
             JZ INI_SD_END           ; no init if RSTIV_MEM = WARM
@@ -149,19 +149,19 @@ ClearSDdata SUB #2,X                ; 1
 ;-----------------------------------;
 SD_POWER_ON
 ; ----------------------------------;
-    MOV     #8,X                    ; send 64 clk on SD_clk
+    MOV     #8,X                    ; send 8*8 = 64 clk on SPI
     CALL    #SPI_X_GET              ;
-    BIC.B   #CS_SD,&SD_CSOUT        ; preset Chip Select output low to switch in SPI mode
+    BIC.B   #CS_SD,&SD_CSOUT        ; preset Chip Select output low to switch in SPI one wire mode
 ; ----------------------------------;
-INIT_CMD0                           ; all SD area is 0 filled
+INIT_CMD0                           ; after PUC, all SD variables area is 0 filled
 ; ----------------------------------;
     MOV     #4,S                    ; preset error 4R1 for CMD0
     MOV     #95h,&SD_CMD_FRM        ; $(95 00 00 00 00 00)
-    MOV     #4000h,&SD_CMD_FRM+4    ; $(95 00 00 00 00 40); send CMD0 
+    MOV     #4000h,&SD_CMD_FRM+4    ; $(95 00 00 00 00 40) = CMD0 
 ; ----------------------------------;
-SEND_CMD0                           ; CMD0 : GO_IDLE_STATE expected SPI_R1 response = 1 = idle state
+SEND_CMD0                           ; GO_IDLE_STATE, expected SPI_R1 response = 1 = idle state
 ; ----------------------------------;
-    CALL    #sendCommandIdleRet     ;X
+    CALL    #sendCommandIdleRet     ;X send command, see forthMSP430FR_SD_lowLvl.asm
     JZ      INIT_CMD8               ; if idle state
 SD_INIT_ERROR                       ;
     MOV     #SD_CARD_ERROR,PC       ; ReturnError = $04R1, case of defectuous card (or insufficient SD_POWER_ON clk)
@@ -175,7 +175,7 @@ INIT_CMD8                           ; mandatory if SD_Card >= V2.x     [11:8]sup
     MOV     #1,&SD_CMD_FRM+2        ; $(87 AA 01 00 ...)  (CRC:CHECK PATTERN:VHS set as 2.7to3.6V:0)
     MOV     #4800h,&SD_CMD_FRM+4    ; $(87 AA 01 00 00 48)
 ; ----------------------------------;
-SEND_CMD8                           ; CMD8 = SEND_IF_COND; expected R1 response (first byte of SPI R7) = 01h : idle state
+SEND_CMD8                           ; SEND_IF_COND; expected R1 response (first byte of SPI R7) = 01h : idle state
 ; ----------------------------------;
     CALL    #sendCommandIdleRet     ;X time out occurs with SD_Card V1.x (and all MMC_card) 
 ; ----------------------------------;
@@ -216,7 +216,7 @@ SEND_CMD16                          ; CMD16 = SET_BLOCKLEN
 ; ----------------------------------; W = R1 = 0
 SwitchSPIhighSpeed                  ; end of SD init ==> SD_CLK = SMCLK
 ; ----------------------------------;
-    BIS     #1,&SD_CTLW0            ; Software reset
+    BIS     #1,&SD_CTLW0            ; UC Software reset
     MOV     #0,&SD_BRW              ; UCxxBRW = 0 ==> SPI_CLK = MCLK
     BIC     #1,&SD_CTLW0            ; release from reset
 ; ----------------------------------;
@@ -286,10 +286,10 @@ INI_SD_END                          ;
 ;-----------------------------------;
 
 ; ===========================================================
-; Init FORTH SD_Card, called by ?ABORT|RST
+; Init SD_Card software, called by ?ABORT|RST
 ; ===========================================================
 ;-----------------------------------; 
-INI_FORTH_SD                        ; common part of ?ABORT|RST
+INI_SOFT_SD                         ; called by INI_FORTH common part of ?ABORT|RST
 ;-----------------------------------;
             CALL @PC+               ; link to previous INI_FORTH_APP
 RSAB_SD_PFA .word RET_ADR           ; which does nothing
index c191ff7..479cc98 100644 (file)
@@ -705,36 +705,36 @@ OPEN_Error                          ; S= error
 
 
     .IFDEF BOOTLOADER
-        .IFNDEF CORE_COMPLEMENT
-            FORTHWORD "+"
-; https://forth-standard.org/standard/core/Plus
-; +       n1/u1 n2/u2 -- n3/u3     add n1+n2
-            ADD @PSP+,TOS
+
+            FORTHWORD "[PFA]"       
+; [PFA]         CFA -- [PFA]        ; add source indirection to DEFERSTORE
+            ADD #2,TOS 
+            MOV @TOS,TOS
             MOV @IP+,PC
-        .ENDIF
+
+; to enable bootstrap:  ' BOOT IS WARM
+; to disable bootstrap: ' BOOT [PFA] IS WARM
 
             FORTHWORD "BOOT"
-; BOOT          RSTIV_MEM --        ; bootstrap on SD_CARD\BOOT.4th file
-;                                   ; called by WARM
-;  to enable bootstrap type: ' BOOT IS WARM
-; to disable bootstrap type: ' BOOT 2 + @ IS WARM
-            MOV @PC+,X
-PFA_BOOT   .word INIT_SD            ; X = INIT_SD
+; BOOT          RSTIV_MEM --
+; performs bootstrap from SD_CARD\BOOT.4th file
+BOOT        MOV @PC+,X
+PFA_BOOT    .word INI_HARD_SD       ; X = INI_HARD_SD addr, 2(X) = [PFA_X] = previous INI_HARD_APP (INIT_TERM) addr , see forthMSP430FR_SD_INIT.asm
             CMP #2,TOS              ; RSTIV_MEM <> WARM ?
             JC QSD_MEM              ; yes
             MOV @RSP+,PC            ; if RSTIV_MEM U< 2, return to BODYWARM
 QSD_MEM     BIT.B #CD_SD,&SD_CDIN   ; SD_memory in SD_Card socket ?
             JZ BOOT_YES             ;
-            MOV 2(X),PC             ; if no, goto previous INIT: INIT TERMINAL then ret to PFAWARM
+NO_BOOT     MOV 2(X),PC             ; if no, goto previous INIT: INIT TERMINAL then ret to PFAWARM
 ;---------------------------------------------------------------------------------
-; RESET 6: if RSTIV_MEM <> WARM, init TERM, init SD
+; RESET 7: if RSTIV_MEM <> WARM, init TERM, init SD
 ;---------------------------------------------------------------------------------
 BOOT_YES    CALL X                  ; init TERM UC first then init SD card, TOS = RSTIV_MEM
 ;---------------------------------------------------------------------------------
 ; END OF RESET
 ;---------------------------------------------------------------------------------
-            MOV #PSTACK-2,PSP       ; PUSH 0 on Stack
-            MOV #0,0(PSP)           ;
+            MOV #PSTACK-2,PSP       ;
+            MOV #0,0(PSP)           ; PUSH 0 on Stack
             MOV #0,&STATE           ; )
             MOV #LSTACK,&LEAVEPTR   ; > same as QUIT
             MOV #RSTACK,RSP         ; )
index 6bf7957..6637cb5 100644 (file)
@@ -649,7 +649,7 @@ T2S_FillBufferLoop                  ;
     MOV.B   &TERM_RXBUF,X           ;3
     MOV.B   X,&TERM_TXBUF
     CMP.B   #4,X                    ;1 EOT sent by TERATERM ?
-    JZ      T2S_End_Of_File                 ;2 yes
+    JZ      T2S_End_Of_File         ;2 yes
     MOV.B   X,SD_BUF(W)             ;3
     ADD     #1,W                    ;1
     CMP     #BytsPerSec-1,W         ;2
@@ -676,9 +676,11 @@ T2S_End_Of_File                     ;
 
     .ELSE ; if I2C_TERMINAL
 
-    FORTHWORD "TERM2SD\34"
-    CALL    #WAITCHAREND            ; wait I2C_Master (re)START RX
-    BIC     #WAKE_UP,&TERM_IFG      ; clear UCSTTIFG before next test
+; first TERATERM sends the command TERM2SD" file.ext" to I2C_FastForth.
+; then when RXON is sent below, I2C_Master sends "file.ext" line by line
+; then TERATERM sends char EOT that closes the file on SD_CARD.
+
+    FORTHWORD "TERM2SD\34"          ; here, I2C_Master is reSTARTed in RX mode
     mDOCOL
     .word   DELDQ                   ;                   DEL file if already exist
     .word   lit,2                   ; -- open_type
@@ -686,10 +688,9 @@ T2S_End_Of_File                     ;
     .word   PARENOPEN               ;                   reopen same filepath but as write
     .word   $+2                     ;
 ; ----------------------------------;
-    CALL    #RXON                   ;
-    BIC     #WAKE_UP,&TERM_IFG      ; clear UCSTTIFG before next test
+    CALL    #RXON                   ; send I2C Ctrl_Char $00 to I2C_Master
 ; ----------------------------------;
-T2S_ClearBuffer
+T2S_ClearBuffer                     ;
 ; ----------------------------------;
     MOV     #0,W                    ;1  reset W = BufferPtr
 ; ----------------------------------;
@@ -698,22 +699,19 @@ T2S_FillBufferLoop                  ;   move by slices of 512 bytes from TERMINA
     BIT     #RX_TERM,&TERM_IFG      ;3 new char in TERMRXBUF ?
     JZ      T2S_FillBufferLoop      ;2 no
     MOV.B   &TERM_RXBUF,X           ;3
-    CMP.B   #4,X                    ;1 EOT sent by TERATERM ?
+    CMP.B   #4,X                    ;1 EOT sent by TERMINAL (teraterm.exe) ?
     JZ      T2S_End_Of_File         ;2 yes
     MOV.B   X,SD_BUF(W)             ;3
     ADD     #1,W                    ;1
     CMP.B   #0Ah,X                  ;2 Char LF ?
     JNZ     T2S_Q_BufferFull        ;2 no
 ; ----------------------------------;
-T2S_GetNewLine                      ; after LF sent, I2C_Master automaticaly (re)STARTs in RX mode
-; ----------------------------------;
-    CALL    #WAITCHAREND            ; wait I2C_Master (re)START RX
-    BIC     #WAKE_UP,&TERM_IFG      ; clear UCSTTIFG before next test
-    ASMtoFORTH
-    .word   LIT,0Ah,EMIT            ; use Y reg
+T2S_GetNewLine                      ; here I2C_Master automatically (re)START in RX mode, one char must be sent to
+; ----------------------------------; clear I2C_Slave UCSTTIFG, so we must send LF a minima
+    ASMtoFORTH                      ;
+    .word   CR                      ; (CR+LF instead of LF is sent to beautify TERMINAL display, if ECHO is ON obviously)
     .word   $+2                     ;
-    CALL    #RXON                   ; tells I2C_Master to(re)START in TX mode and waits I2C_Master TX (re)STARTed,  use Y register
-    BIC     #WAKE_UP,&TERM_IFG      ; clear UCSTTIFG before next test
+    CALL    #RXON                   ; send I2C Ctrl_Char $00 to I2C_Master
 ; ----------------------------------;
 T2S_Q_BufferFull                    ;
 ; ----------------------------------;
@@ -727,18 +725,15 @@ T2S_WriteFile                       ;2 yes
 ; ----------------------------------;
 T2S_End_Of_File                     ;
 ; ----------------------------------;
-    MOV     @RSP+,IP                ; before CloseHandleT
+    BIT     #RX_TERM,&TERM_IFG      ;3 new char in TERMRXBUF ?
+    JZ      T2S_End_Of_File         ;2 no
+    CMP.B   #0Ah,&TERM_RXBUF        ;4 Char LF ?
+    JNZ     T2S_End_Of_File         ;    
+; ----------------------------------;
     MOV     W,&BufferPtr            ;3
     CALL    #CloseHandleT           ;4
-T2S_End_Of_EOT_Line                 ;
-    BIT     #RX_TERM,&TERM_IFG      ;3 new char in TERMRXBUF ?
-    JZ      T2S_End_Of_EOT_Line     ;2 no
-    MOV.B   &TERM_RXBUF,X           ;3 
-    CMP.B   #0Ah,X                  ;2 Char LF ?
-    JNZ     T2S_End_Of_EOT_Line     ;    
-    CALL    #WAITCHAREND            ; wait I2C_Master (re)START RX
-    BIC     #WAKE_UP,&TERM_IFG      ; clear UCSTTIFG before next test...
-    MOV     @IP+,PC                 ; ... i.e. ready for return to SLEEP via RXON.
+    MOV     @RSP+,IP                ; moved here because ASMtoFORTH use above
+    MOV     @IP+,PC                 ;
 ; ----------------------------------;
 
     .ENDIF
index 0a24d7a..a28736b 100644 (file)
@@ -1,5 +1,28 @@
 ; -*- coding: utf-8 -*-
 
+; ---------------------------------------
+; TERMINAL driver for FastForth target
+; ---------------------------------------
+;                     +---------------------------+
+; ------              |    +-----------------+    |
+; WIRING              |    |    +--------+   |    |
+; ------              |    |    |        |   |    |
+; FastForth target   TXD  RXD  RTS <--> CTS TXD  RXD  UARTtoUSB <--> COMx <--> TERMINAL
+; -----------------------------------------------------------------------------------------
+; MSP_EXP430FR5739   P2.0 P2.1 P2.2                   PL2303TA                 TERATERM.EXE
+; MSP_EXP430FR5969   P2.0 P2.1 P4.1                   PL2303HXD
+; MSP_EXP430FR5994   P2.0 P2.1 P4.2                   CP2102
+; MSP_EXP430FR6989   P3.4 P3.5 P3.0   
+; MSP_EXP430FR4133   P1.0 P1.1 P2.3   
+; CHIPSTICK_FR2433   P1.4 P1.5 P3.2       
+; MSP_EXP430FR2433   P1.4 P1.5 P1.0       
+; MSP_EXP430FR2355   P4.3 P4.2 P2.0
+; LP_MSP430FR2476    P1.4 P1.5 P6.1
+
+
+;-------------------------------------------------------------------------------
+; UART TERMINAL: QABORT ABORT_TERM COLD_TERM INI_TERM RXON RXOFF
+;-------------------------------------------------------------------------------
 ; define run-time part of ABORT"
 ;Z ?ABORT   xi f c-addr u --      abort & print msg.
 ;            FORTHWORD "?ABORT"
@@ -115,7 +138,7 @@ RXON_LOOP   BIT #TX_TERM,&TERM_IFG  ;3      wait the sending of last char, usele
 ;===============================================================================
             FORTHWORD "WIPE"        ; software DEEP_RESET
 ;===============================================================================
-            MOV #-1,&RSTIV_MEM      ; negative value ==> DEEP_RESET
+WIPE        MOV #-1,&RSTIV_MEM      ; negative value ==> DEEP_RESET
             JMP COLD
 
 ;===============================================================================
@@ -132,23 +155,23 @@ PFACOLD     .word COLD_TERM         ; INI_COLD_DEF: default value set by WIPE. s
 COLDEXE     MOV #0A504h,&PMMCTL0    ; performs software_BOR, see RESET in forthMSP430FR.asm
 ; ----------------------------------;
 
-;===============================================================================
-            FORTHWORD "WARM"
-;===============================================================================
-;Z WARM     xi --                   ; the next of RESET
+;-----------------------------------;
+            FORTHWORD "WARM"        ;
+;-----------------------------------;
+;Z WARM     xi --                   ; the end of RESET
+;-----------------------------------;
 WARM                                ;
 ;-------------------------------------------------------------------------------
-; RESET 6.2: if RSTIV_MEM <> WARM, init TERM and enable I/O
+; RESET 7: if RSTIV_MEM <> WARM, init TERM and enable I/O
 ;-------------------------------------------------------------------------------
             CALL @PC+               ; init TERM, only if TOS U>= 2 (RSTIV_MEM <> WARM)
     .IFNDEF SD_CARD_LOADER          ;
-PFAWARM     .word INIT_TERM         ; default value, init TERM UC, unlock I/O's, TOS = RSTIV_MEM
+PFAWARM     .word INIT_TERM         ; INI_HARD_APP default value, init TERM UC, unlock I/O's, TOS = RSTIV_MEM
     .ELSE
-PFAWARM     .word INIT_SD           ; init TERM first then init SD Card
-    .ENDIF
-;-------------------------------------------------------------------------------
-; END OF RESET
-;-------------------------------------------------------------------------------
+PFAWARM     .word INI_HARD_SD       ; init SD Card + init TERM, see forthMSP430FR_SD_INIT.asm
+    .ENDIF                          ; TOS = RSTIV_MEM
+;-----------------------------------;
+WARM_DISPLAY                        ; TOS = RSTIV_MEM value
     ASMtoFORTH
     .word   XSQUOTE
     .byte   7,13,10,27,"[7m#"       ; CR + cmd "reverse video" + #
index f094fb3..2bda341 100644 (file)
@@ -1,5 +1,30 @@
 ; -*- coding: utf-8 -*-
 
+
+; ---------------------------------------------------
+; TERMINAL driver for I2CFastForth target (I2C Slave)
+; ---------------------------------------------------
+
+;      hardware                                                         Software                 
+;      I2C Slave                                                        I2C Master
+;     
+; I2CFastForth target SCL     SDA   connected to:   SCL     SDA  of  UART to I2C bridge
+; ------------------  ----    ----                  ----    ----     ------------------
+; MSP_EXP430FR5739    P1.7    P1.6                  P4.1    P4.0     MSP_EXP430FR5739  
+; MSP_EXP430FR5969    P1.7    P1.6                  P1.3    P1.2     MSP_EXP430FR5969  
+; MSP_EXP430FR5994    P7.1    P7.0                  P8.1    P8.2     MSP_EXP430FR5994  
+; MSP_EXP430FR6989    P1.7    P1.6                  P1.5    P1.3     MSP_EXP430FR6989  
+; MSP_EXP430FR4133    P5.3    P5.2                  P8.3    P8.2     MSP_EXP430FR4133  
+; CHIPSTICK_FR2433    P1.3    P1.2                  P2.2    P2.0     CHIPSTICK_FR2433  
+; MSP_EXP430FR2433    P1.3    P1.2                  P3.1    P3.2     MSP_EXP430FR2433  
+; MSP_EXP430FR2355    P1.3    P1.2                  P3.3    P3.2     MSP_EXP430FR2355  
+; LP_MSP430FR2476     P4.3    P4.4                  P3.3    P3.2     LP_MSP430FR2476   
+;
+; don't forget to link 3V3 and GND on each side and to add 3k3 pullup resistors on SDA and SCL.
+
+;-------------------------------------------------------------------------------
+; I2C TERMINAL: QABORT ABORT_TERM INI_TERM COLD_TERM RXON I2C_CTRL_CH
+;-------------------------------------------------------------------------------
 ; define run-time part of ABORT"
 ;Z ?ABORT   xi f c-addr u --           abort & print msg.
 ;            FORTHWORD "?ABORT"
@@ -11,17 +36,19 @@ THREEDROP   ADD #4,PSP              ; -- u
 ; ----------------------------------;
 ABORT_TERM                          ; exit from downloading then reinit some variables via INI_FORTH
 ; ----------------------------------;
-            MOV.B #2,Y              ; ABORT request Ctrl_Char = $02
-            CALL #I2C_CTRL_CH       ; send it to I2C_Master to run QABORT_TERM on its side
-            CALL #INI_FORTH         ; common ?ABORT|RST subroutine
+            MOV.B #2,Y              ; -- f c-addr u         ABORT request Ctrl_Char = $02
+            CALL #I2C_CTRL_CH       ;                       send it to I2C_Master which will run QABORT_TERM on its side
 ; ----------------------------------;
-            .word   lit,LINE,FETCH  ; -- f c-addr u line    fetch line number before set ECHO ON !
-            .word   ECHO            ;
+            PUSH TOS                ;
+            CALL #INI_FORTH         ;
 ; ----------------------------------;
-            .word   OVER            ; -- f c-addr u line u
-            .word   QFBRAN,ABORT    ; --                    display nothing when ABORT" with empty string
+; display line of error if NOECHO   ;
 ; ----------------------------------;
-            .word   XSQUOTE         ;
+            .word   lit,LINE,FETCH  ; -- f c-addr u line    fetch line number before set ECHO !
+            .word   ECHO            ;
+            .word   RFROM           ; -- f c-addr u line u 
+            .word   QFBRAN,ABORT_END;                       display nothing if ABORT" with empty string
+            .word   XSQUOTE         ; -- f c-addr u line 
             .byte   5,27,"[7m",'@'  ;
             .word   TYPE            ;                       cmd "reverse video" + displays "@"
             .word   LIT,I2CSLAVEADR ;
@@ -35,11 +62,11 @@ ABORT_TERM                          ; exit from downloading then reinit some var
 ; ----------------------------------;
 ; Display ABORT|WARM message        ; <== WARM jumps here
 ; ----------------------------------;
-ABORT_TYPE  .word   TYPE            ; -- f              type abort message
+ABORT_TYPE  .word   TYPE            ; -- f              display abort|warm message
             .word   XSQUOTE         ; -- f c-addr u
             .byte   4,27,"[0m"      ;
             .word   TYPE            ; -- f              set normal video
-            .word   ABORT           ; -- f              no return
+ABORT_END   .word   ABORT           ; -- f              no return
 ; ----------------------------------;
 
 ; ----------------------------------;
@@ -49,13 +76,12 @@ I2C_INIT_TERM
         CMP #2,TOS                  ;
         JNC I2C_INIT_TERM_END       ; no INIT_TERM if RSTIV_MEM U< 2 (WARM)
 ; ----------------------------------;
-I2C_INIT_TERM_BODY
         BIS #07C0h,&TERM_CTLW0      ; set I2C_Slave in RX mode to receive I2C_address
         MOV &I2CSLAVEADR,Y          ; init value found in FRAM INFO
         RRA Y                       ; I2C Slave address without R/W bit 
         BIS #400h,Y                 ; enable I2COA0 Slave address
         MOV Y,&TERM_I2COA0          ;
-        BIS.B #BUS_TERM,&TERM_SEL   ; Configure pins TERM_UART|TERM_I2C
+        BIS.B #BUS_TERM,&TERM_SEL   ; Configure pins TERM_I2C
         BIC #1,&TERM_CTLW0          ; release UC_TERM from reset...
         BIS #WAKE_UP,&TERM_IE       ; then enable interrupt for wake up on terminal input
         BIC #LOCKLPM5,&PM5CTL0      ; activate all previous I/O settings.
@@ -71,6 +97,7 @@ COLD_TERM                           ; nothing to do
 RXON                                ; send ctrl_char $00 as ACCEPT request
 ; ----------------------------------;
 I2C_RXON    MOV.B #0,Y              ; ACCEPT request Ctrl_Char = $00
+
 ; ----------------------------------;
 I2C_CTRL_CH                         ; send it to I2C_Master_RX to restart it in TX mode
 ; ----------------------------------;
@@ -78,54 +105,59 @@ I2C_CTRL_CH                         ; send it to I2C_Master_RX to restart it in
         JZ I2C_CTRL_CH              ;2 wait TX buffer empty
         MOV.B Y,&TERM_TXBUF         ;3 send Ctrl_Char
 WAITCHAREND 
-;        BIT #40h,&TERM_STATW        ; SCL held low (after I2C_Master (re)START) ?
-        BIT #4,&TERM_IFG            ; I2C_Master (re)START ?
+        BIT #4,&TERM_IFG            ; I2C_Master (re)STARTed ?
         JZ WAITCHAREND              ; no
-        MOV @RSP+,PC                ; yes
+        MOV @RSP+,PC                ;
 ; ----------------------------------;
+; I2C_CTRL_CHAR sends a CTRL_Char that asks I2C_Master RX to (re)START in TX mode.
+; As TI tells nothing about I2C_Slave UCSTTIFG ON --> OFF,
+; it is assumed that the Slave clears itself UCSTTIFG after the first character has been exchanged.
+
+;-------------------------------------------------------------------------------
+; I2C TERMINAL : WIPE COLD WARM ACCEPT KEY EMIT ECHO NOECHO
+;-------------------------------------------------------------------------------
 
-;===============================================================================
+;-----------------------------------;
             FORTHWORD "WIPE"        ; software DEEP_RESET
-;===============================================================================
-            MOV #-1,&RSTIV_MEM      ; negative value ==> DEEP_RESET
+;-----------------------------------;
+WIPE        MOV #-1,&RSTIV_MEM      ; negative value forces DEEP_RESET
             JMP COLD
 
-;===============================================================================
-            FORTHWORD "COLD"
-;===============================================================================
-;Z COLD     --      performs a software reset
+; ----------------------------------;
+            FORTHWORD "COLD"        ; performs a software reset
+; ----------------------------------;
 ; as pin RST is replaced by pin NMI, RESET by pin activation is redirected here via USER NMI vector
-; that allows actions to be performed before executing software BOR.
+; that allows specific actions before executing software BOR.
 COLD        CALL @PC+               ; COLD first calls STOP_APP, in this instance: CALL #COLD_TERM by default
 PFACOLD     .word COLD_TERM         ; PFACOLD default value set by WIPE.
 BODYCOLD    BIT.B #IO_WIPE,&WIPE_IN ; hardware Deep_RESET request (low) ?
             JNZ COLDEXE             ; no
             MOV #-1,&RSTIV_MEM      ; yes, set negative value to force DEEP_RESET
-COLDEXE     MOV #0A504h,&PMMCTL0    ; performs software_BOR, see RESET in forthMSP430FR.asm
+COLDEXE     MOV #0A504h,&PMMCTL0    ; performs software_BOR --> RST_vector --> RESET in forthMSP430FR.asm
 ; ----------------------------------;
 
-;===============================================================================
-            FORTHWORD "WARM"
-;===============================================================================
-;Z WARM     xi --                   ; the next of RESET
+;-----------------------------------;
+            FORTHWORD "WARM"        ;
+;-----------------------------------;
+;Z WARM     xi --                   ; the end of RESET
+;-----------------------------------;
 WARM                                ;
 ;-------------------------------------------------------------------------------
-; RESET 6.2: if RSTIV_MEM <> WARM, init TERM and enable I/O
+; RESET 7: if RSTIV_MEM <> WARM, init TERM and enable I/O
 ;-------------------------------------------------------------------------------
             CALL @PC+               ; init TERM, only if TOS U>= 2 (RSTIV_MEM <> WARM)
     .IFNDEF SD_CARD_LOADER          ;
-PFAWARM     .word INIT_TERM         ; default value, init TERM UC, unlock I/O's, TOS = RSTIV_MEM
+PFAWARM     .word INIT_TERM         ; INI_HARD_APP default value, init TERM UC, unlock I/O's, TOS = RSTIV_MEM
     .ELSE
-PFAWARM     .word INIT_SD           ; init TERM first then init SD Card
-    .ENDIF
-;-------------------------------------------------------------------------------
-; END OF RESET
-;-------------------------------------------------------------------------------
+PFAWARM     .word INI_HARD_SD       ; init SD Card + init TERM, see forthMSP430FR_SD_INIT.asm
+    .ENDIF                          ; TOS = RSTIV_MEM
+;-----------------------------------;
+WARM_DISPLAY                        ; TOS = RSTIV_MEM value
     MOV.B  #3,Y                     ; WARM request Ctrl_Char = $03
     CALL #I2C_CTRL_CH               ; send it to I2C_Master to reSTART in RX mode
     ASMtoFORTH
     .word   XSQUOTE
-    .byte   7,13,10,27,"[7m@"       ; CR + cmd "reverse video" + @
+    .byte   7,13,10,27,"[7m@"       ; CR+LF + cmd "reverse video" + @
     .word   TYPE
     .word   LIT,I2CSLAVEADR,FETCH,DOT
     .word   LIT,'#',EMIT
@@ -138,11 +170,9 @@ PFAWARM     .word INIT_SD           ; init TERM first then init SD Card
     .byte   10,"bytes free"
     .word   BRAN,ABORT_TYPE         ; without return!
 
-
-;-------------------------------------------------------------------------------
-; INTERPRETER INPUT
-;-------------------------------------------------------------------------------
+; ----------------------------------;
             FORTHWORD "ACCEPT"
+; ----------------------------------;
 ;https://forth-standard.org/standard/core/ACCEPT
 ;C ACCEPT  addr addr len -- addr len'  get line at addr to interpret len' chars
 ACCEPT      MOV @PC+,PC             ;3 Code Field Address (CFA) of ACCEPT
@@ -170,7 +200,7 @@ TERMINAL_INT                        ; <--- START interrupt vector, bus is stalle
 ; (ACCEPT) part II under interrupt  ; Org Ptr --
 ; ----------------------------------;
             ADD #4,RSP              ;1      remove SR and PC from stack, SR flags are lost (unused by FORTH interpreter)
-            BIC #WAKE_UP,&TERM_IFG  ;       clear UCSTTIFG before return to SLEEP
+            BIC #WAKE_UP,&TERM_IFG  ;       clear UCSTTIFG before return to SLEEP (because not cleared by RX_TERM reading)
             BIT #10h,&TERM_CTLW0    ;4      test UCTR
             JNZ SLEEP               ;       if I2C_Master RX, loop back to SLEEP
             POPM #4,IP              ;6      POPM  IP=ret_IP,W=src_end,T=0Ah,S=20h
@@ -220,16 +250,15 @@ LF_NEXT                             ; -- Org Ptr
 ACCEPT_EOL  CMP #0,&LINE            ;            
             JZ ACCEPT_END           ;
             ADD #1,&LINE            ;       if LINE <> 0 increment LINE
-ACCEPT_END  
+ACCEPT_END                          ;
 ; ----------------------------------;
             MOV S,Y                 ;       output a BL on TERMINAL (for the case of error occuring)
-            JMP YEMIT               ;       before interpret line
+            JMP YEMIT               ;       before line interpreting
 ; **********************************;
 
-; ------------------------------------------------------------------------------
-; TERMINAL I/O, input part
-; ------------------------------------------------------------------------------
+; ----------------------------------;
             FORTHWORD "KEY"
+; ----------------------------------;
 ; https://forth-standard.org/standard/core/KEY
 ; KEY      -- c      wait character from input device ; primary DEFERred word
 KEY         MOV @PC+,PC             ; Code Field Address (CFA) of KEY
@@ -243,10 +272,9 @@ BKEYLOOP    BIT #RX_TERM,&TERM_IFG  ;           received char ?
             MOV &TERM_RXBUF,TOS     ; -- char
 BKEYEND     MOV @IP+,PC             ; -- char
 
-; ------------------------------------------------------------------------------
-; TERMINAL I/O, output part
-; ------------------------------------------------------------------------------
+; ----------------------------------;
             FORTHWORD "EMIT"
+; ----------------------------------;
 ; https://forth-standard.org/standard/core/EMIT
 ; EMIT     c --    output character to an output device ; primary DEFERred word
 EMIT        MOV @PC+,PC             ;3 Code Field Address (CFA) of EMIT
@@ -259,7 +287,9 @@ QYEMIT      .word   48C2h           ;3 48C2h = MOV.B Y,&<next_adr>
             .word   TERM_TXBUF      ;
 YEMITEND    MOV @IP+,PC             ;4 11 words
 
+; ----------------------------------;
             FORTHWORD "ECHO"
+; ----------------------------------;
 ;Z ECHO     --      connect EMIT to TERMINAL (default)
 ECHO        MOV #48C2h,&QYEMIT      ; 48C2h = MOV.B Y,&<next_adr>
             MOV #0,&LINE            ;
@@ -267,17 +297,12 @@ ECHO        MOV #48C2h,&QYEMIT      ; 48C2h = MOV.B Y,&<next_adr>
 ECHOEND     CALL #I2C_CTRL_CH       ; send it to I2C_Master to do it echo char to TERMINAL
             MOV @IP+,PC
 
+; ----------------------------------;
             FORTHWORD "NOECHO"
+; ----------------------------------;
 ;Z NOECHO   --      disconnect EMIT to TERMINAL
 NOECHO      MOV #4D30h,&QYEMIT      ;  NEXT = 4D30h = MOV @IP+,PC
             MOV #1,&LINE            ;
             MOV #4,Y                ; NOECHO request Ctrl_Char = $04
             JMP ECHOEND             ; send it to I2C_Master, to not do it echo to TERMINAL
 
-            FORTHWORD "OVER"
-;https://forth-standard.org/standard/core/OVER
-;C OVER    x1 x2 -- x1 x2 x1
-OVER        MOV TOS,-2(PSP) ; 3 -- x1 (x2) x2
-            MOV @PSP,TOS    ; 2 -- x1 (x2) x1
-            SUB #2,PSP      ; 1 -- x1 x2 x1
-            MOV @IP+,PC     ; 4
index 32b81a9..b1d80fa 100644 (file)
@@ -1,5 +1,28 @@
 ; -*- coding: utf-8 -*-
 ;
+
+; ---------------------------------------
+; TERMINAL driver for FastForth target
+; ---------------------------------------
+;                     +---------------------------+
+; ------              |    +-----------------+    |
+; WIRING              |    |    +--------+   |    |
+; ------              |    |    |        |   |    |
+; FastForth target   TXD  RXD  RTS <--> CTS TXD  RXD  UARTtoUSB <--> COMx <--> TERMINAL
+; -----------------------------------------------------------------------------------------
+; MSP_EXP430FR5739   P2.0 P2.1 P2.2                   PL2303TA                 TERATERM.EXE
+; MSP_EXP430FR5969   P2.0 P2.1 P4.1                   PL2303HXD
+; MSP_EXP430FR5994   P2.0 P2.1 P4.2                   CP2102
+; MSP_EXP430FR6989   P3.4 P3.5 P3.0   
+; MSP_EXP430FR4133   P1.0 P1.1 P2.3   
+; CHIPSTICK_FR2433   P1.4 P1.5 P3.2       
+; MSP_EXP430FR2433   P1.4 P1.5 P1.0       
+; MSP_EXP430FR2355   P4.3 P4.2 P2.0
+; LP_MSP430FR2476    P1.4 P1.5 P6.1
+;
+;-------------------------------------------------------------------------------
+; UART TERMINAL: QABORT ABORT_TERM COLD_TERM INI_TERM RXON RXOFF
+;-------------------------------------------------------------------------------
 ; define run-time part of ABORT"
 ;Z ?ABORT   xi f c-addr u --      abort & print msg.
 ;            FORTHWORD "?ABORT"
@@ -23,6 +46,8 @@ A_USB_LOOPI SUB #1,X                ; 1~        <---+   |  ==> ((65*3)+5)*1000 =
             JNZ A_UART_LOOP         ; 2 yes, the input stream is still active: loop back
             CALL #INI_FORTH         ; common ?ABORT|RST, "hybrid" subroutine with return to FORTH interpreter
 ; ----------------------------------;
+; display line of error if NOECHO   ;
+; ----------------------------------;
             .word   lit,LINE,FETCH  ; -- f c-addr u line    fetch line number before set ECHO !
             .word   ECHO            ;
             .word   XSQUOTE         ;
@@ -58,11 +83,11 @@ INIT_TERM                           ; TOS = RSTIV_MEM
 ; ----------------------------------;
 UART_INIT_TERM                      ;
     CMP #2,TOS                      ;
-    JNC UART_INIT_TERM_END          ; no INIT_TERM if RSTIV_MEM U< 2 (WARM)
+    JNC UART_INIT_TERM_END          ; no INIT_TERM if RSTIV_MEM U< 2 (WARM|ABORT)
 ; ----------------------------------;
     MOV #0081h,&TERM_CTLW0          ; UC SWRST + UCLK = SMCLK
-    MOV &TERMBRW_RST,&TERM_BRW      ; init value in FRAM
-    MOV &TERMMCTLW_RST,&TERM_MCTLW  ; init value in FRAM
+    MOV &TERMBRW_RST,&TERM_BRW      ; init value in FRAM INFO
+    MOV &TERMMCTLW_RST,&TERM_MCTLW  ; init value in FRAM INFO
     BIS.B #BUS_TERM,&TERM_SEL       ; Configure pins TERM_UART|TERM_I2C
     BIC #1,&TERM_CTLW0              ; release UC_TERM from reset...
     BIS #WAKE_UP,&TERM_IE           ; then enable interrupt for wake up on terminal input
@@ -73,8 +98,6 @@ UART_INIT_TERM_END
 
 
 ; ----------------------------------;
-RXON                                ; default BACKGND_APP 
-; ----------------------------------;
 UART_RXON   JMP RXON_EXE            ; Software and/or hardware flow control, to start Terminal UART for one line
 ; ----------------------------------;
 
@@ -88,12 +111,14 @@ RXOFF_LOOP  BIT #TX_TERM,&TERM_IFG  ;3      wait the sending of last char
             MOV #19,&TERM_TXBUF     ;4      move XOFF char into TX_buf
     .ENDIF                          ;
     .IFDEF TERMINAL4WIRES           ;   and hardware flow control after
-            BIS.B #RTS,&HANDSHAKOUT ;3     set RTS high
+            BIS.B #RTS,&HANDSHAKOUT ;3  set RTS high
     .ENDIF                          ;
             MOV @RSP+,PC            ;4 to CR_NEXT, ...or user defined
 ; ----------------------------------;
 
 ; ----------------------------------;
+RXON                                ; default BACKGND_APP 
+; ----------------------------------;
 RXON_EXE
 ; ----------------------------------;
     .IFDEF TERMINAL3WIRES           ;   first software flow control
@@ -108,15 +133,19 @@ RXON_LOOP   BIT #TX_TERM,&TERM_IFG  ;3      wait the sending of last char, usele
 ; ----------------------------------;   ... (get next line of file downloading), or user defined
 
 
-;===============================================================================
+;-------------------------------------------------------------------------------
+; UART TERMINAL : WIPE COLD WARM ACCEPT KEY EMIT ECHO NOECHO
+;-------------------------------------------------------------------------------
+
+;-----------------------------------;
             FORTHWORD "WIPE"        ; software DEEP_RESET
-;===============================================================================
-            MOV #-1,&RSTIV_MEM      ; negative value ==> DEEP_RESET
+;-----------------------------------;
+WIPE        MOV #-1,&RSTIV_MEM      ; negative value ==> DEEP_RESET
             JMP COLD
 
-;===============================================================================
+;-----------------------------------;
             FORTHWORD "COLD"
-;===============================================================================
+;-----------------------------------;
 ;Z COLD     --      performs a software RESET
 ; as pin RST is replaced by pin NMI, RESET by pin activation is redirected here via USER NMI vector
 ; that allows actions to be performed before executing software BOR.
@@ -125,26 +154,26 @@ PFACOLD     .word COLD_TERM         ; INI_COLD_DEF: default value set by WIPE. s
             BIT.B #IO_WIPE,&WIPE_IN ; hardware Deep_RESET request (low) ?
             JNZ COLDEXE             ; no
             MOV #-1,&RSTIV_MEM      ; yes, set negative value to force DEEP_RESET
-COLDEXE     MOV #0A504h,&PMMCTL0    ; performs software_BOR, see RESET in forthMSP430FR.asm
+COLDEXE     MOV #0A504h,&PMMCTL0    ; performs software_BOR --> RST_vector --> RESET in forthMSP430FR.asm
 ; ----------------------------------;
 
-;===============================================================================
-            FORTHWORD "WARM"
-;===============================================================================
-;Z WARM     xi --                   ; the next of RESET
+;-----------------------------------;
+            FORTHWORD "WARM"        ;
+;-----------------------------------;
+;Z WARM     xi --                   ; common part of WARM|PUC
+;-----------------------------------;
 WARM                                ;
 ;-------------------------------------------------------------------------------
-; RESET 6.2: if RSTIV_MEM <> WARM, init TERM and enable I/O
+; PUC 7: if RSTIV_MEM <> WARM, init TERM and enable I/O
 ;-------------------------------------------------------------------------------
             CALL @PC+               ; init TERM, only if TOS U>= 2 (RSTIV_MEM <> WARM)
     .IFNDEF SD_CARD_LOADER          ;
-PFAWARM     .word INIT_TERM         ; INI_HARD_DEF: default value, init TERM UC, unlock I/O's, TOS = RSTIV_MEM
+PFAWARM     .word INIT_TERM         ; INI_HARD_APP default value, init TERM UC, unlock I/O's, TOS = RSTIV_MEM
     .ELSE
-PFAWARM     .word INIT_SD           ; INI_HARD_SD : init TERM first then init SD Card
+PFAWARM     .word INI_HARD_SD       ; init SD Card + init TERM, see forthMSP430FR_SD_INIT.asm
     .ENDIF                          ; TOS = RSTIV_MEM
-;-------------------------------------------------------------------------------
-; END OF RESET
-;-------------------------------------------------------------------------------
+;-----------------------------------;
+WARM_DISPLAY                        ; TOS = RSTIV_MEM value
     ASMtoFORTH                      ; display a message then goto QUIT, without return
     .word   XSQUOTE
     .byte   7,13,10,27,"[7m#"       ; CR + cmd "reverse video" + #
@@ -159,10 +188,9 @@ PFAWARM     .word INIT_SD           ; INI_HARD_SD : init TERM first then init SD
     .word   BRAN,ABORT_TYPE
 ; ----------------------------------;
 
-;-------------------------------------------------------------------------------
-; INTERPRETER INPUT
-;-------------------------------------------------------------------------------
+;-----------------------------------;
             FORTHWORD "ACCEPT"
+;-----------------------------------;
 ;https://forth-standard.org/standard/core/ACCEPT
 ;C ACCEPT  addr addr len -- addr len'  from REFILL, get line at addr to interpret len' chars
 ACCEPT      MOV @PC+,PC             ;3 Code Field Address (CFA) of ACCEPT
@@ -251,10 +279,9 @@ ACCEPT_END
             JMP YEMIT               ;               before return to ABORT to interpret line
 ; **********************************;               UF9 to UF11 are reset.
 
-; ------------------------------------------------------------------------------
-; TERMINAL I/O, input part
-; ------------------------------------------------------------------------------
+;-----------------------------------;
             FORTHWORD "KEY"
+;-----------------------------------;
 ; https://forth-standard.org/standard/core/KEY
 ; KEY      -- c      wait character from input device ; primary DEFERred word
 KEY         MOV @PC+,PC             ;4  Code Field Address (CFA) of KEY
@@ -268,10 +295,9 @@ KEYLOOP     BIT #RX_TERM,&TERM_IFG  ; loop if bit0 = 0 in interupt flag register
             CALL #RXOFF             ;
             MOV @IP+,PC
 
-; ------------------------------------------------------------------------------
-; TERMINAL I/O, output part
-; ------------------------------------------------------------------------------
+;-----------------------------------;
             FORTHWORD "EMIT"
+;-----------------------------------;
 ; https://forth-standard.org/standard/core/EMIT
 ; EMIT     c --    output character to the selected output device ; primary DEFERred word
 EMIT        MOV @PC+,PC             ;4 Code Field Address (CFA) of EMIT
@@ -280,13 +306,17 @@ BODYEMIT    MOV TOS,Y               ;1 output character to the default output: T
             MOV @PSP+,TOS           ;2
             JMP YEMIT               ;2 + 12~
 
+;-----------------------------------;
             FORTHWORD "ECHO"
+;-----------------------------------;
 ;Z ECHO     --      connect terminal output (default)
 ECHO        MOV #48C2h,&QYEMIT      ; 48C2h = MOV.B Y,&<next_adr>
             MOV #0,&LINE            ;
             MOV @IP+,PC
 
+;-----------------------------------;
             FORTHWORD "NOECHO"
+;-----------------------------------;
 ;Z NOECHO   --      disconnect terminal output
 NOECHO      MOV #4D30h,&QYEMIT      ;  NEXT = 4D30h = MOV @IP+,PC
             MOV #1,&LINE            ;
index 4f6645f..94d81ca 100644 (file)
@@ -152,3 +152,7 @@ NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
 NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
 NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
 
+ABORT=ALLOT\+8!             Empty the data stack and perform the function of QUIT
+QUIT=ALLOT\+\$0E!           interpret line by line the input stream
+ABORT\"=ABORT\"!
+
index eeb04a1..708fb65 100644 (file)
@@ -68,7 +68,7 @@ WIPE_TERM_INT=\$181C!   WIPE value for TERMINAL vector
 WIPE_DP=\$182E!         WIPE value for RST_DP   
 WIPE_VOC=\$1820!        WIPE value for RST_VOC
 
-INI_FORTH_INI=\$1822!   MOV #INI_FORTH_INI,X    \ >BODY instruction of INI_FORTH subroutine
+INI_FORTH_INI=\$1822!   MOV #INI_FORTH_INI,X    \ >BODY instruction of default INI_SOFT_APP
 INIT_ACCEPT=\$1822!     WIPE value for PFAACCEPT
 INIT_CR=\$1824!         WIPE value for PFACR
 INIT_EMIT=\$1826!       FORTH value for PFAEMIT
@@ -78,15 +78,12 @@ HALF_FORTH_INI=\$182C!  to preserve the state of DEFERed words, used by user INI
 !                       ADD #4,0(RSP)           \ skip INI_FORTH >BODY instruction "MOV #INI_FORTH_INI,X"
 !                       MOV #HALF_FORTH_INI,X   \ replace it by "MOV #HALF_FORTH_INI,X"
 !                       MOV @RSP+,PC            \ then RET
-INIT_DOCOL=\$182C!      FORTH value for rDOCOL   (R4)
+INIT_DOCOL=\$182C!      FORTH value for rDOCOL   (R4) to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
 INIT_DODOES=\$182E!     FORTH value for rDODOES  (R5)
 INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -271,7 +268,7 @@ SD_LEN=\$16E!
 ! ============================================
 MAIN_ORG=\$8000!            Code space start
 
-SLEEP=\$8000!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$8000!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$800A!                 CODE compiled by LITERAL
 XSQUOTE=\$8014!             CODE compiled by S" and S_
 HEREXEC=\$8028!             CODE HERE and BEGIN execute address
@@ -281,35 +278,31 @@ NEXT_ADR=\$803C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$803E!                 CODE compiled by DO
 XPLOOP=\$804E!              CODE compiled by +LOOP
 XLOOP=\$8060!               CODE compiled by LOOP
-MUSMOD=\$8066!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$8078!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$8080!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$80AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$8066!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$8078!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$8080!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$80AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$80AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$80BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$80CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$80D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$80E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$80EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$80F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$80D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$80E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$80EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$80F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$812A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$8130!               CODE 
-ABORT_TERM=\$8136!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$8136!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$8194!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$819C!      ASM, content of WARM_PFA by default
-UART_RXON=\$81C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$81C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$8194!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$819C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$81C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$81C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$81B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$818A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$81B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$81B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$81B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$818E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$81BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$81BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
 ! ----------------------------------------------
index 2e825a2..61b51fd 100644 (file)
@@ -70,9 +70,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -258,7 +255,7 @@ SD_LEN=\$16E!
 ! ============================================
 MAIN_ORG=\$C400!        Code space start
 
-SLEEP=\$C400!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$C400!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$C40A!                 CODE compiled by LITERAL
 XSQUOTE=\$C414!             CODE compiled by S" and S_
 HEREXEC=\$C428!             CODE HERE and BEGIN execute address
@@ -268,37 +265,34 @@ NEXT_ADR=\$C43C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$C43E!                 CODE compiled by DO
 XPLOOP=\$C44E!              CODE compiled by +LOOP
 XLOOP=\$C460!               CODE compiled by LOOP
-MUSMOD=\$C466!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$C478!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$C480!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$C4AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$C466!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$C478!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$C480!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$C4AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$C4AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$C4BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$C4CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$C4D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$C4E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$C4EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$C4F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$C4D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$C4E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$C4EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$C4F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$C52A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$C530!               CODE 
-ABORT_TERM=\$C536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$C536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$C594!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$C59C!      ASM, content of WARM_PFA by default
-UART_RXON=\$C5C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$C5C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$C594!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$C59C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$C5C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$C5C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$C5B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$C58A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$C5B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$C5B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$C5B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$C58E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$C5BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$C5BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR2433
 ! ----------------------------------------------
index 874efda..b3b4235 100644 (file)
@@ -81,9 +81,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -269,7 +266,7 @@ SD_LEN=\$16E!
 ! ============================================
 MAIN_ORG=\$8000!            Code space start
 
-SLEEP=\$8000!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$8000!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$800A!                 CODE compiled by LITERAL
 XSQUOTE=\$8014!             CODE compiled by S" and S_
 HEREXEC=\$8028!             CODE HERE and BEGIN execute address
@@ -279,37 +276,34 @@ NEXT_ADR=\$803C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$803E!                 CODE compiled by DO
 XPLOOP=\$804E!              CODE compiled by +LOOP
 XLOOP=\$8060!               CODE compiled by LOOP
-MUSMOD=\$8066!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$8078!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$8080!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$80AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$8066!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$8078!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$8080!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$80AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$80AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$80BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$80CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$80D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$80E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$80EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$80F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$80D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$80E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$80EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$80F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$812A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$8130!               CODE 
-ABORT_TERM=\$8136!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$8136!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$8194!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$819C!      ASM, content of WARM_PFA by default
-UART_RXON=\$81C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$81C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$8194!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$819C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$81C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$81C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$81B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$818A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$81B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$81B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$81B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$818E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$81BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$81BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR2476
 ! ----------------------------------------------
index 089407f..09461ee 100644 (file)
@@ -70,9 +70,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -257,7 +254,7 @@ SD_LEN=\$16E!
 ! ============================================
 MAIN_ORG=\$C400!        Code space start
 
-SLEEP=\$C400!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$C400!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$C40A!                 CODE compiled by LITERAL
 XSQUOTE=\$C414!             CODE compiled by S" and S_
 HEREXEC=\$C428!             CODE HERE and BEGIN execute address
@@ -267,37 +264,34 @@ NEXT_ADR=\$C43C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$C43E!                 CODE compiled by DO
 XPLOOP=\$C44E!              CODE compiled by +LOOP
 XLOOP=\$C460!               CODE compiled by LOOP
-MUSMOD=\$C466!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$C478!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$C480!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$C4AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$C466!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$C478!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$C480!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$C4AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$C4AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$C4BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$C4CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$C4D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$C4E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$C4EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$C4F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$C4D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$C4E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$C4EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$C4F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$C52A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$C530!               CODE 
-ABORT_TERM=\$C536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$C536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$C594!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$C59C!      ASM, content of WARM_PFA by default
-UART_RXON=\$C5C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$C5C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$C594!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$C59C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$C5C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$C5C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$C5B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$C58A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$C5B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$C5B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$C5B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$C58E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$C5BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$C5BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR2633
 ! ----------------------------------------------
index a29b770..afd11f3 100644 (file)
@@ -66,9 +66,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -253,7 +250,7 @@ SD_LEN=\$16E!
 ! ============================================
 MAIN_ORG=\$C400!        Code space start
 
-SLEEP=\$C400!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$C400!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$C40A!                 CODE compiled by LITERAL
 XSQUOTE=\$C414!             CODE compiled by S" and S_
 HEREXEC=\$C428!             CODE HERE and BEGIN execute address
@@ -263,37 +260,34 @@ NEXT_ADR=\$C43C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$C43E!                 CODE compiled by DO
 XPLOOP=\$C44E!              CODE compiled by +LOOP
 XLOOP=\$C460!               CODE compiled by LOOP
-MUSMOD=\$C466!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$C478!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$C480!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$C4AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$C466!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$C478!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$C480!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$C4AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$C4AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$C4BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$C4CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$C4D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$C4E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$C4EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$C4F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$C4D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$C4E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$C4EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$C4F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$C52A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$C530!               CODE 
-ABORT_TERM=\$C536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$C536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$C594!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$C59C!      ASM, content of WARM_PFA by default
-UART_RXON=\$C5C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$C5C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$C594!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$C59C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$C5C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$C5C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$C5B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$C58A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$C5B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$C5B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$C5B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$C58E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$C5BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$C5BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR4133
 ! ----------------------------------------------
index 7589972..97bd663 100644 (file)
@@ -67,9 +67,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ---------------------------------------
@@ -257,7 +254,7 @@ MAIN_ORG=\$C200!        Code space start
 MAIN_LEN=\$3E00!        15.5 k FRAM
 ! ----------------------------------------------
 
-SLEEP=\$C200!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$C200!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$C20A!                 CODE compiled by LITERAL
 XSQUOTE=\$C214!             CODE compiled by S" and S_
 HEREXEC=\$C228!             CODE HERE and BEGIN execute address
@@ -267,37 +264,34 @@ NEXT_ADR=\$C23C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$C23E!                 CODE compiled by DO
 XPLOOP=\$C24E!              CODE compiled by +LOOP
 XLOOP=\$C260!               CODE compiled by LOOP
-MUSMOD=\$C266!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$C278!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$C280!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$C2AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$C266!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$C278!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$C280!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$C2AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$C2AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$C2BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$C2CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$C2D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$C2E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$C2EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$C2F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$C2D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$C2E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$C2EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$C2F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$C32A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$C330!               CODE 
-ABORT_TERM=\$C336!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$C336!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$C394!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$C39C!      ASM, content of WARM_PFA by default
-UART_RXON=\$C3C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$C3C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$C394!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$C39C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$C3C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$C3C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$C3B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$C38A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$C3B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$C3B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$C3B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$C38E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$C3BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$C3BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR5738
 ! ----------------------------------------------
index b893d48..057f04f 100644 (file)
@@ -71,9 +71,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ---------------------------------------
@@ -261,7 +258,7 @@ MAIN_ORG=\$C200!        Code space start
 MAIN_LEN=\$3E00!        15.5 k FRAM
 ! ----------------------------------------------
 
-SLEEP=\$C200!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$C200!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$C20A!                 CODE compiled by LITERAL
 XSQUOTE=\$C214!             CODE compiled by S" and S_
 HEREXEC=\$C228!             CODE HERE and BEGIN execute address
@@ -271,37 +268,34 @@ NEXT_ADR=\$C23C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$C23E!                 CODE compiled by DO
 XPLOOP=\$C24E!              CODE compiled by +LOOP
 XLOOP=\$C260!               CODE compiled by LOOP
-MUSMOD=\$C266!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$C278!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$C280!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$C2AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$C266!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$C278!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$C280!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$C2AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$C2AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$C2BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$C2CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$C2D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$C2E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$C2EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$C2F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$C2D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$C2E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$C2EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$C2F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$C32A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$C330!               CODE 
-ABORT_TERM=\$C336!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$C336!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$C394!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$C39C!      ASM, content of WARM_PFA by default
-UART_RXON=\$C3C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$C3C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$C394!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$C39C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$C3C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$C3C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$C3B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$C38A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$C3B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$C3B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$C3B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$C38E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$C3BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$C3BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR5739
 ! ----------------------------------------------
index 6c9b8a3..fcb2420 100644 (file)
@@ -66,9 +66,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -258,7 +255,7 @@ MAIN_ORG=\$4400!        Code space start
 MAIN_LEN=\$BC00!        47 k FRAM
 ! ----------------------------------------------
 
-SLEEP=\$4400!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$4400!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$440A!                 CODE compiled by LITERAL
 XSQUOTE=\$4414!             CODE compiled by S" and S_
 HEREXEC=\$4428!             CODE HERE and BEGIN execute address
@@ -268,37 +265,34 @@ NEXT_ADR=\$443C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$443E!                 CODE compiled by DO
 XPLOOP=\$444E!              CODE compiled by +LOOP
 XLOOP=\$4460!               CODE compiled by LOOP
-MUSMOD=\$4466!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$4478!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$4480!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$44AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$4466!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$4478!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$4480!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$44AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$44AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$44BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$44CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$44D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$44E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$44EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$44F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$44D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$44E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$44EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$44F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$452A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$4530!               CODE 
-ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$4594!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$459C!      ASM, content of WARM_PFA by default
-UART_RXON=\$45C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$45C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$4594!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$459C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$45C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$45C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$45B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$458A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$45B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$45B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$45B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$458E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$45BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$45BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR5948
 ! ----------------------------------------------
index 6578492..4934d55 100644 (file)
@@ -65,9 +65,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -257,7 +254,7 @@ MAIN_ORG=\$4400!        Code space start
 MAIN_LEN=\$BC00!        47 k FRAM
 ! ----------------------------------------------
 
-SLEEP=\$4400!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$4400!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$440A!                 CODE compiled by LITERAL
 XSQUOTE=\$4414!             CODE compiled by S" and S_
 HEREXEC=\$4428!             CODE HERE and BEGIN execute address
@@ -267,37 +264,34 @@ NEXT_ADR=\$443C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$443E!                 CODE compiled by DO
 XPLOOP=\$444E!              CODE compiled by +LOOP
 XLOOP=\$4460!               CODE compiled by LOOP
-MUSMOD=\$4466!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$4478!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$4480!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$44AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$4466!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$4478!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$4480!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$44AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$44AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$44BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$44CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$44D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$44E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$44EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$44F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$44D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$44E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$44EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$44F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$452A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$4530!               CODE 
-ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$4594!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$459C!      ASM, content of WARM_PFA by default
-UART_RXON=\$45C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$45C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$4594!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$459C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$45C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$45C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$45B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$458A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$45B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$45B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$45B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$458E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$45BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$45BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR5969
 ! ----------------------------------------------
index 49923d0..f3e1a10 100644 (file)
@@ -76,9 +76,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -268,7 +265,7 @@ MAIN_ORG=\$4400!        Code space start
 MAIN_LEN=\$24000!       127 k FRAM
 ! ----------------------------------------------
 
-SLEEP=\$4400!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$4400!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$440A!                 CODE compiled by LITERAL
 XSQUOTE=\$4414!             CODE compiled by S" and S_
 HEREXEC=\$4428!             CODE HERE and BEGIN execute address
@@ -278,37 +275,34 @@ NEXT_ADR=\$443C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$443E!                 CODE compiled by DO
 XPLOOP=\$444E!              CODE compiled by +LOOP
 XLOOP=\$4460!               CODE compiled by LOOP
-MUSMOD=\$4466!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$4478!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$4480!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$44AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$4466!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$4478!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$4480!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$44AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$44AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$44BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$44CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$44D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$44E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$44EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$44F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$44D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$44E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$44EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$44F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$452A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$4530!               CODE 
-ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$4594!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$459C!      ASM, content of WARM_PFA by default
-UART_RXON=\$45C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$45C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$4594!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$459C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$45C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$45C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$45B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$458A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$45B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$45B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$45B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$458E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$45BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$45BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR6989
 ! ----------------------------------------------
index 7ba5dc9..ac96d1b 100644 (file)
@@ -78,9 +78,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -277,7 +274,7 @@ MAIN_ORG=\$4000!        Code space start
 MAIN_LEN=\$40000!       240 kb FRAM
 ! ----------------------------------------------
 
-SLEEP=\$4000!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$4000!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$400A!                 CODE compiled by LITERAL
 XSQUOTE=\$4014!             CODE compiled by S" and S_
 HEREXEC=\$4028!             CODE HERE and BEGIN execute address
@@ -287,37 +284,34 @@ NEXT_ADR=\$403C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$403E!                 CODE compiled by DO
 XPLOOP=\$404E!              CODE compiled by +LOOP
 XLOOP=\$4060!               CODE compiled by LOOP
-MUSMOD=\$4066!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$4078!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$4080!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$40AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$4066!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$4078!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$4080!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$40AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$40AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$40BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$40CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$40D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$40E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$40EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$40F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$40D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$40E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$40EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$40F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$412A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$4130!               CODE 
-ABORT_TERM=\$4136!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$4136!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$4194!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$419C!      ASM, content of WARM_PFA by default
-UART_RXON=\$41C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$41C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$4194!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$419C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$41C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$41C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$41B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$418A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$41B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$41B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$41B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$418E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$41BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$41BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR5994
 ! ----------------------------------------------
index 25a34ef..a8471cf 100644 (file)
@@ -76,9 +76,6 @@ INIT_DOCON=\$1830!      FORTH value for rDOCON   (R6)
 INIT_DOVAR=\$1832!      FORTH value for rDOVAR   (R7)
 INIT_CAPS=\$1834!       FORTH value for CAPS
 INIT_BASE=\$1836!       FORTH value for BASE
-
-ABORT_ADR=\$1838!       ABORT address
-QUIT4_ADR=\$183A!       QUIT4 used by BOOTLOADER
 !                       free EPROM
 
 ! ============================================
@@ -268,7 +265,7 @@ MAIN_ORG=\$4400!        Code space start
 MAIN_LEN=\$24000!       127 k FRAM
 ! ----------------------------------------------
 
-SLEEP=\$4400!               CODE_WITHOUT_RETURN: CPU shutdown
+SLEEP=\$4400!               CODE_WITHOUT_RETURN, CPU shutdown
 LIT=\$440A!                 CODE compiled by LITERAL
 XSQUOTE=\$4414!             CODE compiled by S" and S_
 HEREXEC=\$4428!             CODE HERE and BEGIN execute address
@@ -278,37 +275,34 @@ NEXT_ADR=\$443C!            CODE NEXT instruction (MOV @IP+,PC)
 XDO=\$443E!                 CODE compiled by DO
 XPLOOP=\$444E!              CODE compiled by +LOOP
 XLOOP=\$4460!               CODE compiled by LOOP
-MUSMOD=\$4466!              ASM 32/16 unsigned division, used by ?NUMBER, UM/MOD
-MDIV1DIV2=\$4478!           ASM input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
-MDIV1=\$4480!               ASM input for 48/16 unsigned division, see DOUBLE M*/
-RET_ADR=\$44AA!             ASM content of INI_FORTH_PFA and MARKER+8 definitions,
+MUSMOD=\$4466!              ASM CODE 32/16 unsigned division, used by ?NUMBER, UM/MOD
+MDIV1DIV2=\$4478!           ASM CODE input for 48/16 unsigned division with DVDhi=0, see DOUBLE M*/
+MDIV1=\$4480!               ASM CODE input for 48/16 unsigned division, see DOUBLE M*/
+RET_ADR=\$44AA!             ASM CODE of INI_FORTH_PFA and MARKER+8 definitions,
 SETIB=\$44AC!               CODE Set Input Buffer with org & len values, reset >IN pointer
 REFILL=\$44BC!              CODE accept one line from input and leave org len of input buffer
 CIB_ADR=\$44CA!             [CIB_ADR] = TIB_ORG by default; may be redirected to SDIB_ORG
-XDODOES=\$44D4!             restore rDODOES: MOV #XDODOES,rDODOES
-XDOCON=\$44E2!              restore rDOCON: MOV #XDOCON,rDOCON
-XDOVAR=\$44EE!              restore rDOVAR: MOV #XDOVAR,rDOVAR
-!to find DTC value, download \MSP430-FORTH\FF_SPECS.4th
-!XDOCOL=TYPE\+\-16          if DTC = 1, restore rDOCOL as this: MOV #TYPE+-16,rDOCOL
-!XDOCOL=\#S\+16             if DTC = 2, restore rDOCOL as this: MOV ##S+16,rDOCOL
-!                           if DTC = 3, nothing to do, R7 is free for use.
-INI_FORTH=\$44F8!           CODE_WITHOUT_RETURN common part of RST and QABORT, starts FORTH engine
+XDODOES=\$44D4!             to restore rDODOES: MOV #XDODOES,rDODOES
+XDOCON=\$44E2!              to restore rDOCON: MOV #XDOCON,rDOCON
+XDOVAR=\$44EE!              to restore rDOVAR: MOV #XDOVAR,rDOVAR
+!                           to restore rDOCOL: MOV &INIT_DOCOL,rDOCOL
+INI_FORTH=\$44F8!           asm CODE common part of RST and QABORT, starts FORTH engine
 QABORT=\$452A!              CODE_WITHOUT_RETURN run-time part of ABORT"
-3DROP=\$4530!               CODE 
-ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET   
+ABORT_TERM=\$4536!          CODE_WITHOUT_RETURN, called by QREVEAL and INTERPRET  
 !-------------------------------------------------------------------------------
-UART_COLD_TERM=\$4594!      ASM, content of COLD_PFA by default
-UART_INIT_TERM=\$459C!      ASM, content of WARM_PFA by default
-UART_RXON=\$45C6!           ASM, content of SLEEP_PFA by default
-UART_RXOFF=\$45C8!          ASM, called by ACCEPT before RX char LF.
+UART_COLD_TERM=\$4594!      ASM CODE, content of COLD+2 by default
+UART_INIT_TERM=\$459C!      ASM CODE, content of WARM+2 by default
+UART_RXON=\$45C6!           ASM CODE, content of SLEEP+2 by default
+UART_RXOFF=\$45C8!          ASM CODE, called by ACCEPT before RX char LF.
 !-------------------------------------------------------------------------------
-I2C_COLD_TERM=\$45B4!       ASM, content of COLD_PFA by default
-I2C_INIT_TERM=\$458A!       ASM, content of WARM_PFA by default
-I2C_RXON=\$45B6!            ASM, content of SLEEP_PFA by default
-I2C_CTRL_CH=\$45B8!         ASM, used as is: MOV.B #CTRL_CHAR,Y
-!                                            CALL #I2C_CTRL_CH
+I2C_COLD_TERM=\$45B8!       ASM CODE, content of COLD_PFA by default
+I2C_INIT_TERM=\$458E!       ASM CODE, content of WARM_PFA by default
+I2C_RXON=\$45BA!            ASM CODE, content of SLEEP_PFA by default
+I2C_CTRL_CH=\$45BC!         ASM CODE, used as is: MOV.B #CTRL_CHAR,Y
+!                                                 CALL #I2C_CTRL_CH
 !-------------------------------------------------------------------------------
 
+
 ! ----------------------------------------------
 ! Interrupt Vectors and signatures - MSP430FR6989
 ! ----------------------------------------------
index c0414e8..d50a3a9 100644 (file)
@@ -237,21 +237,21 @@ RC5_TIM_CTL=\$380!       TA1CTL
 RC5_TIM_R=\$390!         TA1R
 RC5_TIM_EX0=\$3A0!       TA1EX0
 
-I2CSM_IN=\$200!
-I2CSM_OUT=\$202!
-I2CSM_DIR=\$204!
-I2CSM_REN=\$206!
-SM_SDA=\$40!             P1.6
-SM_SCL=\$80!             P1.7
-SM_BUS=\$C0!
-
-I2CSMM_IN=\$200!
-I2CSMM_OUT=\$202!
-I2CSMM_DIR=\$204!
-I2CSMM_REN=\$206!
-SMM_SDA=\$40!            P1.6
-SMM_SCL=\$80!            P1.7
-SMM_BUS=\$C0!
+I2CSM_IN=\$221!
+I2CSM_OUT=\$223!
+I2CSM_DIR=\$225!
+I2CSM_REN=\$207!
+SM_SDA=1!               P4.0
+SM_SCL=2!               P4.1
+SM_BUS=3!
+
+I2CSMM_IN=\$221!
+I2CSMM_OUT=\$223!
+I2CSMM_DIR=\$225!
+I2CSMM_REN=\$227!
+SMM_SDA=1!              P4.0
+SMM_SCL=2!              P4.1
+SMM_BUS=3!
 
 I2CMM_IN=\$200
 I2CMM_OUT=\$202
index a150cfd..f2db496 100644 (file)
@@ -291,8 +291,8 @@ TERM_IN     .equ    P3IN   ;
 TERM_REN    .equ    P3REN
 TERM_SEL    .equ    P3SEL0
 TXD         .equ    10h    ; P3.4 = TXD
-RXD         .equ    20h    ; P3.4 = RXD
-BUS_TERM    .equ    30h    ; P3.5 = RX
+RXD         .equ    20h    ; P3.5 = RXD
+BUS_TERM    .equ    30h    ;
     .ENDIF ;UCA1_TERM
 
 ; PORT4 usage
index f5ee7fd..a5e1a09 100644 (file)
@@ -567,25 +567,16 @@ USE_MOVE
 USE_MOVE
         .ENDIF
     .ENDIF
-    .IFDEF FIXPOINT_INPUT
-        .IFNDEF DOUBLE_INPUT
-DOUBLE_INPUT
-        .ENDIF
-    .ENDIF
     .IFDEF SD_CARD_LOADER 
-; define if QUIT is DEFERed
         .IFNDEF DOUBLE_INPUT
 DOUBLE_INPUT
         .ENDIF
-        .IFNDEF DEFER_ACCEPT
-DEFER_ACCEPT
-        .ENDIF
         .IFDEF BOOTLOADER
             .IFNDEF CONDCOMP
 CONDCOMP                    ; mandatory for Bootstrap
             .ENDIF
-            .IFNDEF DEFER_QUIT
-DEFER_QUIT
+            .IFNDEF DEFERRED
+DEFERRED                    ; mandatory for Bootstrap
             .ENDIF
         .ENDIF
     .ENDIF
@@ -609,14 +600,14 @@ FIXPOINT_INPUT              ; to interpret fixpoint numbers
         .ENDIF
     .ENDIF
     .IFDEF FIXPOINT_INPUT
-    .IFNDEF DOUBLE_NUMBERS
+        .IFNDEF DOUBLE_NUMBERS
 DOUBLE_NUMBERS              ; to process double numbers
-    .ENDIF
+        .ENDIF
     .ENDIF
     .IFDEF DOUBLE_INPUT
-    .IFNDEF DOUBLE_NUMBERS
+        .IFNDEF DOUBLE_NUMBERS
 DOUBLE_NUMBERS              ; to process double numbers
-    .ENDIF
+        .ENDIF
     .ENDIF
 
 ; --------------------------
@@ -767,21 +758,24 @@ FADDON .SET FADDON | BIT12  ; UART XON/XOFF
 FADDON .SET FADDON | BIT11  ; UART Half Duplex
         .ENDIF
     .ENDIF ; TERMINAL UART
+        .IFDEF TERMINAL_I2C
+FADDON .SET FADDON | BIT10  ;I2C TERMINAL
+        .ENDIF
     .IFDEF FIXPOINT_INPUT
-FADDON .SET FADDON | BIT10  ; FIXPOINT INPUT
+FADDON .SET FADDON | BIT9   ; Q15.16 INPUT
     .ENDIF
     .IFDEF DOUBLE_INPUT
-FADDON .SET FADDON | BIT9   ; DOUBLE INPUT
+FADDON .SET FADDON | BIT8   ; DOUBLE INPUT
     .ENDIF
     .IFDEF EXTENDED_ASM     ; Assembler 20 bits
-FADDON .SET FADDON | BIT8
+FADDON .SET FADDON | BIT7
     .ENDIF
     .IFDEF MSP430ASSEMBLER
-FADDON .SET FADDON | BIT7   ; Assembler 16 bits
+FADDON .SET FADDON | BIT6   ; Assembler 16 bits
     .ENDIF
     .IFDEF EXTENDED_MEM
     .IFNDEF EXTENDED_ASM
-FADDON .SET FADDON | BIT6   ; Assembler 16 bits with Address access beyond $FFFF
+FADDON .SET FADDON | BIT5   ; Assembler 16 bits with Address access beyond $FFFF
     .ENDIF
     .ENDIF
     .IFDEF CONDCOMP