OSDN Git Service

V205; added MSP-EXP430FR2355.
authorJean-Michel THOORENS <jeanmichel.thoorens@gmail.com>
Sat, 16 Jun 2018 12:57:28 +0000 (14:57 +0200)
committerJean-Michel THOORENS <jeanmichel.thoorens@gmail.com>
Sat, 16 Jun 2018 12:57:28 +0000 (14:57 +0200)
100 files changed:
CHIPSTICK_FR2433.asm
CHIPSTICK_FR2433_16MHz_115200bds.txt
CHIPSTICK_FR2433_16MHz_3Mbds.txt [deleted file]
CHIPSTICK_FR2433_16MHz_5Mbds.txt [new file with mode: 0644]
CHIPSTICK_FR2433_16MHz_921600bds.txt [deleted file]
FastForth.fr.pdf
FastForth.pdf
FastForth_Competitor.pdf [new file with mode: 0644]
ForthThreads.mac
MSP430FR2x4x.inc
MSP430_FORTH/ANS_COMP.f
MSP430_FORTH/CORETEST.4th
MSP430_FORTH/FixPoint.f
MSP430_FORTH/RC5toLCD.f
MSP430_FORTH/SD_TOOLS.f
MSP430_FORTH/UTILITY.f
MSP_EXP430FR2355.asm [new file with mode: 0644]
MSP_EXP430FR2355_16MHz_115200bds.txt [new file with mode: 0644]
MSP_EXP430FR2355_16MHz_5Mbds.txt [new file with mode: 0644]
MSP_EXP430FR2355_16MHz_921600bds.txt [new file with mode: 0644]
MSP_EXP430FR2355_24MHz_6Mbds.txt [new file with mode: 0644]
MSP_EXP430FR2355_24MHz_921600bds.txt [new file with mode: 0644]
MSP_EXP430FR2433.asm
MSP_EXP430FR2433_16MHz_115200bds.txt
MSP_EXP430FR2433_16MHz_3Mbds.txt [deleted file]
MSP_EXP430FR2433_16MHz_5Mbds.txt [new file with mode: 0644]
MSP_EXP430FR2433_16MHz_921600bds.txt
MSP_EXP430FR4133.asm
MSP_EXP430FR4133_16MHz_115200bds.txt
MSP_EXP430FR4133_16MHz_3Mbds.txt [deleted file]
MSP_EXP430FR4133_16MHz_5Mbds.txt [new file with mode: 0644]
MSP_EXP430FR4133_16MHz_921600bds.txt
MSP_EXP430FR5739.asm
MSP_EXP430FR5739_16MHz_115200bds.txt
MSP_EXP430FR5739_16MHz_3Mbds.txt [deleted file]
MSP_EXP430FR5739_16MHz_6Mbds.txt [deleted file]
MSP_EXP430FR5739_16MHz_921600bds.txt [deleted file]
MSP_EXP430FR5739_24MHz_6Mbds.txt
MSP_EXP430FR5739_24MHz_921600bds.txt [new file with mode: 0644]
MSP_EXP430FR5969.asm
MSP_EXP430FR5969_16MHz_115200bds.txt
MSP_EXP430FR5969_16MHz_3Mbds.txt [deleted file]
MSP_EXP430FR5969_16MHz_5Mbds.txt [new file with mode: 0644]
MSP_EXP430FR5969_16MHz_6Mbds.txt [deleted file]
MSP_EXP430FR5969_16MHz_921600bds.txt
MSP_EXP430FR5994.asm
MSP_EXP430FR5994_16MHz_115200bds_SD_BOOT.txt [deleted file]
MSP_EXP430FR5994_16MHz_115200bds_SD_CARD.txt
MSP_EXP430FR5994_16MHz_3Mbds_SD_BOOT.txt [deleted file]
MSP_EXP430FR5994_16MHz_3Mbds_SD_CARD.txt [deleted file]
MSP_EXP430FR5994_16MHz_5Mbds_SD_CARD.txt [new file with mode: 0644]
MSP_EXP430FR5994_16MHz_6Mbds_SD_BOOT.txt [deleted file]
MSP_EXP430FR5994_16MHz_6Mbds_SD_CARD.txt [deleted file]
MSP_EXP430FR5994_16MHz_921600bds_SD_BOOT.txt [deleted file]
MSP_EXP430FR5994_16MHz_921600bds_SD_CARD.txt
MSP_EXP430FR6989.asm
MSP_EXP430FR6989_16MHz_115200bds.txt
MSP_EXP430FR6989_16MHz_3Mbds.txt [deleted file]
MSP_EXP430FR6989_16MHz_5Mbds.txt [new file with mode: 0644]
MSP_EXP430FR6989_16MHz_6Mbds.txt [deleted file]
MSP_EXP430FR6989_16MHz_921600bds.txt
README.md
TERMINALBAUDRATE.asm
Target.inc
TargetInit.asm
config/gema/CHIPSTICK_FR2433.pat
config/gema/JMJ_BOX.pat [deleted file]
config/gema/MSP430FR2355.pat [new file with mode: 0644]
config/gema/MSP430FR2433.pat
config/gema/MSP430FR2633.pat
config/gema/MSP430FR2x4x.pat
config/gema/MSP430FR2x4x_FastForth.pat [deleted file]
config/gema/MSP430FR4133.pat
config/gema/MSP430FR5738.pat
config/gema/MSP430FR5739.pat
config/gema/MSP430FR57xx.pat
config/gema/MSP430FR57xx_FastForth.pat [deleted file]
config/gema/MSP430FR5948.pat
config/gema/MSP430FR5969.pat
config/gema/MSP430FR5994.pat
config/gema/MSP430FR5x6x.pat
config/gema/MSP430FR5x6x_FastForth.pat [deleted file]
config/gema/MSP430FR6989.pat
config/gema/MSP_EXP430FR2355.pat [new file with mode: 0644]
config/gema/MSP_EXP430FR2433.pat
config/gema/MSP_EXP430FR4133.pat
config/gema/MSP_EXP430FR5739.pat
config/gema/MSP_EXP430FR5969.pat
config/gema/MSP_EXP430FR5994.pat
config/gema/MSP_EXP430FR6989.pat
config/msp430/Preprocess.bat
config/msp430/Select.bat
config/msp430/SendFile.ttl
config/msp430/prog.bat
config/scite/AS_MSP430/forth.properties
forthMSP430FR.asm
forthMSP430FR_CONDCOMP.asm
forthMSP430FR_HALFDUPLEX.asm
howto.md [deleted file]
mspregister.mac

index f8179fc..a81fc99 100644 (file)
@@ -255,7 +255,7 @@ NWAITS            = 1
             MOV     #200Fh,&CSCTL2      ; Set FLLD=2 (DCOCLKCDIV=DCO/4),set FLLN=0Fh
                                         ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
 ; =====================================
-            MOV     #1,X
+            MOV     #4,X
 
     .ELSEIF FREQUENCY = 0.5
 
@@ -270,7 +270,7 @@ NWAITS            = 1
             MOV     #100Fh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Fh
                                         ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
 ; =====================================
-            MOV     #2,X
+            MOV     #8,X
 
     .ELSEIF FREQUENCY = 1
 
@@ -285,7 +285,7 @@ NWAITS            = 1
 ;            MOV     #001Fh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Fh
                                         ; fCOCLKDIV = 32768 x (31+1) = 1.049 MHz ; measured : 1.046MHz
 ; =====================================
-            MOV     #4,X
+            MOV     #16,X
 
     .ELSEIF FREQUENCY = 2
 
@@ -300,7 +300,7 @@ NWAITS            = 1
             MOV     #003Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Dh
                                         ; fCOCLKDIV = 32768 x (61+1) = 2.031 MHz ; measured :  MHz
 ; =====================================
-            MOV     #8,X
+            MOV     #32,X
 
     .ELSEIF FREQUENCY = 4
 
@@ -317,7 +317,7 @@ NWAITS            = 1
 ;            MOV     #007Ah,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=7Ah
                                         ; fCOCLKDIV = 32768 x (122+1) = 4.030 MHz ; measured : 4.020MHz
 ; =====================================
-            MOV     #16,X
+            MOV     #64,X
 
     .ELSEIF FREQUENCY = 8
 
@@ -345,7 +345,7 @@ NWAITS            = 1
                                         ; fCOCLKDIV = 32768 x (252+1) = 8.290 MHz  <============ why ?
 
 ; =====================================
-            MOV     #32,X
+            MOV     #128,X
 
     .ELSEIF FREQUENCY = 16
 
@@ -362,32 +362,30 @@ NWAITS            = 1
             MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
                                         ; fCOCLKDIV = 32768 x 489+1) = 16.056 MHz ; measured : 16.02MHz
 ; =====================================
-            MOV     #64,X
+            MOV     #256,X
 
     .ELSEIF
     .error "bad frequency setting, only 0.5,1,2,4,8,16 MHz"
     .ENDIF
 
     .IFDEF LF_XTAL
-;            MOV     #0000h,&CSCTL3      ; FLL select XT1, FLLREFDIV=0 (default value)
+;           MOV     #0000h,&CSCTL3      ; FLL select XT1, FLLREFDIV=0 (default value)
             MOV     #0000h,&CSCTL4      ; ACLOCK select XT1, MCLK & SMCLK select DCOCLKDIV
     .ELSE
             BIS     #0010h,&CSCTL3      ; FLL select REFCLOCK
 ;           MOV     #0100h,&CSCTL4      ; ACLOCK select REFO, MCLK & SMCLK select DCOCLKDIV (default value)
     .ENDIF
 
-
-            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV with preserving a pending request for DEEP_RST
-            CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
-            JZ      ClockWaitX      ; yes : wait 800ms to stabilize power source
-            .word   0359h           ; no  : RRUM #1,X --> wait still 400 ms...
+            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV preserving a pending request for DEEP_RST
+;            CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
+;            JZ      ClockWaitX      ; yes
+;            .word   0759h           ; no  RRUM #2,X --> wait only 125 ms
+ClockWaitX  MOV     #5209,Y         ; wait 0.5s before starting after POR
                                     ;       ...because FLL lock time = 280 ms
-
-ClockWaitX  MOV     #-1,Y           ;
-ClockWaitY  SUB     #1,Y            ; 3 cycles loop
-            JNZ     ClockWaitY      ; 65535 = 196605 cycles delay = 200ms @ 1MHz
-            SUB     #1,X            ;
-            JNZ     ClockWaitX      ;
+ClockWaitY  SUB     #1,Y            ;1
+            JNZ     ClockWaitY      ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X            ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX      ; time to stabilize power source ( 500ms )
 
 ;WAITFLL     BIT #300h,&CSCTL7         ; wait FLL lock
 ;            JNZ WAITFLL
index 4a0fadc..4059fc6 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 C8 80 3E 80 04 05 00 18 00 E4 DF A6 D6
-66 C8 78 C8 00 00 00 00
+10 00 5A C8 80 3E 80 04 05 00 18 00 50 E1 44 D6
+20 C8 32 C8 00 00 00 00
 @C400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 E2 C2 22 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 E2 D2 22 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 6E CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 6E CC 2A C4 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 5C C9 24 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+5C C9 24 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 32 C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 98 C8
+3B 40 62 C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 46 C8 4D 15
+A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 E2 C2 22 02
+30 41 B2 40 13 00 0E 05 E2 D2 22 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 C8 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+0C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 1C 05 FD 27 82 48 0E 05 30 4D 8E C8 2D 83
+92 B3 1C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 C7
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A C7 04 45 4D 49 54 00 30 40
+B8 C8 E8 C8 04 45 43 48 4F 00 B2 40 82 48 86 C8
+82 43 E4 21 30 4D 68 C7 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 C8 92 43 E4 21 30 4D 1E C7 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E C9 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 C9 EF 3F 48 C9 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 C7 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 C9 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 C9 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 C8 04 28 43 52 29 00 87 12 A2 C9
+02 0D 0A 00 5C C9 2A C4 9E C7 02 43 52 00 30 40
+8C C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A C9 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 C9 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 C9 82 53 22 00 87 12
+34 C4 A2 C9 46 CC D2 C9 34 C4 22 00 22 CA C0 C9
+F2 C9 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 C9 82 2E 22 00 87 12
+DE C9 34 C4 5C C9 46 CC 2A C4 00 00 04 57 4F 52
 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,342 +111,366 @@ F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C6 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 CB 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 CB 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C6 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C9 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-CC 21 A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 6C CC
-87 4C 49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42
-CC 21 A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 21 B2 4F C8 21 3E 4F 82 43
-CA 21 87 12 6E C6 42 CA DA CC 3D 40 E6 CC E0 22
-3E 4F 3D 41 30 4D E8 CC 0A 4E 3E 4F 3D 40 FE CC
-38 27 3D 40 D4 CC 1A E2 BE 21 B9 27 B3 23 00 CD
-3E 4F 3D 40 D4 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CF CD 3F 5C CC 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 C4 CC 3C CD B2 41 CA 21
-B2 41 C8 21 B2 41 C6 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-BE 21 82 43 08 18 B0 12 2A C4 C2 C9 05 0D 0A 6F
-6B 20 80 C9 5A C6 44 C4 64 C6 32 C8 48 C9 C4 CC
-DE C4 92 C5 C2 C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CE 34 C4 30 FF C6 C7 D2 C5 C2 C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CE 90 C6
-F2 C4 E0 C5 6A CD C2 C9 05 0D 0A 20 20 20 DC C5
-72 CD B2 40 FA D4 5E D5 B2 40 A0 C8 94 C8 B2 40
-04 C9 14 C9 B2 40 AC C9 C0 C9 B2 40 00 C8 26 C8
-82 43 68 DD 82 43 74 DD 82 43 80 DD 82 43 B0 DD
-82 43 BC DD 82 43 C8 DD B2 40 0A 00 E2 21 30 41
-2A C8 05 41 42 4F 52 54 3F 40 80 20 A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C8 B0 12 CE CD A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 E2 C2 22 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 C2 C9 04 1B 5B 37
-6D 00 80 C9 34 C4 E4 21 F2 C4 54 C4 E0 C5 74 CE
-C2 C9 05 6C 69 6E 65 3A 80 C9 34 C4 01 00 28 C5
-7C C7 1E C9 80 C9 C2 C9 04 1B 5B 30 6D 00 80 C9
-70 D4 E0 C9 08 CE 02 CE 86 41 42 4F 52 54 22 00
-87 12 FE C9 34 C4 0E CE 6E CC 2A C4 9A CA 01 27
-87 12 6E C6 42 CA A0 CA E0 C5 AE CE 2A C4 08 CD
-96 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
-82 43 BE 21 30 4D B2 CE 01 5D B2 43 BE 21 30 4D
-BE CE 83 5B 27 5D 87 12 A0 CE 34 C4 34 C4 6E CC
-6E CC 2A C4 BE 4F 02 00 3E 4F 30 4D A6 C9 82 49
-53 00 87 12 90 C6 F2 C4 E0 C5 06 CF D6 CE 34 C4
-E4 CE 6E CC 2A C4 A0 CE E4 CE 2A C4 EE CE 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
-00 00 30 4D FA C9 87 52 45 43 55 52 53 45 19 42
-CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 4E CD
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C6 42 CA
-A0 CA 54 C4 E0 C5 AE CE 92 C5 E0 C5 6A CF 34 C4
-34 C4 6E CC 6E CC 34 C4 6E CC 6E CC 2A C4 82 9F
-BC 21 34 25 87 12 C2 C9 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CE 87 12 1E CA 6E C6
-42 CA 94 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49
-B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41
-30 41 3C CA 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CF BA 40 86 12 FC FF 05 3D B0 CC 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CF BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CF 06 43 52 45 41 54
-45 00 B0 12 8A CF BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CD 05 44 4F 45 53 3E 1A 42 BA 21 BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 D0 05 44
-45 46 45 52 B0 12 8A CF BA 40 30 40 FC FF BA 40
-2A D0 FE FF CF 3C D2 CE 01 3A B0 12 8A CF BA 40
-87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21
-30 4D 48 D0 81 3B 82 93 BE 21 24 27 87 12 34 C4
-2A C4 6E CC 6E CF C0 CE 2A C4 FA CF 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 D0 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE D0 86 5B
-45 4C 53 45 5D 00 87 12 34 C4 01 00 6E C6 42 CA
-B6 CC 44 C4 E0 C5 34 D1 8A C4 8A C4 C2 C9 04 5B
-49 46 5D 00 84 D0 EA C5 F2 D0 00 CB 2C C5 DC C5
-28 D1 8A C4 8A C4 C2 C9 06 5B 45 4C 53 45 5D 00
-84 D0 EA C5 16 D1 00 CB B8 D0 44 C4 E0 C5 12 D1
-2C C5 DC C5 28 D1 C2 C9 06 5B 54 48 45 4E 5D 00
-84 D0 EA C5 28 D1 B8 D0 54 C4 EA C5 30 D1 2A C4
-DC C5 CC D0 00 CB C2 C9 05 0D 0A 6B 6F 20 80 C9
-5A C6 44 C4 64 C6 32 C8 4A D1 82 43 CA 21 82 4E
-C6 21 B2 4F C8 21 3E 4F 3D 40 CC D0 30 4D BE D0
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 D1
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 86 C5 2A C4 70 D1 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C6 42 CA A0 CA 6C C4
-2A C4 A4 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CC 06 4D 41 52 4B 45 52 00 B0 12 8A CF
-BA 40 84 12 FC FF BA 40 A2 D1 FE FF 9A 42 CE 21
-00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
-19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0E CF
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
-CC 21 BE 40 E0 C5 00 00 2E 53 30 4D 2E D0 84 45
-4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C9 84 54
-48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 7C D0
-85 42 45 47 49 4E 30 40 C6 C7 2E D2 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 CC 21 1A 42 CC 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F C4 CF 85 57 48 49 4C 45
-87 12 F4 D1 78 C4 2A C4 26 CF 86 52 45 50 45 41
-54 00 87 12 72 D2 34 D2 2A C4 0E D2 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D B4 D1 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C8 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F C4 D2 85 4C 45 41 56 45 1A 42 CC 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 06 D3 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D2 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 D0 34 C4 10 00
-34 C4 00 00 F0 C5 34 C4 00 00 6E CC 12 C6 86 D3
-C6 C7 34 C4 CE 21 44 C4 F2 C4 6E CC FA C4 1A D0
-34 C4 D0 21 FA C4 2A C4 9E CE 05 46 4F 52 54 48
-84 12 A0 D3 EC D6 C2 D6 5A DF AA D3 AA D6 F8 D2
-24 DF 5C DE 94 D4 AE D4 32 DF 7A DE 46 C5 F0 DD
-C8 CE 70 DE 00 00 6C D2 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 21 38 40 D2 21 B6 3F 40 CF 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
-38 40 D0 21 A3 3F 2A CA 04 4F 4E 4C 59 00 82 43
-D2 21 30 4D 9C D2 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 21 E0 21 30 4D B0 D3 0E D4 22 D4
-32 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D3 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D4 A6 D6 E4 DF 8A D2 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D4 92 42 0C 18 76 D4
-EF 3F 66 D4 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 21 74 D4 92 42 CC 21 76 D4 30 4D 7A D4 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
-CC 21 0C 18 EC 3F 6C D3 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
-C2 CD B2 40 E4 DF 0C 18 B2 40 A6 D6 0E 18 CA 3F
-F0 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C9 06 0D 1B 5B 37 6D 23 00 80 C9 B0 C7 C2 C9
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C9 34 C4 30 FF C6 C7
-28 C5 7C C7 C2 C9 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CE C8 D4 04 57 41 52 4D 00 30 40 FA D4
-40 D2 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A CC 01 B2 43 02 02 B2 D3 06 02 F2 D0 06 00
-24 02 F2 D3 26 02 F2 40 FD 00 22 02 F2 40 A5 00
-A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5
-60 01 B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40
-E9 01 84 01 39 40 40 00 B2 D0 10 00 86 01 92 D2
-5E 01 08 18 A2 93 08 18 01 24 59 03 38 43 18 83
-FE 23 19 83 FB 23 3A 40 6E D5 39 40 DA FF 89 4A
-00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40 18 00
-0A 18 39 40 00 20 89 43 00 00 29 53 39 90 FF 2F
-FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4 36 40
-BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00 E2 21
-B2 43 B4 21 92 C3 30 01 18 42 08 18 F2 B0 10 00
-00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
-00 05 B2 42 06 05 B2 40 A1 F7 08 05 F2 D0 30 00
-0A 02 92 C3 00 05 92 D3 1A 05 3D 40 74 D6 18 42
-08 18 38 90 0A 00 33 27 38 90 16 00 30 2F 28 93
-09 23 FE 26 5C D5 D8 D3 09 41 53 53 45 4D 42 4C
-45 52 84 12 A0 D3 CC DC 78 DD 80 DC CC DD 46 DC
-00 DD 4A D9 00 00 3C DC EC DC 9E DC DC DC 5A DA
-00 00 00 00 DE DD D4 D3 F2 D4 85 48 49 32 4C 4F
-87 12 C6 C7 08 D2 6E CC C0 CE DE D3 82 D6 2A C4
-62 D5 04 43 4F 44 45 00 B0 12 8A CF A2 82 CC 21
-87 12 5C D0 DC C5 BA D6 00 00 07 45 4E 44 43 4F
-44 45 87 12 F8 D3 6E CF 2A C4 78 D6 03 41 53 4D
-92 42 E0 21 C0 21 B2 40 86 D6 E0 21 E5 3F DA D6
-06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21 E9 3F
-00 00 05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40 87 12
-00 00 A2 53 CC 21 B2 43 BE 21 30 40 F8 D3 00 00
-05 4C 4F 32 48 49 1A 42 CC 21 BA 40 B0 12 00 00
-BA 40 2A C4 02 00 A2 52 CC 21 ED 3F 38 40 C6 21
-39 48 2A 48 09 5A 1A 52 CA 21 09 9A 03 24 7E 9A
-FC 27 1A 83 0E 4A 2A 88 82 4A CA 21 30 4D B0 12
-2A C4 42 CA A0 CA EA C5 82 D7 62 CB E0 C5 AE CE
-A4 D7 84 D7 29 4E 39 90 86 12 02 20 2E 53 30 41
-39 90 85 12 03 20 1E 4E 02 00 30 41 39 90 84 12
-01 20 2E 52 30 41 19 42 CC 21 A2 53 CC 21 89 4E
-00 00 3E 40 29 00 12 12 CA 21 92 53 CA 21 B0 12
-2A C4 42 CA 62 CB E0 C5 D6 D7 CC D7 21 53 3E 90
-10 00 BB 2D 30 41 D8 D7 B2 41 CA 21 22 D3 30 41
-87 12 6E C6 4C D7 E8 D7 82 43 C4 21 92 42 CC 21
-C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90 23 00 00 00
-34 20 92 53 CA 21 B0 12 6E D7 0E 93 04 20 B2 40
-00 03 C4 21 27 3C 1E 93 04 20 B2 40 10 03 C4 21
-21 3C 2E 93 04 20 B2 40 20 03 C4 21 1B 3C 2E 92
-04 20 B2 40 20 02 C4 21 15 3C 3E 92 04 20 B2 40
-30 02 C4 21 0F 3C 3E 93 04 20 B2 40 30 03 C4 21
-09 3C B2 40 30 00 C4 21 19 42 CC 21 A2 53 CC 21
-89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00
-08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12 58 D8
-76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 21
-92 53 CA 21 B0 12 B6 D7 0E 20 B2 50 10 00 C4 21
-3E 40 2B 00 B0 12 B6 D7 32 24 92 92 C6 21 CA 21
-02 24 92 53 CA 21 8E 10 82 5E C4 21 D3 3F B0 12
-B6 D7 F9 23 B2 50 10 00 C4 21 3E 40 28 00 B0 12
-6E D7 30 12 A8 D8 67 3F 87 12 6E C6 4C D7 E0 D8
-FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50 82 00
-C4 21 C2 3F B0 12 B6 D7 DF 23 B2 50 80 00 C4 21
-3E 40 28 00 B0 12 6E D7 B0 12 A6 D7 D5 23 3D 40
-AE CE 30 4D 8C C8 04 52 45 54 49 00 87 12 34 C4
-00 13 6E CC 2A C4 34 C4 2C 00 E0 D7 D8 D8 30 D9
-2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 30 D7 03 4D
-4F 56 84 12 26 D9 00 40 3E D9 05 4D 4F 56 2E 42
-84 12 26 D9 40 40 00 00 03 41 44 44 84 12 26 D9
-00 50 58 D9 05 41 44 44 2E 42 84 12 26 D9 40 50
-64 D9 04 41 44 44 43 00 84 12 26 D9 00 60 72 D9
-06 41 44 44 43 2E 42 00 84 12 26 D9 40 60 16 D9
-04 53 55 42 43 00 84 12 26 D9 00 70 90 D9 06 53
-55 42 43 2E 42 00 84 12 26 D9 40 70 9E D9 03 53
-55 42 84 12 26 D9 00 80 AE D9 05 53 55 42 2E 42
-84 12 26 D9 40 80 12 D7 03 43 4D 50 84 12 26 D9
-00 90 C8 D9 05 43 4D 50 2E 42 84 12 26 D9 40 90
-00 D7 04 44 41 44 44 00 84 12 26 D9 00 A0 E2 D9
-06 44 41 44 44 2E 42 00 84 12 26 D9 40 A0 D4 D9
-03 42 49 54 84 12 26 D9 00 B0 00 DA 05 42 49 54
-2E 42 84 12 26 D9 40 B0 0C DA 03 42 49 43 84 12
-26 D9 00 C0 1A DA 05 42 49 43 2E 42 84 12 26 D9
-40 C0 26 DA 03 42 49 53 84 12 26 D9 00 D0 34 DA
-05 42 49 53 2E 42 84 12 26 D9 40 D0 00 00 03 58
-4F 52 84 12 26 D9 00 E0 4E DA 05 58 4F 52 2E 42
-84 12 26 D9 40 E0 80 D9 03 41 4E 44 84 12 26 D9
-00 F0 68 DA 05 41 4E 44 2E 42 84 12 26 D9 40 F0
-6E C6 E0 D7 86 DA 1A 42 C4 21 B2 F0 70 00 C4 21
-8A 10 3A F0 0F 00 82 DA C4 21 4A 3F BA D9 03 52
-52 43 84 12 80 DA 00 10 9E DA 05 52 52 43 2E 42
-84 12 80 DA 40 10 AA DA 04 53 57 50 42 00 84 12
-80 DA 80 10 B8 DA 03 52 52 41 84 12 80 DA 00 11
-C6 DA 05 52 52 41 2E 42 84 12 80 DA 40 11 D2 DA
-03 53 58 54 84 12 80 DA 80 11 00 00 04 50 55 53
-48 00 84 12 80 DA 00 12 EC DA 06 50 55 53 48 2E
-42 00 84 12 80 DA 40 12 40 DA 04 43 41 4C 4C 00
-84 12 80 DA 80 12 34 C4 2C 00 E0 D7 D8 D8 20 DB
-59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90 00 15
-00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00
-03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C7 C2 C9
-0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 14 CE
-FA DA 05 50 55 53 48 4D 84 12 16 DB 00 15 62 DB
-04 50 4F 50 4D 00 84 12 16 DB 00 17 6E C6 4C D7
-82 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21
-92 53 CA 21 3E 40 2C 00 B0 12 2A C4 42 CA 62 CB
-E0 C5 AE CE D8 D8 A8 DB 0A 4E 3E 4F 1A 83 2A 92
-CA 2F 8A 10 5A 06 6F 3F E0 DA 04 52 52 43 4D 00
-84 12 7C DB 50 00 BA DB 04 52 52 41 4D 00 84 12
-7C DB 50 01 C8 DB 04 52 4C 41 4D 00 84 12 7C DB
-50 02 D6 DB 04 52 52 55 4D 00 84 12 7C DB 50 03
-85 12 00 3C E4 DB 03 53 3E 3D 85 12 00 38 F6 DB
-02 53 3C 00 85 12 00 34 70 DB 03 30 3E 3D 85 12
-00 30 0A DC 02 30 3C 00 85 12 00 30 00 00 02 55
-3C 00 85 12 00 2C 1E DC 03 55 3E 3D 85 12 00 28
-14 DC 03 30 3C 3E 85 12 00 24 32 DC 02 30 3D 00
-85 12 00 20 98 C8 02 49 46 00 1A 42 CC 21 8A 4E
-00 00 A2 53 CC 21 0E 4A 30 4D 28 DC 04 54 48 45
-4E 00 1A 42 CC 21 08 4E 3E 4F 09 48 29 53 0A 89
-0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D F0 D9
-04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C 00 00
-A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F 5C DC 05 55
-4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21 2A 83
-0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 CC 21 30 4D 74 DA 05 41 47 41
-49 4E 87 12 F0 DB A4 DC 2A C4 00 00 05 57 48 49
-4C 45 87 12 4A DC 78 C4 2A C4 00 DC 06 52 45 50
-45 41 54 00 87 12 F0 DB A4 DC 62 DC 2A C4 00 00
-03 4A 4D 50 87 12 A0 CE F0 DB A4 DC 2A C4 3E B0
-00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28
-03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00
-04 3F 4A 4D 50 00 87 12 0E DD A0 CE 78 C4 A4 DC
-2A C4 44 DD 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
-98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F 0A DB
-03 42 57 31 84 12 42 DD 00 00 60 DD 03 42 57 32
-84 12 42 DD 00 00 6C DD 03 42 57 33 84 12 42 DD
-00 00 84 DD 3D 41 1A 42 CC 21 28 4E 08 93 08 20
-BA 4F 00 00 A2 53 CC 21 8E 4A 00 00 3E 4F 30 4D
-8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 82 DD
-00 00 A8 DD 03 46 57 32 84 12 82 DD 00 00 B4 DD
-03 46 57 33 84 12 82 DD 00 00 C0 DD 04 47 4F 54
-4F 00 87 12 F0 DB A0 CE 64 CC 2A C4 30 DD 05 3F
-47 4F 54 4F 87 12 0E DD A0 CE 64 CC 2A C4 8C D1
-09 7B 55 54 49 4C 49 54 59 7D 30 4D 08 D4 02 2E
-53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40
-80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11
-87 12 34 C4 3C 00 12 C9 B0 C7 34 C4 08 00 12 C9
-34 C4 3E 00 12 C9 48 C9 8A C4 8A C4 C4 C5 EA C5
-48 DE 62 C4 62 C4 2A C4 F0 C5 28 C6 F2 C4 7C C7
-34 C4 02 00 00 C6 4A DE 2A C4 FE DD 03 2E 52 53
-8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F 08 CB
-01 3F 2E 4E 30 40 7C C7 56 D5 05 57 4F 52 44 53
-87 12 BE C9 34 C4 03 00 5E C9 34 C4 D0 21 F2 C4
-50 C6 34 C4 10 00 44 C4 20 C5 3A D3 34 C4 00 00
-44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00 F0 C5
-44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5 E0 C5 CE DE
-62 C4 62 C4 28 C6 44 C4 50 C6 20 C5 F2 C4 34 C4
-02 00 00 C6 B0 DE 54 C4 E0 C5 10 DF 44 C4 34 C4
-02 00 28 C5 F2 C4 9A C4 50 C6 20 C5 FA C4 44 C4
-B6 CC 34 C4 7F 00 36 C5 80 C9 08 C5 34 C4 0F 00
-36 C5 34 C4 10 00 78 C4 28 C5 5E C9 DC C5 9C DE
-62 C4 2A C4 34 D3 03 4D 41 58 2E 9F 07 38 2F 53
-30 4D 16 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D
-4C D2 03 55 2E 52 87 12 B0 C4 9A C6 34 C4 00 00
-FA C6 2C C7 46 C7 BC C4 8A C4 28 C5 34 C4 00 00
-1A DF 5E C9 80 C9 2A C4 16 D4 04 44 55 4D 50 00
+8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 94 C5
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 21 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 21
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A CB 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C CB 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A C6 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 C8 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 C5 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E
+00 00 3E 4F 30 4D 44 CC 87 4C 49 54 45 52 41 4C
+82 93 BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40
+34 C4 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 C9 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 C8
+32 C6 22 CA A6 CC 3D 40 AE CC EA 22 50 3E B0 CC
+0A 4E 3E 4F 3D 40 C6 CC 40 27 3D 40 A0 CC 1A E2
+BE 21 C1 27 BB 23 C8 CC 3E 4F 3D 40 A0 CC C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 CF D5 3F 34 CC 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4
+9C CC 04 CD B2 41 CA 21 B2 41 C8 21 B2 41 C6 21
+3D 41 30 4D 9C C5 04 51 55 49 54 00 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 82 43 08 18 B0 12
+2A C4 A2 C9 05 0D 0A 6F 6B 20 5C C9 BE C8 24 C9
+9C CC DE C4 70 C5 A2 C9 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 CD 34 C4 30 FF 80 C7 AC C5
+A2 C9 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 CD
+4A C6 F2 C4 B8 C5 32 CD A2 C9 05 0D 0A 20 20 20
+B4 C5 3A CD E4 C7 05 41 42 4F 52 54 3F 40 80 20
+C5 3F B2 40 A6 D4 02 D5 B2 40 54 C8 48 C8 B2 40
+3C 21 2A C6 B2 40 B8 C8 F0 C8 B2 40 8C C9 A0 C9
+B2 40 BA C7 E0 C7 82 43 06 DD 82 43 12 DD 82 43
+1E DD 82 43 4E DD 82 43 5A DD 82 43 66 DD B2 40
+0A 00 E2 21 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 C8 B0 12 9E CD A2 B3 1C 05
+FD 27 B2 40 11 00 0E 05 E2 C2 22 02 92 C3 1C 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 1C 05 F3 23 87 12 A2 C9 04 1B 5B 37 6D 00
+5C C9 34 C4 E4 21 F2 C4 54 C4 B8 C5 3E CE A2 C9
+05 6C 69 6E 65 3A 5C C9 40 C5 36 C7 FA C8 5C C9
+A2 C9 04 1B 5B 30 6D 00 5C C9 1C D4 C0 C9 8C CD
+86 CD 86 41 42 4F 52 54 22 00 87 12 DE C9 34 C4
+D6 CD 46 CC 2A C4 7A CA 01 27 87 12 32 C6 22 CA
+80 CA B8 C5 78 CE 2A C4 D0 CC 50 C6 81 5C 92 42
+C6 21 CA 21 30 4D 00 00 81 5B 82 43 BE 21 30 4D
+7C CE 01 5D B2 43 BE 21 30 4D 88 CE 83 5B 27 5D
+87 12 6A CE 34 C4 34 C4 46 CC 46 CC 2A C4 BE 4F
+02 00 3E 4F 30 4D 86 C9 82 49 53 00 87 12 4A C6
+F2 C4 B8 C5 D0 CE A0 CE 34 C4 AE CE 46 CC 2A C4
+6A CE AE CE 2A C4 B8 CE 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 21 FA D0 80 00 00 00 30 4D DA C9
+87 52 45 43 55 52 53 45 19 42 CC 21 99 42 BA 21
+00 00 A2 53 CC 21 30 4D 16 CD 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 C6 22 CA 80 CA 54 C4 B8 C5
+78 CE 70 C5 B8 C5 34 CF 34 C4 34 C4 46 CC 46 CC
+34 C4 46 CC 46 CC 2A C4 82 9F BC 21 25 25 87 12
+A2 C9 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 CD 9C CE 81 3B 82 93 BE 21 90 27 87 12
+34 C4 2A C4 46 CC 38 CF 8A CE 2A C4 BA 40 87 12
+FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21 30 4D
+56 CF 01 3A 30 12 6C CF 87 12 FE C9 32 C6 22 CA
+92 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 21
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49 B8 21
+82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41 30 41
+1C CA 08 56 41 52 49 41 42 4C 45 00 B0 12 88 CF
+BA 40 86 12 FC FF DC 3C 88 CC 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 CF BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA CF 06 43 52 45 41 54 45 00
+B0 12 88 CF BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 CC 05 44 4F 45 53 3E 1A 42 BA 21 BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 D0 05 44 45 46
+45 52 B0 12 88 CF BA 40 30 40 FC FF BA 40 28 D0
+FE FF A6 3C F8 CF 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 CF 86 5B 54 48 45 4E 5D 00
+30 4D 78 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C4
+01 00 32 C6 22 CA 8E CC 44 C4 B8 C5 F6 D0 8A C4
+8A C4 A2 C9 04 5B 49 46 5D 00 4E D0 C2 C5 B8 D0
+7E C9 36 C5 B4 C5 EE D0 8A C4 8A C4 A2 C9 06 5B
+45 4C 53 45 5D 00 4E D0 C2 C5 DC D0 7E C9 40 C5
+44 C4 B8 C5 EE D0 36 C5 B4 C5 EE D0 A2 C9 06 5B
+54 48 45 4E 5D 00 4E D0 C2 C5 EE D0 40 C5 54 C4
+C2 C5 92 D0 2A C4 7E C9 A2 C9 05 0D 0A 6B 6F 20
+5C C9 BE C8 D6 C8 B4 C5 92 D0 84 D0 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C D1 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 C6 22 CA 80 CA
+6C C4 64 C5 2A C4 1C D1 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 C6 22 CA 80 CA 6C C4 2A C4 50 D1
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 CC
+06 4D 41 52 4B 45 52 00 B0 12 88 CF BA 40 84 12
+FC FF BA 40 4E D1 FE FF 9A 42 CE 21 00 00 28 83
+8A 48 02 00 A2 52 CC 21 18 42 B6 21 19 42 B8 21
+A8 49 FE FF 89 48 00 00 30 4D D8 CE 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 21 A2 52 CC 21 BE 40
+B8 C5 00 00 2E 53 30 4D 2C D0 84 45 4C 53 45 00
+A2 52 CC 21 1A 42 CC 21 BA 40 B4 C5 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 C9 84 54 48 45 4E 00
+9E 42 CC 21 00 00 3E 4F 30 4D 46 D0 85 42 45 47
+49 4E 30 40 80 C7 DA D1 85 55 4E 54 49 4C 39 40
+B8 C5 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 CE 85 41 47 41 49 4E 39 40
+B4 C5 EF 3F C2 CF 85 57 48 49 4C 45 87 12 A0 D1
+78 C4 2A C4 F0 CE 86 52 45 50 45 41 54 00 87 12
+1E D2 E0 D1 2A C4 BA D1 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 21 1E 42 CC 21 BE 40 C8 C5 FE FF
+A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D 60 D1
+84 4C 4F 4F 50 00 39 40 EA C5 A2 52 CC 21 1A 42
+CC 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83
+00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA C7 85 2B 4C 4F 4F 50 39 40 D8 C5 E5 3F
+70 D2 85 4C 45 41 56 45 1A 42 CC 21 BA 40 FA C5
+00 00 BA 40 B4 C5 02 00 B2 50 06 00 CC 21 A2 53
+00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D B2 D2
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 D2 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 D0 34 C4 10 00 34 C4 00 00
+C8 C5 34 C4 00 00 46 CC EA C5 32 D3 80 C7 34 C4
+CE 21 44 C4 F2 C4 46 CC FA C4 18 D0 34 C4 D0 21
+FA C4 2A C4 68 CE 05 46 4F 52 54 48 84 12 4C D3
+9A DD 60 D6 0A DF F2 E0 A6 DF A4 D2 D4 DE 02 DE
+20 DE 38 E1 E2 DE 2A DE 00 00 96 DF 92 CE 16 DE
+00 00 18 D2 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 21 38 40 D2 21 B6 3F 0A CF 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 21 38 40 D0 21
+A3 3F 0A CA 04 4F 4E 4C 59 00 82 43 D2 21 30 4D
+48 D2 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 21 E0 21 30 4D 5C D3 BA D3 CE D3 DE D3 3A 4E
+82 4A CE 21 2E 4E 82 4E CC 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A D3 09 50 57 52 5F 53 54 41 54 45 84 12 D6 D3
+44 D6 50 E1 36 D2 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 D4 92 42 0C 18 22 D4 EF 3F 12 D4
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 21 20 D4
+92 42 CC 21 22 D4 30 4D 26 D4 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 21 0E 18 92 42 CC 21 0C 18
+EC 3F 18 D3 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 DA FF FA 23 B0 12 92 CD B2 40
+50 E1 0C 18 B2 40 44 D6 0E 18 CA 3F 9C D1 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 C9 06 0D
+1B 5B 37 6D 23 00 5C C9 6A C7 A2 C9 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C C9 34 C4
+30 FF 80 C7 28 C5 36 C7 A2 C9 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 CD 74 D4 04 57 41 52 4D 00
+30 40 A6 D4 EC D1 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A CC 01 B2 43 02 02 B2 D3 06 02
+F2 D0 06 00 24 02 F2 D3 26 02 F2 40 FD 00 22 02
+F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
+B2 40 00 A5 60 01 B2 40 29 01 80 01 B2 40 0B 00
+82 01 B2 40 E9 01 84 01 39 40 00 01 B2 D0 10 00
+86 01 92 D2 5E 01 08 18 38 40 59 14 18 83 FE 23
+19 83 FA 23 3A 40 12 D5 39 40 DA FF 89 4A 00 00
+29 53 FC 23 92 42 02 18 E4 FF B2 40 18 00 0A 18
+39 40 00 20 89 43 00 00 29 53 39 90 FF 2F FA 2B
+31 40 E0 20 3F 40 80 20 37 40 00 C4 36 40 BC C4
+35 40 08 C4 34 40 14 C4 B2 40 0A 00 E2 21 B2 43
+B4 21 92 C3 30 01 18 42 08 18 F2 B0 10 00 00 02
+04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 00 05
+B2 42 06 05 B2 40 A1 F7 08 05 F2 D0 30 00 0A 02
+92 C3 00 05 92 D3 1A 05 3D 40 12 D6 18 42 08 18
+38 90 0A 00 3A 27 38 90 16 00 37 2F 28 93 10 23
+05 27 00 D5 84 D3 09 41 53 53 45 4D 42 4C 45 52
+84 12 4C D3 6A DC 16 DD 1E DC 6A DD E4 DB 9E DC
+E8 D8 00 00 DA DB 8A DC 3C DC 7A DC F8 D9 00 00
+00 00 7C DD 80 D3 9E D4 85 48 49 32 4C 4F 87 12
+80 C7 B4 D1 46 CC 8A CE 8A D3 20 D6 2A C4 06 D5
+04 43 4F 44 45 00 B0 12 88 CF A2 82 CC 21 87 12
+7A CF B4 C5 58 D6 00 00 07 45 4E 44 43 4F 44 45
+87 12 A4 D3 38 CF 2A C4 16 D6 03 41 53 4D 92 42
+E0 21 C0 21 B2 40 24 D6 E0 21 E5 3F 78 D6 06 45
+4E 44 41 53 4D 00 92 42 C0 21 E0 21 E9 3F 00 00
+05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40 87 12 00 00
+A2 53 CC 21 B2 43 BE 21 30 40 A4 D3 00 00 05 4C
+4F 32 48 49 1A 42 CC 21 BA 40 B0 12 00 00 BA 40
+2A C4 02 00 A2 52 CC 21 ED 3F 38 40 C6 21 39 48
+2A 48 09 5A 1A 52 CA 21 09 9A 03 24 7E 9A FC 27
+1A 83 0E 4A 2A 88 82 4A CA 21 30 4D B0 12 2A C4
+22 CA 80 CA C2 C5 20 D7 3A CB B8 C5 78 CE 42 D7
+22 D7 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
+85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
+2E 52 30 41 19 42 CC 21 A2 53 CC 21 89 4E 00 00
+3E 40 29 00 12 12 CA 21 92 53 CA 21 B0 12 2A C4
+22 CA 3A CB B8 C5 74 D7 6A D7 21 53 3E 90 10 00
+BB 2D 30 41 76 D7 B2 41 CA 21 22 D3 30 41 87 12
+32 C6 EA D6 86 D7 82 43 C4 21 92 42 CC 21 C2 21
+A2 53 CC 21 0A 4E 3E 4F FA 90 23 00 00 00 34 20
+92 53 CA 21 B0 12 0C D7 0E 93 04 20 B2 40 00 03
+C4 21 27 3C 1E 93 04 20 B2 40 10 03 C4 21 21 3C
+2E 93 04 20 B2 40 20 03 C4 21 1B 3C 2E 92 04 20
+B2 40 20 02 C4 21 15 3C 3E 92 04 20 B2 40 30 02
+C4 21 0F 3C 3E 93 04 20 B2 40 30 03 C4 21 09 3C
+B2 40 30 00 C4 21 19 42 CC 21 A2 53 CC 21 89 4E
+00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
+B2 40 10 02 C4 21 92 53 CA 21 30 12 F6 D7 76 3F
+FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 21 92 53
+CA 21 B0 12 54 D7 0E 20 B2 50 10 00 C4 21 3E 40
+2B 00 B0 12 54 D7 32 24 92 92 C6 21 CA 21 02 24
+92 53 CA 21 8E 10 82 5E C4 21 D3 3F B0 12 54 D7
+F9 23 B2 50 10 00 C4 21 3E 40 28 00 B0 12 0C D7
+30 12 46 D8 67 3F 87 12 32 C6 EA D6 7E D8 FE 90
+26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 21
+C2 3F B0 12 54 D7 DF 23 B2 50 80 00 C4 21 3E 40
+28 00 B0 12 0C D7 B0 12 44 D7 D5 23 3D 40 78 CE
+30 4D 40 C8 04 52 45 54 49 00 87 12 34 C4 00 13
+46 CC 2A C4 34 C4 2C 00 7E D7 76 D8 CE D8 2E 4E
+1E D2 C4 21 19 42 C2 21 92 3F CE D6 03 4D 4F 56
+84 12 C4 D8 00 40 DC D8 05 4D 4F 56 2E 42 84 12
+C4 D8 40 40 00 00 03 41 44 44 84 12 C4 D8 00 50
+F6 D8 05 41 44 44 2E 42 84 12 C4 D8 40 50 02 D9
+04 41 44 44 43 00 84 12 C4 D8 00 60 10 D9 06 41
+44 44 43 2E 42 00 84 12 C4 D8 40 60 B4 D8 04 53
+55 42 43 00 84 12 C4 D8 00 70 2E D9 06 53 55 42
+43 2E 42 00 84 12 C4 D8 40 70 3C D9 03 53 55 42
+84 12 C4 D8 00 80 4C D9 05 53 55 42 2E 42 84 12
+C4 D8 40 80 B0 D6 03 43 4D 50 84 12 C4 D8 00 90
+66 D9 05 43 4D 50 2E 42 84 12 C4 D8 40 90 9E D6
+04 44 41 44 44 00 84 12 C4 D8 00 A0 80 D9 06 44
+41 44 44 2E 42 00 84 12 C4 D8 40 A0 72 D9 03 42
+49 54 84 12 C4 D8 00 B0 9E D9 05 42 49 54 2E 42
+84 12 C4 D8 40 B0 AA D9 03 42 49 43 84 12 C4 D8
+00 C0 B8 D9 05 42 49 43 2E 42 84 12 C4 D8 40 C0
+C4 D9 03 42 49 53 84 12 C4 D8 00 D0 D2 D9 05 42
+49 53 2E 42 84 12 C4 D8 40 D0 00 00 03 58 4F 52
+84 12 C4 D8 00 E0 EC D9 05 58 4F 52 2E 42 84 12
+C4 D8 40 E0 1E D9 03 41 4E 44 84 12 C4 D8 00 F0
+06 DA 05 41 4E 44 2E 42 84 12 C4 D8 40 F0 32 C6
+7E D7 24 DA 1A 42 C4 21 B2 F0 70 00 C4 21 8A 10
+3A F0 0F 00 82 DA C4 21 4A 3F 58 D9 03 52 52 43
+84 12 1E DA 00 10 3C DA 05 52 52 43 2E 42 84 12
+1E DA 40 10 48 DA 04 53 57 50 42 00 84 12 1E DA
+80 10 56 DA 03 52 52 41 84 12 1E DA 00 11 64 DA
+05 52 52 41 2E 42 84 12 1E DA 40 11 70 DA 03 53
+58 54 84 12 1E DA 80 11 00 00 04 50 55 53 48 00
+84 12 1E DA 00 12 8A DA 06 50 55 53 48 2E 42 00
+84 12 1E DA 40 12 DE D9 04 43 41 4C 4C 00 84 12
+1E DA 80 12 34 C4 2C 00 7E D7 76 D8 BE DA 59 42
+C4 21 5A 42 C5 21 82 4A C4 21 BE 90 00 15 00 00
+02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
+5A 0E A8 3F 1A 53 0E 4A 87 12 6A C7 A2 C9 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 E2 CD 98 DA
+05 50 55 53 48 4D 84 12 B4 DA 00 15 00 DB 04 50
+4F 50 4D 00 84 12 B4 DA 00 17 32 C6 EA D6 20 DB
+82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21 92 53
+CA 21 3E 40 2C 00 B0 12 2A C4 22 CA 3A CB B8 C5
+78 CE 76 D8 46 DB 0A 4E 3E 4F 1A 83 2A 92 CA 2F
+8A 10 5A 06 6F 3F 7E DA 04 52 52 43 4D 00 84 12
+1A DB 50 00 58 DB 04 52 52 41 4D 00 84 12 1A DB
+50 01 66 DB 04 52 4C 41 4D 00 84 12 1A DB 50 02
+74 DB 04 52 52 55 4D 00 84 12 1A DB 50 03 85 12
+00 3C 82 DB 03 53 3E 3D 85 12 00 38 94 DB 02 53
+3C 00 85 12 00 34 0E DB 03 30 3E 3D 85 12 00 30
+A8 DB 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
+85 12 00 2C BC DB 03 55 3E 3D 85 12 00 28 B2 DB
+03 30 3C 3E 85 12 00 24 D0 DB 02 30 3D 00 85 12
+00 20 4C C8 02 49 46 00 1A 42 CC 21 8A 4E 00 00
+A2 53 CC 21 0E 4A 30 4D C6 DB 04 54 48 45 4E 00
+1A 42 CC 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
+3A 90 00 02 68 2F 88 DA 00 00 30 4D 8E D9 04 45
+4C 53 45 00 1A 42 CC 21 BA 40 00 3C 00 00 A2 53
+CC 21 2F 83 8F 4A 00 00 E3 3F FA DB 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21 2A 83 0A 89
+0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 CC 21 30 4D 12 DA 05 41 47 41 49 4E
+87 12 8E DB 42 DC 2A C4 00 00 05 57 48 49 4C 45
+87 12 E8 DB 78 C4 2A C4 9E DB 06 52 45 50 45 41
+54 00 87 12 8E DB 42 DC 00 DC 2A C4 00 00 03 4A
+4D 50 87 12 6A CE 8E DB 42 DC 2A C4 3E B0 00 10
+03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
+3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
+4A 4D 50 00 87 12 AC DC 6A CE 78 C4 42 DC 2A C4
+E2 DC 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
+CC 21 00 00 30 4D 88 43 00 00 A4 3F A8 DA 03 42
+57 31 84 12 E0 DC 00 00 FE DC 03 42 57 32 84 12
+E0 DC 00 00 0A DD 03 42 57 33 84 12 E0 DC 00 00
+22 DD 3D 41 1A 42 CC 21 28 4E 08 93 08 20 BA 4F
+00 00 A2 53 CC 21 8E 4A 00 00 3E 4F 30 4D 8E 43
+00 00 61 3F 00 00 03 46 57 31 84 12 20 DD 00 00
+46 DD 03 46 57 32 84 12 20 DD 00 00 52 DD 03 46
+57 33 84 12 20 DD 00 00 5E DD 04 47 4F 54 4F 00
+87 12 8E DB 6A CE 3C CC 2A C4 CE DC 05 3F 47 4F
+54 4F 87 12 AC DC 6A CE 3C CC 2A C4 38 D1 07 7B
+54 4F 4F 4C 53 7D 30 4D 8A D6 03 41 4E 44 3E FF
+30 4D B4 D3 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
+8F 4E FA FF 3E 40 80 20 2E 83 8F 4E FC FF 3F 80
+06 00 2E 8F 0E 11 87 12 34 C4 3C 00 EE C8 6A C7
+34 C4 08 00 EE C8 34 C4 3E 00 EE C8 24 C9 8A C4
+8A C4 96 C5 C2 C5 EE DD 62 C4 62 C4 2A C4 C8 C5
+00 C6 F2 C4 36 C7 34 C4 02 00 D8 C5 F0 DD 2A C4
+A4 DD 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
+E0 20 D3 3F E0 CA 01 3F 2E 4E 30 40 36 C7 40 D4
+03 50 41 44 85 12 E4 20 FA D4 05 57 4F 52 44 53
+87 12 9E C9 34 C4 03 00 3A C9 34 C4 D0 21 F2 C4
+24 DE 34 C4 10 00 44 C4 20 C5 E6 D2 34 C4 00 00
+44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00 C8 C5
+44 C4 00 C6 24 DE 20 C5 F2 C4 AC C5 B8 C5 7E DE
+62 C4 62 C4 00 C6 44 C4 24 DE 20 C5 F2 C4 34 C4
+02 00 D8 C5 60 DE 54 C4 B8 C5 C0 DE 44 C4 34 C4
+02 00 28 C5 F2 C4 9A C4 24 DE 20 C5 FA C4 44 C4
+8E CC 34 C4 7F 00 9E DD 5C C9 08 C5 34 C4 0F 00
+9E DD 34 C4 10 00 78 C4 28 C5 3A C9 B4 C5 4C DE
+62 C4 2A C4 E0 D2 03 4D 41 58 2E 9F 07 38 2F 53
+30 4D C6 DE 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D
+F8 D1 03 55 2E 52 87 12 B0 C4 54 C6 34 C4 00 00
+B4 C6 E6 C6 00 C7 BC C4 8A C4 28 C5 34 C4 00 00
+CA DE 3A C9 5C C9 2A C4 C2 D3 04 44 55 4D 50 00
 0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F B0 12
-2A C4 78 C4 8A C4 8A C4 7C C7 34 C4 01 00 28 C5
-7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9 28 C6 34 C4
-07 00 36 DF 48 C9 28 C6 34 C4 10 00 20 C5 28 C6
-F0 C5 28 C6 08 C5 34 C4 03 00 36 DF 12 C6 A2 DF
-48 C9 48 C9 28 C6 34 C4 10 00 20 C5 28 C6 F0 C5
-28 C6 08 C5 34 C4 7E 00 28 DF 6E C6 1A DF 12 C9
-12 C6 C0 DF 34 C4 10 00 00 C6 8A DF BC C4 84 C6
-FA C4 2A C4
+2A C4 78 C4 8A C4 8A C4 36 C7 34 C4 01 00 28 C5
+36 C7 34 C4 FE FF 9E DD C8 C5 9E C9 00 C6 34 C4
+07 00 E6 DE 24 C9 00 C6 34 C4 10 00 20 C5 00 C6
+C8 C5 00 C6 08 C5 34 C4 03 00 E6 DE EA C5 52 DF
+24 C9 24 C9 00 C6 34 C4 10 00 20 C5 00 C6 C8 C5
+00 C6 08 C5 34 C4 7E 00 D8 DE 32 C6 CA DE EE C8
+EA C5 70 DF 34 C4 10 00 D8 C5 3A DF BC C4 3E C6
+FA C4 2A C4 8E DD 0A 7B 46 49 58 50 4F 49 4E 54
+7D 00 30 4D 48 D6 05 48 4F 4C 44 53 39 4F 09 5E
+18 42 B2 21 19 83 1E 83 04 28 18 83 E8 49 00 00
+F9 3F 82 48 B2 21 3E 4F 30 4D 56 D3 02 46 2B 00
+BF 5F 02 00 3E 6F 30 4D CC DF 02 46 2D 00 BF 8F
+02 00 8F 7E 00 00 3E 4F 30 4D DA DF 02 46 2F 00
+1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F 02 00
+39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63 3E B0
+40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20 09 96
+02 28 09 86 0A 7E 07 67 04 64 15 83 09 30 0B 5B
+08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F
+2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 30 4D EC DF 03 46
+23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00 2B 42
+B2 90 0A 00 E2 21 01 20 1B 53 0C 43 A2 4F C0 04
+92 42 E2 21 C8 04 9F 42 E4 04 00 00 1E 42 E6 04
+3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E
+90 21 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40
+90 21 75 3F 6E E0 02 46 2A 00 92 4F 04 00 D4 04
+92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52
+00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D
+C6 E0 02 46 2E 00 87 12 54 C6 44 C4 B0 C4 4C C5
+72 E0 34 C4 2C 00 16 C7 E6 C6 BC C4 24 C7 00 C7
+5C C9 24 C9 2A C4 5A D4 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 18 E1 02 32 40 00 2F 83 9F 4E 02 00
+00 00 2E 4E 30 4D 26 E1 09 32 43 4F 4E 53 54 41
+4E 54 87 12 00 D0 46 CC 46 CC 18 D0 2A E1 2A C4
 @FFFE
-6E D5
+12 D5
 q
diff --git a/CHIPSTICK_FR2433_16MHz_3Mbds.txt b/CHIPSTICK_FR2433_16MHz_3Mbds.txt
deleted file mode 100644 (file)
index 23fc49c..0000000
+++ /dev/null
@@ -1,454 +0,0 @@
-@1800
-10 00 A6 C8 80 3E 30 75 05 00 18 00 E6 DF A8 D6
-66 C8 78 C8 00 00 00 00
-@C400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C4
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 C4 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 C4 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 C4 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E C4 02 3E 52 00
-0E 12 3E 4F 30 4D 96 C4 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 C4 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C C4 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 C4 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 E2 C2 22 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 E2 D2 22 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 6E CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 6E CC 2A C4 00 00 04 57 4F 52
-44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 21 82 4A CA 21 1E 42 CC 21
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 21 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C6 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 CB 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 CB 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C6 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C9 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-CC 21 A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 6C CC
-87 4C 49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42
-CC 21 A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 21 B2 4F C8 21 3E 4F 82 43
-CA 21 87 12 6E C6 42 CA DA CC 3D 40 E6 CC E0 22
-3E 4F 3D 41 30 4D E8 CC 0A 4E 3E 4F 3D 40 FE CC
-38 27 3D 40 D4 CC 1A E2 BE 21 B9 27 B3 23 00 CD
-3E 4F 3D 40 D4 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CF CD 3F 5C CC 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 C4 CC 3C CD B2 41 CA 21
-B2 41 C8 21 B2 41 C6 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-BE 21 82 43 08 18 B0 12 2A C4 C2 C9 05 0D 0A 6F
-6B 20 80 C9 5A C6 44 C4 64 C6 32 C8 48 C9 C4 CC
-DE C4 92 C5 C2 C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CE 34 C4 30 FF C6 C7 D2 C5 C2 C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CE 90 C6
-F2 C4 E0 C5 6A CD C2 C9 05 0D 0A 20 20 20 DC C5
-72 CD B2 40 FA D4 5E D5 B2 40 A0 C8 94 C8 B2 40
-04 C9 14 C9 B2 40 AC C9 C0 C9 B2 40 00 C8 26 C8
-82 43 6A DD 82 43 76 DD 82 43 82 DD 82 43 B2 DD
-82 43 BE DD 82 43 CA DD B2 40 0A 00 E2 21 30 41
-2A C8 05 41 42 4F 52 54 3F 40 80 20 A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C8 B0 12 CE CD A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 E2 C2 22 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 C2 C9 04 1B 5B 37
-6D 00 80 C9 34 C4 E4 21 F2 C4 54 C4 E0 C5 74 CE
-C2 C9 05 6C 69 6E 65 3A 80 C9 34 C4 01 00 28 C5
-7C C7 1E C9 80 C9 C2 C9 04 1B 5B 30 6D 00 80 C9
-70 D4 E0 C9 08 CE 02 CE 86 41 42 4F 52 54 22 00
-87 12 FE C9 34 C4 0E CE 6E CC 2A C4 9A CA 01 27
-87 12 6E C6 42 CA A0 CA E0 C5 AE CE 2A C4 08 CD
-96 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
-82 43 BE 21 30 4D B2 CE 01 5D B2 43 BE 21 30 4D
-BE CE 83 5B 27 5D 87 12 A0 CE 34 C4 34 C4 6E CC
-6E CC 2A C4 BE 4F 02 00 3E 4F 30 4D A6 C9 82 49
-53 00 87 12 90 C6 F2 C4 E0 C5 06 CF D6 CE 34 C4
-E4 CE 6E CC 2A C4 A0 CE E4 CE 2A C4 EE CE 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
-00 00 30 4D FA C9 87 52 45 43 55 52 53 45 19 42
-CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 4E CD
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C6 42 CA
-A0 CA 54 C4 E0 C5 AE CE 92 C5 E0 C5 6A CF 34 C4
-34 C4 6E CC 6E CC 34 C4 6E CC 6E CC 2A C4 82 9F
-BC 21 34 25 87 12 C2 C9 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CE 87 12 1E CA 6E C6
-42 CA 94 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49
-B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41
-30 41 3C CA 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CF BA 40 86 12 FC FF 05 3D B0 CC 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CF BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CF 06 43 52 45 41 54
-45 00 B0 12 8A CF BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CD 05 44 4F 45 53 3E 1A 42 BA 21 BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 D0 05 44
-45 46 45 52 B0 12 8A CF BA 40 30 40 FC FF BA 40
-2A D0 FE FF CF 3C D2 CE 01 3A B0 12 8A CF BA 40
-87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21
-30 4D 48 D0 81 3B 82 93 BE 21 24 27 87 12 34 C4
-2A C4 6E CC 6E CF C0 CE 2A C4 FA CF 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 D0 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE D0 86 5B
-45 4C 53 45 5D 00 87 12 34 C4 01 00 6E C6 42 CA
-B6 CC 44 C4 E0 C5 34 D1 8A C4 8A C4 C2 C9 04 5B
-49 46 5D 00 84 D0 EA C5 F2 D0 00 CB 2C C5 DC C5
-28 D1 8A C4 8A C4 C2 C9 06 5B 45 4C 53 45 5D 00
-84 D0 EA C5 16 D1 00 CB B8 D0 44 C4 E0 C5 12 D1
-2C C5 DC C5 28 D1 C2 C9 06 5B 54 48 45 4E 5D 00
-84 D0 EA C5 28 D1 B8 D0 54 C4 EA C5 30 D1 2A C4
-DC C5 CC D0 00 CB C2 C9 05 0D 0A 6B 6F 20 80 C9
-5A C6 44 C4 64 C6 32 C8 4A D1 82 43 CA 21 82 4E
-C6 21 B2 4F C8 21 3E 4F 3D 40 CC D0 30 4D BE D0
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 D1
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 86 C5 2A C4 70 D1 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C6 42 CA A0 CA 6C C4
-2A C4 A4 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CC 06 4D 41 52 4B 45 52 00 B0 12 8A CF
-BA 40 84 12 FC FF BA 40 A2 D1 FE FF 9A 42 CE 21
-00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
-19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0E CF
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
-CC 21 BE 40 E0 C5 00 00 2E 53 30 4D 2E D0 84 45
-4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C9 84 54
-48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 7C D0
-85 42 45 47 49 4E 30 40 C6 C7 2E D2 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 CC 21 1A 42 CC 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F C4 CF 85 57 48 49 4C 45
-87 12 F4 D1 78 C4 2A C4 26 CF 86 52 45 50 45 41
-54 00 87 12 72 D2 34 D2 2A C4 0E D2 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D B4 D1 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C8 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F C4 D2 85 4C 45 41 56 45 1A 42 CC 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 06 D3 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D2 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 D0 34 C4 10 00
-34 C4 00 00 F0 C5 34 C4 00 00 6E CC 12 C6 86 D3
-C6 C7 34 C4 CE 21 44 C4 F2 C4 6E CC FA C4 1A D0
-34 C4 D0 21 FA C4 2A C4 9E CE 05 46 4F 52 54 48
-84 12 A0 D3 EE D6 C4 D6 5C DF AA D3 AC D6 F8 D2
-26 DF 5E DE 94 D4 AE D4 34 DF 7C DE 46 C5 F2 DD
-C8 CE 72 DE 00 00 6C D2 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 21 38 40 D2 21 B6 3F 40 CF 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
-38 40 D0 21 A3 3F 2A CA 04 4F 4E 4C 59 00 82 43
-D2 21 30 4D 9C D2 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 21 E0 21 30 4D B0 D3 0E D4 22 D4
-32 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D3 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D4 A8 D6 E6 DF 8A D2 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D4 92 42 0C 18 76 D4
-EF 3F 66 D4 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 21 74 D4 92 42 CC 21 76 D4 30 4D 7A D4 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
-CC 21 0C 18 EC 3F 6C D3 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
-C2 CD B2 40 E6 DF 0C 18 B2 40 A8 D6 0E 18 CA 3F
-F0 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C9 06 0D 1B 5B 37 6D 23 00 80 C9 B0 C7 C2 C9
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C9 34 C4 30 FF C6 C7
-28 C5 7C C7 C2 C9 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CE C8 D4 04 57 41 52 4D 00 30 40 FA D4
-40 D2 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A CC 01 B2 43 02 02 B2 D3 06 02 F2 D0 06 00
-24 02 F2 D3 26 02 F2 40 FD 00 22 02 F2 40 A5 00
-A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5
-60 01 B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40
-E9 01 84 01 39 40 40 00 B2 D0 10 00 86 01 92 D2
-5E 01 08 18 A2 93 08 18 01 24 59 03 38 43 18 83
-FE 23 19 83 FB 23 3A 40 6E D5 39 40 DA FF 89 4A
-00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40 18 00
-0A 18 39 40 00 20 89 43 00 00 29 53 39 90 FF 2F
-FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4 36 40
-BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00 E2 21
-B2 43 B4 21 92 C3 30 01 18 42 08 18 F2 B0 10 00
-00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
-00 05 B2 40 05 00 06 05 B2 40 00 49 08 05 F2 D0
-30 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40 76 D6
-18 42 08 18 38 90 0A 00 32 27 38 90 16 00 2F 2F
-28 93 08 23 FD 26 5C D5 D8 D3 09 41 53 53 45 4D
-42 4C 45 52 84 12 A0 D3 CE DC 7A DD 82 DC CE DD
-48 DC 02 DD 4C D9 00 00 3E DC EE DC A0 DC DE DC
-5C DA 00 00 00 00 E0 DD D4 D3 F2 D4 85 48 49 32
-4C 4F 87 12 C6 C7 08 D2 6E CC C0 CE DE D3 84 D6
-2A C4 62 D5 04 43 4F 44 45 00 B0 12 8A CF A2 82
-CC 21 87 12 5C D0 DC C5 BC D6 00 00 07 45 4E 44
-43 4F 44 45 87 12 F8 D3 6E CF 2A C4 7A D6 03 41
-53 4D 92 42 E0 21 C0 21 B2 40 88 D6 E0 21 E5 3F
-DC D6 06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21
-E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40
-87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40 F8 D3
-00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40 B0 12
-00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F 38 40
-C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A 03 24
-7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21 30 4D
-B0 12 2A C4 42 CA A0 CA EA C5 84 D7 62 CB E0 C5
-AE CE A6 D7 86 D7 29 4E 39 90 86 12 02 20 2E 53
-30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
-84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53 CC 21
-89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53 CA 21
-B0 12 2A C4 42 CA 62 CB E0 C5 D8 D7 CE D7 21 53
-3E 90 10 00 BB 2D 30 41 DA D7 B2 41 CA 21 22 D3
-30 41 87 12 6E C6 4E D7 EA D7 82 43 C4 21 92 42
-CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90 23 00
-00 00 34 20 92 53 CA 21 B0 12 70 D7 0E 93 04 20
-B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40 10 03
-C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21 1B 3C
-2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92 04 20
-B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40 30 03
-C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21 A2 53
-CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
-00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12
-5A D8 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
-C4 21 92 53 CA 21 B0 12 B8 D7 0E 20 B2 50 10 00
-C4 21 3E 40 2B 00 B0 12 B8 D7 32 24 92 92 C6 21
-CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21 D3 3F
-B0 12 B8 D7 F9 23 B2 50 10 00 C4 21 3E 40 28 00
-B0 12 70 D7 30 12 AA D8 67 3F 87 12 6E C6 4E D7
-E2 D8 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
-82 00 C4 21 C2 3F B0 12 B8 D7 DF 23 B2 50 80 00
-C4 21 3E 40 28 00 B0 12 70 D7 B0 12 A8 D7 D5 23
-3D 40 AE CE 30 4D 8C C8 04 52 45 54 49 00 87 12
-34 C4 00 13 6E CC 2A C4 34 C4 2C 00 E2 D7 DA D8
-32 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 32 D7
-03 4D 4F 56 84 12 28 D9 00 40 40 D9 05 4D 4F 56
-2E 42 84 12 28 D9 40 40 00 00 03 41 44 44 84 12
-28 D9 00 50 5A D9 05 41 44 44 2E 42 84 12 28 D9
-40 50 66 D9 04 41 44 44 43 00 84 12 28 D9 00 60
-74 D9 06 41 44 44 43 2E 42 00 84 12 28 D9 40 60
-18 D9 04 53 55 42 43 00 84 12 28 D9 00 70 92 D9
-06 53 55 42 43 2E 42 00 84 12 28 D9 40 70 A0 D9
-03 53 55 42 84 12 28 D9 00 80 B0 D9 05 53 55 42
-2E 42 84 12 28 D9 40 80 14 D7 03 43 4D 50 84 12
-28 D9 00 90 CA D9 05 43 4D 50 2E 42 84 12 28 D9
-40 90 02 D7 04 44 41 44 44 00 84 12 28 D9 00 A0
-E4 D9 06 44 41 44 44 2E 42 00 84 12 28 D9 40 A0
-D6 D9 03 42 49 54 84 12 28 D9 00 B0 02 DA 05 42
-49 54 2E 42 84 12 28 D9 40 B0 0E DA 03 42 49 43
-84 12 28 D9 00 C0 1C DA 05 42 49 43 2E 42 84 12
-28 D9 40 C0 28 DA 03 42 49 53 84 12 28 D9 00 D0
-36 DA 05 42 49 53 2E 42 84 12 28 D9 40 D0 00 00
-03 58 4F 52 84 12 28 D9 00 E0 50 DA 05 58 4F 52
-2E 42 84 12 28 D9 40 E0 82 D9 03 41 4E 44 84 12
-28 D9 00 F0 6A DA 05 41 4E 44 2E 42 84 12 28 D9
-40 F0 6E C6 E2 D7 88 DA 1A 42 C4 21 B2 F0 70 00
-C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F BC D9
-03 52 52 43 84 12 82 DA 00 10 A0 DA 05 52 52 43
-2E 42 84 12 82 DA 40 10 AC DA 04 53 57 50 42 00
-84 12 82 DA 80 10 BA DA 03 52 52 41 84 12 82 DA
-00 11 C8 DA 05 52 52 41 2E 42 84 12 82 DA 40 11
-D4 DA 03 53 58 54 84 12 82 DA 80 11 00 00 04 50
-55 53 48 00 84 12 82 DA 00 12 EE DA 06 50 55 53
-48 2E 42 00 84 12 82 DA 40 12 42 DA 04 43 41 4C
-4C 00 84 12 82 DA 80 12 34 C4 2C 00 E2 D7 DA D8
-22 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90
-00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
-10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C7
-C2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-14 CE FC DA 05 50 55 53 48 4D 84 12 18 DB 00 15
-64 DB 04 50 4F 50 4D 00 84 12 18 DB 00 17 6E C6
-4E D7 84 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53
-CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4 42 CA
-62 CB E0 C5 AE CE DA D8 AA DB 0A 4E 3E 4F 1A 83
-2A 92 CA 2F 8A 10 5A 06 6F 3F E2 DA 04 52 52 43
-4D 00 84 12 7E DB 50 00 BC DB 04 52 52 41 4D 00
-84 12 7E DB 50 01 CA DB 04 52 4C 41 4D 00 84 12
-7E DB 50 02 D8 DB 04 52 52 55 4D 00 84 12 7E DB
-50 03 85 12 00 3C E6 DB 03 53 3E 3D 85 12 00 38
-F8 DB 02 53 3C 00 85 12 00 34 72 DB 03 30 3E 3D
-85 12 00 30 0C DC 02 30 3C 00 85 12 00 30 00 00
-02 55 3C 00 85 12 00 2C 20 DC 03 55 3E 3D 85 12
-00 28 16 DC 03 30 3C 3E 85 12 00 24 34 DC 02 30
-3D 00 85 12 00 20 98 C8 02 49 46 00 1A 42 CC 21
-8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 2A DC 04 54
-48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
-F2 D9 04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C
-00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F 5E DC
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21
-2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 CC 21 30 4D 76 DA 05 41
-47 41 49 4E 87 12 F2 DB A6 DC 2A C4 00 00 05 57
-48 49 4C 45 87 12 4C DC 78 C4 2A C4 02 DC 06 52
-45 50 45 41 54 00 87 12 F2 DB A6 DC 64 DC 2A C4
-00 00 03 4A 4D 50 87 12 A0 CE F2 DB A6 DC 2A C4
-3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
-06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
-00 00 04 3F 4A 4D 50 00 87 12 10 DD A0 CE 78 C4
-A6 DC 2A C4 46 DD 3D 41 08 4E 3E 4F 2A 48 0A 93
-04 20 98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F
-0C DB 03 42 57 31 84 12 44 DD 00 00 62 DD 03 42
-57 32 84 12 44 DD 00 00 6E DD 03 42 57 33 84 12
-44 DD 00 00 86 DD 3D 41 1A 42 CC 21 28 4E 08 93
-08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00 3E 4F
-30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
-84 DD 00 00 AA DD 03 46 57 32 84 12 84 DD 00 00
-B6 DD 03 46 57 33 84 12 84 DD 00 00 C2 DD 04 47
-4F 54 4F 00 87 12 F2 DB A0 CE 64 CC 2A C4 32 DD
-05 3F 47 4F 54 4F 87 12 10 DD A0 CE 64 CC 2A C4
-8C D1 09 7B 55 54 49 4C 49 54 59 7D 30 4D 08 D4
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 C4 3C 00 12 C9 B0 C7 34 C4 08 00
-12 C9 34 C4 3E 00 12 C9 48 C9 8A C4 8A C4 C4 C5
-EA C5 4A DE 62 C4 62 C4 2A C4 F0 C5 28 C6 F2 C4
-7C C7 34 C4 02 00 00 C6 4C DE 2A C4 00 DE 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F
-08 CB 01 3F 2E 4E 30 40 7C C7 56 D5 05 57 4F 52
-44 53 87 12 BE C9 34 C4 03 00 5E C9 34 C4 D0 21
-F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 3A D3 34 C4
-00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00
-F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5 E0 C5
-D0 DE 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5 F2 C4
-34 C4 02 00 00 C6 B2 DE 54 C4 E0 C5 12 DF 44 C4
-34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5 FA C4
-44 C4 B6 CC 34 C4 7F 00 36 C5 80 C9 08 C5 34 C4
-0F 00 36 C5 34 C4 10 00 78 C4 28 C5 5E C9 DC C5
-9E DE 62 C4 2A C4 34 D3 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D 18 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 4C D2 03 55 2E 52 87 12 B0 C4 9A C6 34 C4
-00 00 FA C6 2C C7 46 C7 BC C4 8A C4 28 C5 34 C4
-00 00 1C DF 5E C9 80 C9 2A C4 16 D4 04 44 55 4D
-50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F
-B0 12 2A C4 78 C4 8A C4 8A C4 7C C7 34 C4 01 00
-28 C5 7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9 28 C6
-34 C4 07 00 38 DF 48 C9 28 C6 34 C4 10 00 20 C5
-28 C6 F0 C5 28 C6 08 C5 34 C4 03 00 38 DF 12 C6
-A4 DF 48 C9 48 C9 28 C6 34 C4 10 00 20 C5 28 C6
-F0 C5 28 C6 08 C5 34 C4 7E 00 2A DF 6E C6 1C DF
-12 C9 12 C6 C2 DF 34 C4 10 00 00 C6 8C DF BC C4
-84 C6 FA C4 2A C4
-@FFFE
-6E D5
-q
diff --git a/CHIPSTICK_FR2433_16MHz_5Mbds.txt b/CHIPSTICK_FR2433_16MHz_5Mbds.txt
new file mode 100644 (file)
index 0000000..655978e
--- /dev/null
@@ -0,0 +1,476 @@
+@1800
+10 00 54 C8 80 3E 50 C3 05 00 18 00 46 E1 3A D6
+20 C8 2C C8 00 00 00 00
+@C400
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C4
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 C4 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 C4 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 C4 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E C4 02 3E 52 00
+0E 12 3E 4F 30 4D 96 C4 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 C4 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C C4 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 C4 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 50 C9 18 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+50 C9 18 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 2C C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 8C C8
+3B 40 5C C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 40 C8 4D 15
+B2 40 11 00 0E 05 E2 C2 22 02 30 41 B2 40 13 00
+0E 05 E2 D2 22 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E C8 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 0E 05 30 4D
+82 C8 2D 83 92 B3 1C 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 21 02 24 92 53 E4 21 3E 8F 3D 41
+30 4D B4 C7 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 21 00 00 AF 4F 02 00 91 3F 82 43 CA 21 82 4E
+C6 21 B2 4F C8 21 3E 4F 30 4D 4A C7 04 45 4D 49
+54 00 30 40 AC C8 DC C8 04 45 43 48 4F 00 B2 40
+82 48 7A C8 82 43 E4 21 30 4D 68 C7 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A C8 92 43 E4 21 30 4D
+1E C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 C9 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A C9 EF 3F 3C C9 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 C7 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 C9 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 C9 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 C8 04 28 43 52 29 00
+87 12 96 C9 02 0D 0A 00 50 C9 2A C4 9E C7 02 43
+52 00 30 40 80 C9 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E C9 07 43 41 50
+53 5F 4F 4E B2 43 B4 21 30 4D AC C9 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 21 30 4D 26 C9 82 53
+22 00 87 12 34 C4 96 C9 3A CC C6 C9 34 C4 22 00
+16 CA B4 C9 E6 C9 3D 41 6E 4E 1E 83 82 5E CC 21
+3E 4F 92 B3 CC 21 A2 63 CC 21 30 4D FC C8 82 2E
+22 00 87 12 D2 C9 34 C4 50 C9 3A CC 2A C4 00 00
+04 57 4F 52 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 21 F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 21 82 4A CA 21
+1E 42 CC 21 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 C4 34 40 14 C4
+30 4D 94 C5 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 E2 21 32 C0 00 02 2D 15 09 43 08 43 3D 40
+7E CB 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F 80 CB
+0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90
+2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00
+23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 6A C6 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D E8 C8 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42 CC 21 A2 53
+CC 21 8A 4E 00 00 3E 4F 30 4D 38 CC 87 4C 49 54
+45 52 41 4C 82 93 BE 21 0F 24 1A 42 CC 21 A2 52
+CC 21 BA 40 34 C4 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D BC C9 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+87 12 CA C8 32 C6 16 CA 9A CC 3D 40 A2 CC EA 22
+50 3E A4 CC 0A 4E 3E 4F 3D 40 BA CC 40 27 3D 40
+94 CC 1A E2 BE 21 C1 27 BB 23 BC CC 3E 4F 3D 40
+94 CC C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 46 CF D5 3F 28 CC 08 45 56 41 4C 55
+41 54 45 00 39 40 C6 21 3C 49 3B 49 3A 49 3D 15
+B0 12 2A C4 90 CC F8 CC B2 41 CA 21 B2 41 C8 21
+B2 41 C6 21 3D 41 30 4D 9C C5 04 51 55 49 54 00
+31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21 82 43
+08 18 B0 12 2A C4 96 C9 05 0D 0A 6F 6B 20 50 C9
+B2 C8 18 C9 90 CC DE C4 70 C5 96 C9 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 CA CD 34 C4 30 FF
+80 C7 AC C5 96 C9 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 CA CD 4A C6 F2 C4 B8 C5 26 CD 96 C9 05 0D
+0A 20 20 20 B4 C5 2E CD E4 C7 05 41 42 4F 52 54
+3F 40 80 20 C5 3F B2 40 9A D4 F6 D4 B2 40 4E C8
+42 C8 B2 40 3C 21 2A C6 B2 40 AC C8 E4 C8 B2 40
+80 C9 94 C9 B2 40 BA C7 E0 C7 82 43 FC DC 82 43
+08 DD 82 43 14 DD 82 43 44 DD 82 43 50 DD 82 43
+5C DD B2 40 0A 00 E2 21 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 7A C8 B0 12 92 CD
+A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 E2 C2 22 02
+92 C3 1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 1C 05 F3 23 87 12 96 C9 04 1B
+5B 37 6D 00 50 C9 34 C4 E4 21 F2 C4 54 C4 B8 C5
+32 CE 96 C9 05 6C 69 6E 65 3A 50 C9 40 C5 36 C7
+EE C8 50 C9 96 C9 04 1B 5B 30 6D 00 50 C9 10 D4
+B4 C9 80 CD 7A CD 86 41 42 4F 52 54 22 00 87 12
+D2 C9 34 C4 CA CD 3A CC 2A C4 6E CA 01 27 87 12
+32 C6 16 CA 74 CA B8 C5 6C CE 2A C4 C4 CC 50 C6
+81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B 82 43
+BE 21 30 4D 70 CE 01 5D B2 43 BE 21 30 4D 7C CE
+83 5B 27 5D 87 12 5E CE 34 C4 34 C4 3A CC 3A CC
+2A C4 BE 4F 02 00 3E 4F 30 4D 7A C9 82 49 53 00
+87 12 4A C6 F2 C4 B8 C5 C4 CE 94 CE 34 C4 A2 CE
+3A CC 2A C4 5E CE A2 CE 2A C4 AC CE 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00 00 00
+30 4D CE C9 87 52 45 43 55 52 53 45 19 42 CC 21
+99 42 BA 21 00 00 A2 53 CC 21 30 4D 0A CD 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 C6 16 CA 74 CA
+54 C4 B8 C5 6C CE 70 C5 B8 C5 28 CF 34 C4 34 C4
+3A CC 3A CC 34 C4 3A CC 3A CC 2A C4 82 9F BC 21
+25 25 87 12 96 C9 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 D6 CD 90 CE 81 3B 82 93 BE 21
+90 27 87 12 34 C4 2A C4 3A CC 2C CF 7E CE 2A C4
+BA 40 87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F
+BC 21 30 4D 4A CF 01 3A 30 12 60 CF 87 12 F2 C9
+32 C6 16 CA 86 CF 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21
+82 49 B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F
+3D 41 30 41 10 CA 08 56 41 52 49 41 42 4C 45 00
+B0 12 7C CF BA 40 86 12 FC FF DC 3C 7C CC 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 7C CF BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C CE CF 06 43 52 45
+41 54 45 00 B0 12 7C CF BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C DA CC 05 44 4F 45 53 3E 1A 42 BA 21
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 06 D0
+05 44 45 46 45 52 B0 12 7C CF BA 40 30 40 FC FF
+BA 40 1C D0 FE FF A6 3C EC CF 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 76 CF 86 5B 54 48
+45 4E 5D 00 30 4D 6C D0 86 5B 45 4C 53 45 5D 00
+87 12 34 C4 01 00 32 C6 16 CA 82 CC 44 C4 B8 C5
+EA D0 8A C4 8A C4 96 C9 04 5B 49 46 5D 00 42 D0
+C2 C5 AC D0 72 C9 36 C5 B4 C5 E2 D0 8A C4 8A C4
+96 C9 06 5B 45 4C 53 45 5D 00 42 D0 C2 C5 D0 D0
+72 C9 40 C5 44 C4 B8 C5 E2 D0 36 C5 B4 C5 E2 D0
+96 C9 06 5B 54 48 45 4E 5D 00 42 D0 C2 C5 E2 D0
+40 C5 54 C4 C2 C5 86 D0 2A C4 72 C9 96 C9 05 0D
+0A 6B 6F 20 50 C9 B2 C8 CA C8 B4 C5 86 D0 78 D0
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 00 D1
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 C6
+16 CA 74 CA 6C C4 64 C5 2A C4 10 D1 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 C6 16 CA 74 CA 6C C4
+2A C4 44 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D 4C CC 06 4D 41 52 4B 45 52 00 B0 12 7C CF
+BA 40 84 12 FC FF BA 40 42 D1 FE FF 9A 42 CE 21
+00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
+19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D CC CE
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
+CC 21 BE 40 B8 C5 00 00 2E 53 30 4D 20 D0 84 45
+4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 B4 C5
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 4A C9 84 54
+48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 3A D0
+85 42 45 47 49 4E 30 40 80 C7 CE D1 85 55 4E 54
+49 4C 39 40 B8 C5 A2 52 CC 21 1A 42 CC 21 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D 46 CE 85 41 47 41
+49 4E 39 40 B4 C5 EF 3F B6 CF 85 57 48 49 4C 45
+87 12 94 D1 78 C4 2A C4 E4 CE 86 52 45 50 45 41
+54 00 87 12 12 D2 D4 D1 2A C4 AE D1 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
+C8 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
+30 4D 54 D1 84 4C 4F 4F 50 00 39 40 EA C5 A2 52
+CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
+00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA C7 85 2B 4C 4F 4F 50 39 40
+D8 C5 E5 3F 64 D2 85 4C 45 41 56 45 1A 42 CC 21
+BA 40 FA C5 00 00 BA 40 B4 C5 02 00 B2 50 06 00
+CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
+30 4D A6 D2 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D 1A D2 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 F4 CF 34 C4 10 00
+34 C4 00 00 C8 C5 34 C4 00 00 3A CC EA C5 26 D3
+80 C7 34 C4 CE 21 44 C4 F2 C4 3A CC FA C4 0C D0
+34 C4 D0 21 FA C4 2A C4 5C CE 05 46 4F 52 54 48
+84 12 40 D3 90 DD 56 D6 00 DF E8 E0 9C DF 98 D2
+CA DE F8 DD 16 DE 2E E1 D8 DE 20 DE 00 00 8C DF
+86 CE 0C DE 00 00 0C D2 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 21 38 40 D2 21 B6 3F FE CE 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
+38 40 D0 21 A3 3F FE C9 04 4F 4E 4C 59 00 82 43
+D2 21 30 4D 3C D2 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 21 E0 21 30 4D 50 D3 AE D3 C2 D3
+D2 D3 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 8E D3 09 50 57 52 5F 53 54 41 54 45
+84 12 CA D3 3A D6 46 E1 2A D2 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 14 D4 92 42 0C 18 16 D4
+EF 3F 06 D4 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 21 14 D4 92 42 CC 21 16 D4 30 4D 1A D4 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
+CC 21 0C 18 EC 3F 0C D3 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
+86 CD B2 40 46 E1 0C 18 B2 40 3A D6 0E 18 CA 3F
+90 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+96 C9 06 0D 1B 5B 37 6D 23 00 50 C9 6A C7 96 C9
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+50 C9 34 C4 30 FF 80 C7 28 C5 36 C7 96 C9 0B 62
+79 74 65 73 20 66 72 65 65 20 DC CD 68 D4 04 57
+41 52 4D 00 30 40 9A D4 E0 D1 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 43 02 02
+B2 D3 06 02 F2 D0 06 00 24 02 F2 D3 26 02 F2 40
+FD 00 22 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 29 01 80 01
+B2 40 0B 00 82 01 B2 40 E9 01 84 01 39 40 00 01
+B2 D0 10 00 86 01 92 D2 5E 01 08 18 38 40 59 14
+18 83 FE 23 19 83 FA 23 3A 40 06 D5 39 40 DA FF
+89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40
+18 00 0A 18 39 40 00 20 89 43 00 00 29 53 39 90
+FF 2F FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
+36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00
+E2 21 B2 43 B4 21 92 C3 30 01 18 42 08 18 F2 B0
+10 00 00 02 04 20 38 E3 18 53 82 48 08 18 B2 40
+81 00 00 05 B2 40 03 00 06 05 B2 40 00 21 08 05
+F2 D0 30 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40
+08 D6 18 42 08 18 38 90 0A 00 39 27 38 90 16 00
+36 2F 28 93 0F 23 04 27 F4 D4 78 D3 09 41 53 53
+45 4D 42 4C 45 52 84 12 40 D3 60 DC 0C DD 14 DC
+60 DD DA DB 94 DC DE D8 00 00 D0 DB 80 DC 32 DC
+70 DC EE D9 00 00 00 00 72 DD 74 D3 92 D4 85 48
+49 32 4C 4F 87 12 80 C7 A8 D1 3A CC 7E CE 7E D3
+16 D6 2A C4 FA D4 04 43 4F 44 45 00 B0 12 7C CF
+A2 82 CC 21 87 12 6E CF B4 C5 4E D6 00 00 07 45
+4E 44 43 4F 44 45 87 12 98 D3 2C CF 2A C4 0C D6
+03 41 53 4D 92 42 E0 21 C0 21 B2 40 1A D6 E0 21
+E5 3F 6E D6 06 45 4E 44 41 53 4D 00 92 42 C0 21
+E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21
+BA 40 87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40
+98 D3 00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40
+B0 12 00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F
+38 40 C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A
+03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21
+30 4D B0 12 2A C4 16 CA 74 CA C2 C5 16 D7 2E CB
+B8 C5 6C CE 38 D7 18 D7 29 4E 39 90 86 12 02 20
+2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
+39 90 84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53
+CC 21 89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53
+CA 21 B0 12 2A C4 16 CA 2E CB B8 C5 6A D7 60 D7
+21 53 3E 90 10 00 BB 2D 30 41 6C D7 B2 41 CA 21
+22 D3 30 41 87 12 32 C6 E0 D6 7C D7 82 43 C4 21
+92 42 CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90
+23 00 00 00 34 20 92 53 CA 21 B0 12 02 D7 0E 93
+04 20 B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40
+10 03 C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21
+1B 3C 2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92
+04 20 B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40
+30 03 C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21
+A2 53 CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
+26 00 00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21
+30 12 EC D7 76 3F FA 90 40 00 00 00 1A 20 B2 40
+20 00 C4 21 92 53 CA 21 B0 12 4A D7 0E 20 B2 50
+10 00 C4 21 3E 40 2B 00 B0 12 4A D7 32 24 92 92
+C6 21 CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21
+D3 3F B0 12 4A D7 F9 23 B2 50 10 00 C4 21 3E 40
+28 00 B0 12 02 D7 30 12 3C D8 67 3F 87 12 32 C6
+E0 D6 74 D8 FE 90 26 00 00 00 3E 40 20 00 04 20
+B2 50 82 00 C4 21 C2 3F B0 12 4A D7 DF 23 B2 50
+80 00 C4 21 3E 40 28 00 B0 12 02 D7 B0 12 3A D7
+D5 23 3D 40 6C CE 30 4D 3A C8 04 52 45 54 49 00
+87 12 34 C4 00 13 3A CC 2A C4 34 C4 2C 00 74 D7
+6C D8 C4 D8 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F
+C4 D6 03 4D 4F 56 84 12 BA D8 00 40 D2 D8 05 4D
+4F 56 2E 42 84 12 BA D8 40 40 00 00 03 41 44 44
+84 12 BA D8 00 50 EC D8 05 41 44 44 2E 42 84 12
+BA D8 40 50 F8 D8 04 41 44 44 43 00 84 12 BA D8
+00 60 06 D9 06 41 44 44 43 2E 42 00 84 12 BA D8
+40 60 AA D8 04 53 55 42 43 00 84 12 BA D8 00 70
+24 D9 06 53 55 42 43 2E 42 00 84 12 BA D8 40 70
+32 D9 03 53 55 42 84 12 BA D8 00 80 42 D9 05 53
+55 42 2E 42 84 12 BA D8 40 80 A6 D6 03 43 4D 50
+84 12 BA D8 00 90 5C D9 05 43 4D 50 2E 42 84 12
+BA D8 40 90 94 D6 04 44 41 44 44 00 84 12 BA D8
+00 A0 76 D9 06 44 41 44 44 2E 42 00 84 12 BA D8
+40 A0 68 D9 03 42 49 54 84 12 BA D8 00 B0 94 D9
+05 42 49 54 2E 42 84 12 BA D8 40 B0 A0 D9 03 42
+49 43 84 12 BA D8 00 C0 AE D9 05 42 49 43 2E 42
+84 12 BA D8 40 C0 BA D9 03 42 49 53 84 12 BA D8
+00 D0 C8 D9 05 42 49 53 2E 42 84 12 BA D8 40 D0
+00 00 03 58 4F 52 84 12 BA D8 00 E0 E2 D9 05 58
+4F 52 2E 42 84 12 BA D8 40 E0 14 D9 03 41 4E 44
+84 12 BA D8 00 F0 FC D9 05 41 4E 44 2E 42 84 12
+BA D8 40 F0 32 C6 74 D7 1A DA 1A 42 C4 21 B2 F0
+70 00 C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F
+4E D9 03 52 52 43 84 12 14 DA 00 10 32 DA 05 52
+52 43 2E 42 84 12 14 DA 40 10 3E DA 04 53 57 50
+42 00 84 12 14 DA 80 10 4C DA 03 52 52 41 84 12
+14 DA 00 11 5A DA 05 52 52 41 2E 42 84 12 14 DA
+40 11 66 DA 03 53 58 54 84 12 14 DA 80 11 00 00
+04 50 55 53 48 00 84 12 14 DA 00 12 80 DA 06 50
+55 53 48 2E 42 00 84 12 14 DA 40 12 D4 D9 04 43
+41 4C 4C 00 84 12 14 DA 80 12 34 C4 2C 00 74 D7
+6C D8 B4 DA 59 42 C4 21 5A 42 C5 21 82 4A C4 21
+BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
+3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
+6A C7 96 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 D6 CD 8E DA 05 50 55 53 48 4D 84 12 AA DA
+00 15 F6 DA 04 50 4F 50 4D 00 84 12 AA DA 00 17
+32 C6 E0 D6 16 DB 82 43 C4 21 92 42 CC 21 C2 21
+A2 53 CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4
+16 CA 2E CB B8 C5 6C CE 6C D8 3C DB 0A 4E 3E 4F
+1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 74 DA 04 52
+52 43 4D 00 84 12 10 DB 50 00 4E DB 04 52 52 41
+4D 00 84 12 10 DB 50 01 5C DB 04 52 4C 41 4D 00
+84 12 10 DB 50 02 6A DB 04 52 52 55 4D 00 84 12
+10 DB 50 03 85 12 00 3C 78 DB 03 53 3E 3D 85 12
+00 38 8A DB 02 53 3C 00 85 12 00 34 04 DB 03 30
+3E 3D 85 12 00 30 9E DB 02 30 3C 00 85 12 00 30
+00 00 02 55 3C 00 85 12 00 2C B2 DB 03 55 3E 3D
+85 12 00 28 A8 DB 03 30 3C 3E 85 12 00 24 C6 DB
+02 30 3D 00 85 12 00 20 46 C8 02 49 46 00 1A 42
+CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D BC DB
+04 54 48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
+30 4D 84 D9 04 45 4C 53 45 00 1A 42 CC 21 BA 40
+00 3C 00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F
+F0 DB 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+CC 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 CC 21 30 4D 08 DA
+05 41 47 41 49 4E 87 12 84 DB 38 DC 2A C4 00 00
+05 57 48 49 4C 45 87 12 DE DB 78 C4 2A C4 94 DB
+06 52 45 50 45 41 54 00 87 12 84 DB 38 DC F6 DB
+2A C4 00 00 03 4A 4D 50 87 12 5E CE 84 DB 38 DC
+2A C4 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
+00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
+30 4D 00 00 04 3F 4A 4D 50 00 87 12 A2 DC 5E CE
+78 C4 38 DC 2A C4 D8 DC 3D 41 08 4E 3E 4F 2A 48
+0A 93 04 20 98 42 CC 21 00 00 30 4D 88 43 00 00
+A4 3F 9E DA 03 42 57 31 84 12 D6 DC 00 00 F4 DC
+03 42 57 32 84 12 D6 DC 00 00 00 DD 03 42 57 33
+84 12 D6 DC 00 00 18 DD 3D 41 1A 42 CC 21 28 4E
+08 93 08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00
+3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
+84 12 16 DD 00 00 3C DD 03 46 57 32 84 12 16 DD
+00 00 48 DD 03 46 57 33 84 12 16 DD 00 00 54 DD
+04 47 4F 54 4F 00 87 12 84 DB 5E CE 30 CC 2A C4
+C4 DC 05 3F 47 4F 54 4F 87 12 A2 DC 5E CE 30 CC
+2A C4 2C D1 07 7B 54 4F 4F 4C 53 7D 30 4D 80 D6
+03 41 4E 44 3E FF 30 4D A8 D3 02 2E 53 00 8F 4E
+FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 20 2E 83
+8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C4
+3C 00 E2 C8 6A C7 34 C4 08 00 E2 C8 34 C4 3E 00
+E2 C8 18 C9 8A C4 8A C4 96 C5 C2 C5 E4 DD 62 C4
+62 C4 2A C4 C8 C5 00 C6 F2 C4 36 C7 34 C4 02 00
+D8 C5 E6 DD 2A C4 9A DD 03 2E 52 53 8F 4E FE FF
+8F 41 FA FF 3E 40 E0 20 D3 3F D4 CA 01 3F 2E 4E
+30 40 36 C7 34 D4 03 50 41 44 85 12 E4 20 EE D4
+05 57 4F 52 44 53 87 12 92 C9 34 C4 03 00 2E C9
+34 C4 D0 21 F2 C4 1A DE 34 C4 10 00 44 C4 20 C5
+DA D2 34 C4 00 00 44 C4 34 C4 10 00 44 C4 20 C5
+34 C4 00 00 C8 C5 44 C4 00 C6 1A DE 20 C5 F2 C4
+AC C5 B8 C5 74 DE 62 C4 62 C4 00 C6 44 C4 1A DE
+20 C5 F2 C4 34 C4 02 00 D8 C5 56 DE 54 C4 B8 C5
+B6 DE 44 C4 34 C4 02 00 28 C5 F2 C4 9A C4 1A DE
+20 C5 FA C4 44 C4 82 CC 34 C4 7F 00 94 DD 50 C9
+08 C5 34 C4 0F 00 94 DD 34 C4 10 00 78 C4 28 C5
+2E C9 B4 C5 42 DE 62 C4 2A C4 D4 D2 03 4D 41 58
+2E 9F 07 38 2F 53 30 4D BC DE 03 4D 49 4E 2E 9F
+F9 3B 3E 4F 30 4D EC D1 03 55 2E 52 87 12 B0 C4
+54 C6 34 C4 00 00 B4 C6 E6 C6 00 C7 BC C4 8A C4
+28 C5 34 C4 00 00 C0 DE 2E C9 50 C9 2A C4 B6 D3
+04 44 55 4D 50 00 0D 12 12 12 E2 21 B2 40 10 00
+E2 21 2E 5F B0 12 2A C4 78 C4 8A C4 8A C4 36 C7
+34 C4 01 00 28 C5 36 C7 34 C4 FE FF 94 DD C8 C5
+92 C9 00 C6 34 C4 07 00 DC DE 18 C9 00 C6 34 C4
+10 00 20 C5 00 C6 C8 C5 00 C6 08 C5 34 C4 03 00
+DC DE EA C5 48 DF 18 C9 18 C9 00 C6 34 C4 10 00
+20 C5 00 C6 C8 C5 00 C6 08 C5 34 C4 7E 00 CE DE
+32 C6 C0 DE E2 C8 EA C5 66 DF 34 C4 10 00 D8 C5
+30 DF BC C4 3E C6 FA C4 2A C4 84 DD 0A 7B 46 49
+58 50 4F 49 4E 54 7D 00 30 4D 3E D6 05 48 4F 4C
+44 53 39 4F 09 5E 18 42 B2 21 19 83 1E 83 04 28
+18 83 E8 49 00 00 F9 3F 82 48 B2 21 3E 4F 30 4D
+4A D3 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D C2 DF
+02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D
+D0 DF 02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F
+04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3
+18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00
+0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67 04 64
+15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86
+0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17
+3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+30 4D E2 DF 03 46 23 53 29 4F 8F 4E 00 00 2F 83
+8F 49 00 00 2B 42 B2 90 0A 00 E2 21 01 20 1B 53
+0C 43 A2 4F C0 04 92 42 E2 21 C8 04 9F 42 E4 04
+00 00 1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00
+3E 50 30 00 CC 4E 90 21 1C 53 0C 9B EA 2B 0E 4B
+8F 43 00 00 39 40 90 21 75 3F 64 E0 02 46 2A 00
+92 4F 04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04
+82 4E E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00
+1E 42 E8 04 30 4D BC E0 02 46 2E 00 87 12 54 C6
+44 C4 B0 C4 4C C5 68 E0 34 C4 2C 00 16 C7 E6 C6
+BC C4 24 C7 00 C7 50 C9 18 C9 2A C4 4E D4 03 53
+3E 46 2F 83 8F 43 00 00 30 4D 0E E1 02 32 40 00
+2F 83 9F 4E 02 00 00 00 2E 4E 30 4D 1C E1 09 32
+43 4F 4E 53 54 41 4E 54 87 12 F4 CF 3A CC 3A CC
+0C D0 20 E1 2A C4
+@FFFE
+06 D5
+q
diff --git a/CHIPSTICK_FR2433_16MHz_921600bds.txt b/CHIPSTICK_FR2433_16MHz_921600bds.txt
deleted file mode 100644 (file)
index 3a71cc6..0000000
+++ /dev/null
@@ -1,454 +0,0 @@
-@1800
-10 00 A6 C8 80 3E 00 24 05 00 18 00 E6 DF A8 D6
-66 C8 78 C8 00 00 00 00
-@C400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C4
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 C4 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 C4 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 C4 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E C4 02 3E 52 00
-0E 12 3E 4F 30 4D 96 C4 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 C4 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C C4 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 C4 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 E2 C2 22 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 E2 D2 22 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 6E CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 6E CC 2A C4 00 00 04 57 4F 52
-44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 21 82 4A CA 21 1E 42 CC 21
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 21 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C6 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 CB 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 CB 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C6 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C9 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-CC 21 A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 6C CC
-87 4C 49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42
-CC 21 A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 21 B2 4F C8 21 3E 4F 82 43
-CA 21 87 12 6E C6 42 CA DA CC 3D 40 E6 CC E0 22
-3E 4F 3D 41 30 4D E8 CC 0A 4E 3E 4F 3D 40 FE CC
-38 27 3D 40 D4 CC 1A E2 BE 21 B9 27 B3 23 00 CD
-3E 4F 3D 40 D4 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CF CD 3F 5C CC 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 C4 CC 3C CD B2 41 CA 21
-B2 41 C8 21 B2 41 C6 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-BE 21 82 43 08 18 B0 12 2A C4 C2 C9 05 0D 0A 6F
-6B 20 80 C9 5A C6 44 C4 64 C6 32 C8 48 C9 C4 CC
-DE C4 92 C5 C2 C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CE 34 C4 30 FF C6 C7 D2 C5 C2 C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CE 90 C6
-F2 C4 E0 C5 6A CD C2 C9 05 0D 0A 20 20 20 DC C5
-72 CD B2 40 FA D4 5E D5 B2 40 A0 C8 94 C8 B2 40
-04 C9 14 C9 B2 40 AC C9 C0 C9 B2 40 00 C8 26 C8
-82 43 6A DD 82 43 76 DD 82 43 82 DD 82 43 B2 DD
-82 43 BE DD 82 43 CA DD B2 40 0A 00 E2 21 30 41
-2A C8 05 41 42 4F 52 54 3F 40 80 20 A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C8 B0 12 CE CD A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 E2 C2 22 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 C2 C9 04 1B 5B 37
-6D 00 80 C9 34 C4 E4 21 F2 C4 54 C4 E0 C5 74 CE
-C2 C9 05 6C 69 6E 65 3A 80 C9 34 C4 01 00 28 C5
-7C C7 1E C9 80 C9 C2 C9 04 1B 5B 30 6D 00 80 C9
-70 D4 E0 C9 08 CE 02 CE 86 41 42 4F 52 54 22 00
-87 12 FE C9 34 C4 0E CE 6E CC 2A C4 9A CA 01 27
-87 12 6E C6 42 CA A0 CA E0 C5 AE CE 2A C4 08 CD
-96 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
-82 43 BE 21 30 4D B2 CE 01 5D B2 43 BE 21 30 4D
-BE CE 83 5B 27 5D 87 12 A0 CE 34 C4 34 C4 6E CC
-6E CC 2A C4 BE 4F 02 00 3E 4F 30 4D A6 C9 82 49
-53 00 87 12 90 C6 F2 C4 E0 C5 06 CF D6 CE 34 C4
-E4 CE 6E CC 2A C4 A0 CE E4 CE 2A C4 EE CE 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
-00 00 30 4D FA C9 87 52 45 43 55 52 53 45 19 42
-CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 4E CD
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C6 42 CA
-A0 CA 54 C4 E0 C5 AE CE 92 C5 E0 C5 6A CF 34 C4
-34 C4 6E CC 6E CC 34 C4 6E CC 6E CC 2A C4 82 9F
-BC 21 34 25 87 12 C2 C9 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CE 87 12 1E CA 6E C6
-42 CA 94 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49
-B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41
-30 41 3C CA 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CF BA 40 86 12 FC FF 05 3D B0 CC 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CF BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CF 06 43 52 45 41 54
-45 00 B0 12 8A CF BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CD 05 44 4F 45 53 3E 1A 42 BA 21 BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 D0 05 44
-45 46 45 52 B0 12 8A CF BA 40 30 40 FC FF BA 40
-2A D0 FE FF CF 3C D2 CE 01 3A B0 12 8A CF BA 40
-87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21
-30 4D 48 D0 81 3B 82 93 BE 21 24 27 87 12 34 C4
-2A C4 6E CC 6E CF C0 CE 2A C4 FA CF 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 D0 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE D0 86 5B
-45 4C 53 45 5D 00 87 12 34 C4 01 00 6E C6 42 CA
-B6 CC 44 C4 E0 C5 34 D1 8A C4 8A C4 C2 C9 04 5B
-49 46 5D 00 84 D0 EA C5 F2 D0 00 CB 2C C5 DC C5
-28 D1 8A C4 8A C4 C2 C9 06 5B 45 4C 53 45 5D 00
-84 D0 EA C5 16 D1 00 CB B8 D0 44 C4 E0 C5 12 D1
-2C C5 DC C5 28 D1 C2 C9 06 5B 54 48 45 4E 5D 00
-84 D0 EA C5 28 D1 B8 D0 54 C4 EA C5 30 D1 2A C4
-DC C5 CC D0 00 CB C2 C9 05 0D 0A 6B 6F 20 80 C9
-5A C6 44 C4 64 C6 32 C8 4A D1 82 43 CA 21 82 4E
-C6 21 B2 4F C8 21 3E 4F 3D 40 CC D0 30 4D BE D0
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 D1
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 86 C5 2A C4 70 D1 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C6 42 CA A0 CA 6C C4
-2A C4 A4 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CC 06 4D 41 52 4B 45 52 00 B0 12 8A CF
-BA 40 84 12 FC FF BA 40 A2 D1 FE FF 9A 42 CE 21
-00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
-19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0E CF
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
-CC 21 BE 40 E0 C5 00 00 2E 53 30 4D 2E D0 84 45
-4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C9 84 54
-48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 7C D0
-85 42 45 47 49 4E 30 40 C6 C7 2E D2 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 CC 21 1A 42 CC 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F C4 CF 85 57 48 49 4C 45
-87 12 F4 D1 78 C4 2A C4 26 CF 86 52 45 50 45 41
-54 00 87 12 72 D2 34 D2 2A C4 0E D2 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D B4 D1 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C8 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F C4 D2 85 4C 45 41 56 45 1A 42 CC 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 06 D3 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D2 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 D0 34 C4 10 00
-34 C4 00 00 F0 C5 34 C4 00 00 6E CC 12 C6 86 D3
-C6 C7 34 C4 CE 21 44 C4 F2 C4 6E CC FA C4 1A D0
-34 C4 D0 21 FA C4 2A C4 9E CE 05 46 4F 52 54 48
-84 12 A0 D3 EE D6 C4 D6 5C DF AA D3 AC D6 F8 D2
-26 DF 5E DE 94 D4 AE D4 34 DF 7C DE 46 C5 F2 DD
-C8 CE 72 DE 00 00 6C D2 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 21 38 40 D2 21 B6 3F 40 CF 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
-38 40 D0 21 A3 3F 2A CA 04 4F 4E 4C 59 00 82 43
-D2 21 30 4D 9C D2 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 21 E0 21 30 4D B0 D3 0E D4 22 D4
-32 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D3 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D4 A8 D6 E6 DF 8A D2 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D4 92 42 0C 18 76 D4
-EF 3F 66 D4 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 21 74 D4 92 42 CC 21 76 D4 30 4D 7A D4 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
-CC 21 0C 18 EC 3F 6C D3 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
-C2 CD B2 40 E6 DF 0C 18 B2 40 A8 D6 0E 18 CA 3F
-F0 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C9 06 0D 1B 5B 37 6D 23 00 80 C9 B0 C7 C2 C9
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C9 34 C4 30 FF C6 C7
-28 C5 7C C7 C2 C9 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CE C8 D4 04 57 41 52 4D 00 30 40 FA D4
-40 D2 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A CC 01 B2 43 02 02 B2 D3 06 02 F2 D0 06 00
-24 02 F2 D3 26 02 F2 40 FD 00 22 02 F2 40 A5 00
-A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5
-60 01 B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40
-E9 01 84 01 39 40 40 00 B2 D0 10 00 86 01 92 D2
-5E 01 08 18 A2 93 08 18 01 24 59 03 38 43 18 83
-FE 23 19 83 FB 23 3A 40 6E D5 39 40 DA FF 89 4A
-00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40 18 00
-0A 18 39 40 00 20 89 43 00 00 29 53 39 90 FF 2F
-FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4 36 40
-BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00 E2 21
-B2 43 B4 21 92 C3 30 01 18 42 08 18 F2 B0 10 00
-00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
-00 05 B2 40 11 00 06 05 B2 40 00 4A 08 05 F2 D0
-30 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40 76 D6
-18 42 08 18 38 90 0A 00 32 27 38 90 16 00 2F 2F
-28 93 08 23 FD 26 5C D5 D8 D3 09 41 53 53 45 4D
-42 4C 45 52 84 12 A0 D3 CE DC 7A DD 82 DC CE DD
-48 DC 02 DD 4C D9 00 00 3E DC EE DC A0 DC DE DC
-5C DA 00 00 00 00 E0 DD D4 D3 F2 D4 85 48 49 32
-4C 4F 87 12 C6 C7 08 D2 6E CC C0 CE DE D3 84 D6
-2A C4 62 D5 04 43 4F 44 45 00 B0 12 8A CF A2 82
-CC 21 87 12 5C D0 DC C5 BC D6 00 00 07 45 4E 44
-43 4F 44 45 87 12 F8 D3 6E CF 2A C4 7A D6 03 41
-53 4D 92 42 E0 21 C0 21 B2 40 88 D6 E0 21 E5 3F
-DC D6 06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21
-E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40
-87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40 F8 D3
-00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40 B0 12
-00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F 38 40
-C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A 03 24
-7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21 30 4D
-B0 12 2A C4 42 CA A0 CA EA C5 84 D7 62 CB E0 C5
-AE CE A6 D7 86 D7 29 4E 39 90 86 12 02 20 2E 53
-30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
-84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53 CC 21
-89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53 CA 21
-B0 12 2A C4 42 CA 62 CB E0 C5 D8 D7 CE D7 21 53
-3E 90 10 00 BB 2D 30 41 DA D7 B2 41 CA 21 22 D3
-30 41 87 12 6E C6 4E D7 EA D7 82 43 C4 21 92 42
-CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90 23 00
-00 00 34 20 92 53 CA 21 B0 12 70 D7 0E 93 04 20
-B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40 10 03
-C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21 1B 3C
-2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92 04 20
-B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40 30 03
-C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21 A2 53
-CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
-00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12
-5A D8 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
-C4 21 92 53 CA 21 B0 12 B8 D7 0E 20 B2 50 10 00
-C4 21 3E 40 2B 00 B0 12 B8 D7 32 24 92 92 C6 21
-CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21 D3 3F
-B0 12 B8 D7 F9 23 B2 50 10 00 C4 21 3E 40 28 00
-B0 12 70 D7 30 12 AA D8 67 3F 87 12 6E C6 4E D7
-E2 D8 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
-82 00 C4 21 C2 3F B0 12 B8 D7 DF 23 B2 50 80 00
-C4 21 3E 40 28 00 B0 12 70 D7 B0 12 A8 D7 D5 23
-3D 40 AE CE 30 4D 8C C8 04 52 45 54 49 00 87 12
-34 C4 00 13 6E CC 2A C4 34 C4 2C 00 E2 D7 DA D8
-32 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 32 D7
-03 4D 4F 56 84 12 28 D9 00 40 40 D9 05 4D 4F 56
-2E 42 84 12 28 D9 40 40 00 00 03 41 44 44 84 12
-28 D9 00 50 5A D9 05 41 44 44 2E 42 84 12 28 D9
-40 50 66 D9 04 41 44 44 43 00 84 12 28 D9 00 60
-74 D9 06 41 44 44 43 2E 42 00 84 12 28 D9 40 60
-18 D9 04 53 55 42 43 00 84 12 28 D9 00 70 92 D9
-06 53 55 42 43 2E 42 00 84 12 28 D9 40 70 A0 D9
-03 53 55 42 84 12 28 D9 00 80 B0 D9 05 53 55 42
-2E 42 84 12 28 D9 40 80 14 D7 03 43 4D 50 84 12
-28 D9 00 90 CA D9 05 43 4D 50 2E 42 84 12 28 D9
-40 90 02 D7 04 44 41 44 44 00 84 12 28 D9 00 A0
-E4 D9 06 44 41 44 44 2E 42 00 84 12 28 D9 40 A0
-D6 D9 03 42 49 54 84 12 28 D9 00 B0 02 DA 05 42
-49 54 2E 42 84 12 28 D9 40 B0 0E DA 03 42 49 43
-84 12 28 D9 00 C0 1C DA 05 42 49 43 2E 42 84 12
-28 D9 40 C0 28 DA 03 42 49 53 84 12 28 D9 00 D0
-36 DA 05 42 49 53 2E 42 84 12 28 D9 40 D0 00 00
-03 58 4F 52 84 12 28 D9 00 E0 50 DA 05 58 4F 52
-2E 42 84 12 28 D9 40 E0 82 D9 03 41 4E 44 84 12
-28 D9 00 F0 6A DA 05 41 4E 44 2E 42 84 12 28 D9
-40 F0 6E C6 E2 D7 88 DA 1A 42 C4 21 B2 F0 70 00
-C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F BC D9
-03 52 52 43 84 12 82 DA 00 10 A0 DA 05 52 52 43
-2E 42 84 12 82 DA 40 10 AC DA 04 53 57 50 42 00
-84 12 82 DA 80 10 BA DA 03 52 52 41 84 12 82 DA
-00 11 C8 DA 05 52 52 41 2E 42 84 12 82 DA 40 11
-D4 DA 03 53 58 54 84 12 82 DA 80 11 00 00 04 50
-55 53 48 00 84 12 82 DA 00 12 EE DA 06 50 55 53
-48 2E 42 00 84 12 82 DA 40 12 42 DA 04 43 41 4C
-4C 00 84 12 82 DA 80 12 34 C4 2C 00 E2 D7 DA D8
-22 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90
-00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
-10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C7
-C2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-14 CE FC DA 05 50 55 53 48 4D 84 12 18 DB 00 15
-64 DB 04 50 4F 50 4D 00 84 12 18 DB 00 17 6E C6
-4E D7 84 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53
-CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4 42 CA
-62 CB E0 C5 AE CE DA D8 AA DB 0A 4E 3E 4F 1A 83
-2A 92 CA 2F 8A 10 5A 06 6F 3F E2 DA 04 52 52 43
-4D 00 84 12 7E DB 50 00 BC DB 04 52 52 41 4D 00
-84 12 7E DB 50 01 CA DB 04 52 4C 41 4D 00 84 12
-7E DB 50 02 D8 DB 04 52 52 55 4D 00 84 12 7E DB
-50 03 85 12 00 3C E6 DB 03 53 3E 3D 85 12 00 38
-F8 DB 02 53 3C 00 85 12 00 34 72 DB 03 30 3E 3D
-85 12 00 30 0C DC 02 30 3C 00 85 12 00 30 00 00
-02 55 3C 00 85 12 00 2C 20 DC 03 55 3E 3D 85 12
-00 28 16 DC 03 30 3C 3E 85 12 00 24 34 DC 02 30
-3D 00 85 12 00 20 98 C8 02 49 46 00 1A 42 CC 21
-8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 2A DC 04 54
-48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
-F2 D9 04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C
-00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F 5E DC
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21
-2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 CC 21 30 4D 76 DA 05 41
-47 41 49 4E 87 12 F2 DB A6 DC 2A C4 00 00 05 57
-48 49 4C 45 87 12 4C DC 78 C4 2A C4 02 DC 06 52
-45 50 45 41 54 00 87 12 F2 DB A6 DC 64 DC 2A C4
-00 00 03 4A 4D 50 87 12 A0 CE F2 DB A6 DC 2A C4
-3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
-06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
-00 00 04 3F 4A 4D 50 00 87 12 10 DD A0 CE 78 C4
-A6 DC 2A C4 46 DD 3D 41 08 4E 3E 4F 2A 48 0A 93
-04 20 98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F
-0C DB 03 42 57 31 84 12 44 DD 00 00 62 DD 03 42
-57 32 84 12 44 DD 00 00 6E DD 03 42 57 33 84 12
-44 DD 00 00 86 DD 3D 41 1A 42 CC 21 28 4E 08 93
-08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00 3E 4F
-30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
-84 DD 00 00 AA DD 03 46 57 32 84 12 84 DD 00 00
-B6 DD 03 46 57 33 84 12 84 DD 00 00 C2 DD 04 47
-4F 54 4F 00 87 12 F2 DB A0 CE 64 CC 2A C4 32 DD
-05 3F 47 4F 54 4F 87 12 10 DD A0 CE 64 CC 2A C4
-8C D1 09 7B 55 54 49 4C 49 54 59 7D 30 4D 08 D4
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 C4 3C 00 12 C9 B0 C7 34 C4 08 00
-12 C9 34 C4 3E 00 12 C9 48 C9 8A C4 8A C4 C4 C5
-EA C5 4A DE 62 C4 62 C4 2A C4 F0 C5 28 C6 F2 C4
-7C C7 34 C4 02 00 00 C6 4C DE 2A C4 00 DE 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F
-08 CB 01 3F 2E 4E 30 40 7C C7 56 D5 05 57 4F 52
-44 53 87 12 BE C9 34 C4 03 00 5E C9 34 C4 D0 21
-F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 3A D3 34 C4
-00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00
-F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5 E0 C5
-D0 DE 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5 F2 C4
-34 C4 02 00 00 C6 B2 DE 54 C4 E0 C5 12 DF 44 C4
-34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5 FA C4
-44 C4 B6 CC 34 C4 7F 00 36 C5 80 C9 08 C5 34 C4
-0F 00 36 C5 34 C4 10 00 78 C4 28 C5 5E C9 DC C5
-9E DE 62 C4 2A C4 34 D3 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D 18 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 4C D2 03 55 2E 52 87 12 B0 C4 9A C6 34 C4
-00 00 FA C6 2C C7 46 C7 BC C4 8A C4 28 C5 34 C4
-00 00 1C DF 5E C9 80 C9 2A C4 16 D4 04 44 55 4D
-50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F
-B0 12 2A C4 78 C4 8A C4 8A C4 7C C7 34 C4 01 00
-28 C5 7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9 28 C6
-34 C4 07 00 38 DF 48 C9 28 C6 34 C4 10 00 20 C5
-28 C6 F0 C5 28 C6 08 C5 34 C4 03 00 38 DF 12 C6
-A4 DF 48 C9 48 C9 28 C6 34 C4 10 00 20 C5 28 C6
-F0 C5 28 C6 08 C5 34 C4 7E 00 2A DF 6E C6 1C DF
-12 C9 12 C6 C2 DF 34 C4 10 00 00 C6 8C DF BC C4
-84 C6 FA C4 2A C4
-@FFFE
-6E D5
-q
index 754f4f3..5fc766d 100644 (file)
Binary files a/FastForth.fr.pdf and b/FastForth.fr.pdf differ
index 0ebb99a..0c0cc17 100644 (file)
Binary files a/FastForth.pdf and b/FastForth.pdf differ
diff --git a/FastForth_Competitor.pdf b/FastForth_Competitor.pdf
new file mode 100644 (file)
index 0000000..9f5190a
Binary files /dev/null and b/FastForth_Competitor.pdf differ
index 2aece4e..7e6be5e 100644 (file)
@@ -1,10 +1,35 @@
 ; -*- coding: utf-8 -*-
 ; ForthThreads.mac
 
-voclink     .set 0                      ; initial vocabulary link
+voclink     .set 0                      ; init vocabulary links
+forthlink   .set 0
+asmlink     .set 0
 
 
-forthlink   .set 0
+    .IF THREADS = 1
+
+FORTHWORD   .MACRO  name
+            .word   forthlink
+forthlink   .set    $
+            .byte   STRLEN(name),name
+;            .align  2
+            .ENDM
+
+FORTHWORDIMM .MACRO  name
+            .word   forthlink
+forthlink   .set    $
+            .byte   STRLEN(name)+128,name
+;            .align  2
+            .ENDM
+
+asmword     .MACRO  name
+            .word   asmlink
+asmlink     .set    $
+            .byte   STRLEN(name),name
+;            .align  2
+            .ENDM
+
+    .ELSE
 forthlink1  .set 0
 forthlink2  .set 0
 forthlink3  .set 0
@@ -37,7 +62,6 @@ forthlink29 .set 0
 forthlink30 .set 0
 forthlink31 .set 0
 
-asmlink     .set 0
 asmlink1    .set 0
 asmlink2    .set 0
 asmlink3    .set 0
@@ -405,10 +429,10 @@ asmlink30 .set    $
 asmlink31 .set    $
 
 
-    .endcase
+    .endcase ; asmlink
 
             .byte   STRLEN(name),name
 ;            .align  2
             .ENDM
 
-
+    .endif ; thread case
index b6ee761..75ace66 100644 (file)
@@ -138,10 +138,241 @@ RES3      .equ MPY_SFR + 2Ah    ; 32x32-bit result 3 */
 MPY32CTL0 .equ MPY_SFR + 2Ch    ; MPY32 control register 0
 
 
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : PORT3
+; ----------------------------------------------------------------------
+
+P3IN        .set PB_SFR + 00h    ; Port 3 Input */
+P3OUT       .set PB_SFR + 02h    ; Port 3 Output
+P3DIR       .set PB_SFR + 04h    ; Port 3 Direction
+P3REN       .set PB_SFR + 06h    ; Port 3 Resistor Enable
+P3SEL0      .set PB_SFR + 0Ah    ; Port 3 Selection 0
+P3SEL1      .set PB_SFR + 0Ch    ; Port 3 Selection 1
+
     .ENDIF ; MSP430FR2433
 
 
 
+    .IF DEVICE = "MSP430FR2355"
+
+; ----------------------------------------------------------------------
+; MSP430FR2355 Peripheral File Map
+; ----------------------------------------------------------------------
+SFR_SFR         .equ 0100h           ; Special function
+PMM_SFR         .equ 0120h           ; PMM
+SYS_SFR         .equ 0140h           ; SYS
+CS_SFR          .equ 0180h           ; Clock System
+FRAM_SFR        .equ 01A0h           ; FRAM control
+CRC16_SFR       .equ 01C0h
+WDT_A_SFR       .equ 01CCh           ; Watchdog
+PA_SFR          .equ 0200h           ; PORT1/2
+PB_SFR          .equ 0220h           ; PORT3/4
+PC_SFR          .equ 0240h           ; PORT5/6
+RTC_SFR         .equ 0300h
+TA0_SFR         .equ 0380h
+TA1_SFR         .equ 03C0h
+TA2_SFR         .equ 0400h
+TA3_SFR         .equ 0440h
+MPY_SFR         .equ 04C0h
+eUSCI_A0_SFR    .equ 0500h           ; eUSCI_A0
+eUSCI_B0_SFR    .equ 0540h           ; eUSCI_B0
+eUSCI_A1_SFR    .equ 0580h           ; eUSCI_A1
+eUSCI_B1_SFR    .equ 05C0h           ; eUSCI_B1
+BACK_MEM_SFR    .equ 0660h
+ICC_SFR         .equ 06C0h
+ADC10_B_SFR     .equ 0700h
+eCOMP0_SFR      .equ 08E0h
+eCOMP1_SFR      .equ 0900h
+SAC0_SFR        .equ 0C80h
+SAC1_SFR        .equ 0C90h
+SAC2_SFR        .equ 0CA0h
+SAC3_SFR        .equ 0CB0h
+
+
+; ----------------------------------------------
+; MSP430FR2355 MEMORY MAP
+; ----------------------------------------------
+; 0000-0005 = reserved
+; 0006-001F = tiny RAM
+; 0020-0FFF = peripherals (4 KB)
+; 1000-17FF = ROM bootstrap loader BSL1 (2k)
+; 1800-19FF = information memory (FRAM 512 B)
+; 1A00-1A31 = TLV device descriptor info (FRAM 128 B)
+; 1A80-1FFF = unused
+; 2000-2FFF = RAM (4 KB)
+; 2800-7FFF = unused
+; 8000-FF7F = code memory (FRAM 15232 B)
+; FF80-FFFF = interrupt vectors (FRAM 128 B)
+; FFC00-FFFFF = BSL2 (2k)
+; ----------------------------------------------
+; MSP430FR2355 DEVICE ID
+; ----------------------------------------------
+; 1A04 = 0C, 1A05 = 83
+; ----------------------------------------------
+PAGESIZE        .equ 512         ; MPU unit
+; ----------------------------------------------
+; BSL                           
+; ----------------------------------------------
+BSL1            .equ 01000h 
+BSL2            .equ 0FFC00h
+; ----------------------------------------------
+; FRAM                          ; INFO B, TLV
+; ----------------------------------------------
+TINYRAMSTART    .equ 6
+TINYRAMEND      .equ 1Fh
+INFOSTART       .equ 01800h
+INFOEND         .equ 019FFh
+TLVSTART        .equ 01A00h      ; Device Descriptor Info (Tag-Lenght-Value)
+TLVEND          .equ 01A31h      ;
+; ----------------------------------------------
+; RAM
+; ----------------------------------------------
+RAMSTART        .equ 02000h
+RAMEND          .equ 02FFFh
+; ----------------------------------------------
+; FRAM
+; ----------------------------------------------
+PROGRAMSTART    .equ 08000h      ; Code space start
+; ----------------------------------------------
+; Interrupt Vectors and signatures - MSP430FR2355
+; ----------------------------------------------
+SIGNATURES      .equ 0FF80h      ; JTAG/BSL signatures
+JTAG_SIG1       .equ 0FF80h      ; if 0 (electronic fuse=0) enable JTAG/SBW ; reset by wipe and by S1+<reset>
+JTAG_SIG2       .equ 0FF82h      ; if JTAG_SIG <> |0xFFFFFFFF, 0x00000000|, SBW and JTAG are locked
+BSL_SIG1        .equ 0FF84h      ;
+BSL_SIG2        .equ 0FF86h      ;
+BSL_CONF_SIG    .equ 0FF88h      ;
+BSL_CONF        .equ 0FF8Ah      ;
+BSL_I2C_ADRE    .equ 0FF8Ch      ;
+JTAG_PASSWORD   .equ 0FF88h      ; 256 bits
+BSL_PASSWORD    .equ 0FFE0h      ; 256 bits
+INTVECT         .equ 0FFCEh      ; FFCE-FFFF :  24 vectors + reset
+; ----------------------------------------------
+
+;   .org    INTVECT             ; FFCE-FFFF 24 vectors + reset
+;
+;           .word  reset        ; FFCEh - P4       
+;           .word  reset        ; FFD0h - P3
+;           .word  reset        ; FFD2h - P2       
+;           .word  reset        ; FFD4h - P1
+;           .word  reset        ; FFD6h - SAC1-SAC3    
+;           .word  reset        ; FFD8h - SAC0-SAC2     
+;           .word  reset        ; FFDAh - eCOMPx    
+;           .word  reset        ; FFDCh - ADC10    
+;           .word  reset        ; FFDEh - eUSCI_B1 
+;           .word  reset        ; FFE0h - eUSCI_B0 
+;           .word  reset        ; FFE2h - eUSCI_A1 
+;           .word  reset        ; FFE4h - eUSCI_A0 
+;           .word  reset        ; FFE6h - WDT      
+;           .word  reset        ; FFE8h - RTC      
+;           .word  reset        ; FFEAh - TB3_x    
+;           .word  reset        ; FFECh - TB3_0    
+;           .word  reset        ; FFEEh - TB2_x    
+;           .word  reset        ; FFF0h - TB2_0    
+;           .word  reset        ; FFF2h - TB1_x    
+;           .word  reset        ; FFF4h - TB1_0    
+;           .word  reset        ; FFF6h - TB0_x    
+;           .word  reset        ; FFF8h - TB0_0    
+;           .word  reset        ; FFFAh - UserNMI  
+;           .word  reset        ; FFFCh - SysNMI   
+;           .word  reset        ; FFFEh - Reset    
+
+; ----------------------------------------------------------------------
+; MPY_32
+; ----------------------------------------------------------------------
+
+MPY       .equ MPY_SFR + 00h    ; Multiply16 Unsigned/Operand 1 */
+MPYS      .equ MPY_SFR + 02h    ; Multiply16 signed/Operand 1
+MAC       .equ MPY_SFR + 04h    ; MultiplyAccumulate16 Unsigned/Operand 1 */
+MACS      .equ MPY_SFR + 06h    ; MultiplyAccumulate16 signed/Operand 1
+OP2       .equ MPY_SFR + 08h    ; Operand2_16 */
+RESLO     .equ MPY_SFR + 0Ah    ; 16x16-bit result low - least significant word */
+RESHI     .equ MPY_SFR + 0Ch    ; 16x16-bit result high */
+SUMEXT    .equ MPY_SFR + 0Eh    ; 16x16-bit sum extension register
+MPY32L    .equ MPY_SFR + 10h    ; Multiply32 Unsigned/Operand 1
+MPY32H    .equ MPY_SFR + 12h    ; Multiply32 Unsigned/Operand 1
+MPYS32L   .equ MPY_SFR + 14h    ; Multiply32 signed/Operand 1
+MPYS32H   .equ MPY_SFR + 16h    ; Multiply32 signed/Operand 1
+MAC32L    .equ MPY_SFR + 18h    ; MultiplyAccumulate32 Unsigned/Operand 1
+MAC32H    .equ MPY_SFR + 1Ah    ; MultiplyAccumulate32 Unsigned/Operand 1
+MACS32L   .equ MPY_SFR + 1Ch    ; MultiplyAccumulate32 signed/Operand 1
+MACS32H   .equ MPY_SFR + 1Eh    ; MultiplyAccumulate32 signed/Operand 1
+OP2L      .equ MPY_SFR + 20h    ; Multiply32 Operand 2
+OP2H      .equ MPY_SFR + 22h    ; Multiply32 Operand 2
+RES0      .equ MPY_SFR + 24h    ; 32x32-bit result 0 - least significant word */
+RES1      .equ MPY_SFR + 26h    ; 32x32-bit result 1 */
+RES2      .equ MPY_SFR + 28h    ; 32x32-bit result 2 */
+RES3      .equ MPY_SFR + 2Ah    ; 32x32-bit result 3 */
+MPY32CTL0 .equ MPY_SFR + 2Ch    ; MPY32 control register 0
+
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : PORT3/4
+; ----------------------------------------------------------------------
+
+PBIN        .equ PB_SFR + 00h    ; Port B Input
+PBOUT       .equ PB_SFR + 02h    ; Port B Output
+PBDIR       .equ PB_SFR + 04h    ; Port B Direction
+PBREN       .equ PB_SFR + 06h    ; Port B Resistor Enable
+PBSEL0      .equ PB_SFR + 0Ah    ; Port B Selection 0
+PBSEL1      .equ PB_SFR + 0Ch    ; Port B Selection 1
+PBIES       .equ PB_SFR + 18h    ; Port B Interrupt Edge Select
+PBIE        .equ PB_SFR + 1Ah    ; Port B Interrupt Enable
+PBIFG       .equ PB_SFR + 1Ch    ; Port B Interrupt Flag
+
+P3IN        .equ PB_SFR + 00h    ; Port 3 Input
+P3OUT       .equ PB_SFR + 02h    ; Port 3 Output
+P3DIR       .equ PB_SFR + 04h    ; Port 3 Direction
+P3REN       .equ PB_SFR + 06h    ; Port 3 Resistor Enable
+P3SEL0      .equ PB_SFR + 0Ah    ; Port 3 Selection 0
+P3SEL1      .equ PB_SFR + 0Ch    ; Port 3 Selection 1
+P3IV        .equ PB_SFR + 0Eh    ; Port 3 Interrupt Vector word 
+P3IES       .equ PB_SFR + 18h    ; Port 3 Interrupt Edge Select
+P3IE        .equ PB_SFR + 1Ah    ; Port 3 Interrupt Enable
+P3IFG       .equ PB_SFR + 1Ch    ; Port 3 Interrupt Flag
+
+P4IN        .equ PB_SFR + 01h    ; Port 4 Input
+P4OUT       .equ PB_SFR + 03h    ; Port 4 Output
+P4DIR       .equ PB_SFR + 05h    ; Port 4 Direction
+P4REN       .equ PB_SFR + 07h    ; Port 4 Resistor Enable
+P4SEL0      .equ PB_SFR + 0Bh    ; Port 4 Selection 0
+P4SEL1      .equ PB_SFR + 0Dh    ; Port 4 Selection 1
+P4IES       .equ PB_SFR + 19h    ; Port 4 Interrupt Edge Select
+P4IE        .equ PB_SFR + 1Bh    ; Port 4 Interrupt Enable
+P4IFG       .equ PB_SFR + 1Dh    ; Port 4 Interrupt Flag
+P4IV        .equ PB_SFR + 1Eh    ; Port 4 Interrupt Vector word 
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : PORT5/6
+; ----------------------------------------------------------------------
+; PC = P6:P5
+
+PCIN        .set PC_SFR + 00h    ; Port C Input
+PCOUT       .set PC_SFR + 02h    ; Port C Output 1/0 or pullup/pulldown resistor
+PCDIR       .set PC_SFR + 04h    ; Port C Direction
+PCREN       .set PC_SFR + 06h    ; Port C Resistor Enable
+PCSEL0      .set PC_SFR + 0Ah    ; Port C Selection 0
+PCSEL1      .set PC_SFR + 0Ch    ; Port C Selection 1
+PCSELC      .set PC_SFR + 16h    ; Port C Complement Selection
+
+P5IN        .set PC_SFR + 00h    ; Port 5 Input */
+P5OUT       .set PC_SFR + 02h    ; Port 5 Output
+P5DIR       .set PC_SFR + 04h    ; Port 5 Direction
+P5REN       .set PC_SFR + 06h    ; Port 5 Resistor Enable
+P5SEL0      .set PC_SFR + 0Ah    ; Port 5 Selection 0
+P5SEL1      .set PC_SFR + 0Ch    ; Port 5 Selection 1
+
+P6IN        .set PC_SFR + 01h    ; Port 6 Input */
+P6OUT       .set PC_SFR + 03h    ; Port 6 Output
+P6DIR       .set PC_SFR + 05h    ; Port 6 Direction
+P6REN       .set PC_SFR + 07h    ; Port 6 Resistor Enable
+P6SEL0      .set PC_SFR + 0Bh    ; Port 6 Selection 0
+P6SEL1      .set PC_SFR + 0Dh    ; Port 6 Selection 1
+
+    .ENDIF ; MSP430FR2355
+
+
+
     .IF DEVICE = "MSP430FR4133"
 
 ; ----------------------------------------------------------------------
@@ -215,28 +446,28 @@ BSL_PASSWORD    .equ 0FFE0h      ; 256 bits
 
 ;    .org    SIGNATURES
 ;;Start of JTAG and BSL signatures
-;        .word   0           ; JTAG signature 1
-;        .word   0           ; JTAG signature 2
-;        .word   0           ; BSL signature 1
-;        .word   0           ; BSL signature 2
-
+;        .word   0FFFFh      ; JTAG signature 1
+;        .word   0FFFFh      ; JTAG signature 2
+;        .word   0FFFFh      ; BSL signature 1, 5555h to disable BSL
+;        .word   0FFFFh      ; BSL signature 2
+;
 ;    .org    INTVECT         ; FFE2-FFFF 14 vectors + reset
 ;
-;        .word  reset        ; FFE2h - LCD      
-;        .word  reset        ; FFE4h - P2       
-;        .word  reset        ; FFE6h - P1       
-;        .word  reset        ; FFE8h - ADC10    
-;        .word  reset        ; FFEAh - eUSCI_B0 
-;TERMVEC .word  TERMINAL_INT ; FFECh - eUSCI_A0 
-;        .word  reset        ; FFEEh - WDT      
-;        .word  reset        ; FFF0h - RTC      
-;        .word  reset        ; FFF2h - TA1_x    
-;        .word  reset        ; FFF4h - TA1_0    
-;        .word  reset        ; FFF6h - TA0_x    
-;        .word  reset        ; FFF8h - TA0_0    
-;        .word  reset        ; FFFAh - UserNMI  
-;        .word  reset        ; FFFCh - SysNMI   
-;RST_ADR .word  reset        ; FFFEh - Reset    
+;            .word  reset        ; FFE2h - LCD      
+;            .word  reset        ; FFE4h - P2       
+;            .word  reset        ; FFE6h - P1       
+;            .word  reset        ; FFE8h - ADC10    
+;            .word  reset        ; FFEAh - eUSCI_B0 
+;            .word  reset        ; FFECh - eUSCI_A0 
+;            .word  reset        ; FFEEh - WDT      
+;            .word  reset        ; FFF0h - RTC      
+;            .word  reset        ; FFF2h - TA1_x    
+;            .word  reset        ; FFF4h - TA1_0    
+;            .word  reset        ; FFF6h - TA0_x    
+;            .word  reset        ; FFF8h - TA0_0    
+;            .word  reset        ; FFFAh - UserNMI  
+;            .word  reset        ; FFFCh - SysNMI   
+;            .word  reset        ; FFFEh - Reset    
 
 
 ; ----------------------------------------------------------------------
@@ -252,6 +483,13 @@ PBSEL0      .set PB_SFR + 0Ah    ; Port B Selection 0
 PBSEL1      .set PB_SFR + 0Ch    ; Port B Selection 1
 PBSELC      .set PB_SFR + 16h    ; Port B Complement Selection
 
+P3IN        .set PB_SFR + 00h    ; Port 3 Input */
+P3OUT       .set PB_SFR + 02h    ; Port 3 Output
+P3DIR       .set PB_SFR + 04h    ; Port 3 Direction
+P3REN       .set PB_SFR + 06h    ; Port 3 Resistor Enable
+P3SEL0      .set PB_SFR + 0Ah    ; Port 3 Selection 0
+P3SEL1      .set PB_SFR + 0Ch    ; Port 3 Selection 1
+
 P4IN        .set PB_SFR + 01h    ; Port 4 Input */
 P4OUT       .set PB_SFR + 03h    ; Port 4 Output
 P4DIR       .set PB_SFR + 05h    ; Port 4 Direction
@@ -392,22 +630,11 @@ P2IFG       .equ PA_SFR + 1Dh    ; Port 2 Interrupt Flag
 P2IV        .equ PA_SFR + 1Eh    ; Port 2 Interrupt Vector word 
 
 ; ----------------------------------------------------------------------
-; POWER ON RESET AND INITIALIZATION : PORT3
-; ----------------------------------------------------------------------
-
-P3IN        .equ PB_SFR + 00h    ; Port 3 Input */
-P3OUT       .equ PB_SFR + 02h    ; Port 3 Output
-P3DIR       .equ PB_SFR + 04h    ; Port 3 Direction
-P3REN       .equ PB_SFR + 06h    ; Port 3 Resistor Enable
-P3SEL0      .equ PB_SFR + 0Ah    ; Port 3 Selection 0
-P3SEL1      .equ PB_SFR + 0Ch    ; Port 3 Selection 1
-
-; ----------------------------------------------------------------------
 ; FRAM config
 ; ----------------------------------------------------------------------
 
-FRCTL0          .equ FRAM_SFR + 00h   ; FRAM Controller Control 0
-FRCTL0_H        .equ FRAM_SFR + 01h   ; FRAM Controller Control 0 high byte
+FRCTL0      .equ FRAM_SFR + 00h   ; FRAM Controller Control 0
+FRCTL0_H    .equ FRAM_SFR + 01h   ; FRAM Controller Control 0 high byte
 
 ; ----------------------------------------------------------------------
 ; POWER ON RESET AND INITIALIZATION : CLOCK SYSTEM
@@ -451,12 +678,22 @@ TERMIFG         .equ eUSCI_A0_SFR + 1Ch    ; eUSCI_A0 Interrupt Flags Register
 ; ----------------------------------------------------------------------
 ; eUSCI_A1
 ; ----------------------------------------------------------------------
+    .IFDEF UCA1_UART
+TERMCTLW0       .equ eUSCI_A1_SFR + 00h    ; eUSCI_A1 Control Word Register 0
+TERMBRW         .equ eUSCI_A1_SFR + 06h    ; eUSCI_A1 Baud Word Rate 0
+TERMMCTLW       .equ eUSCI_A1_SFR + 08h    ; eUSCI_A1 Modulation Control
+TERMRXBUF       .equ eUSCI_A1_SFR + 0Ch    ; eUSCI_A1 Receive Buffer
+TERMTXBUF       .equ eUSCI_A1_SFR + 0Eh    ; eUSCI_A1 Transmit Buffer
+TERMIE          .equ eUSCI_A1_SFR + 1Ah    ; eUSCI_A1 Interrupt Enable Register
+TERMIFG         .equ eUSCI_A1_SFR + 1Ch    ; eUSCI_A1 Interrupt Flags Register
+    .ENDIF ;UCA1_UART
+
     .IFDEF UCA1_SD
 SD_CTLW0       .equ eUSCI_A1_SFR + 00h    ; USCI_B0 Control Word Register 0
 SD_BRW         .equ eUSCI_A1_SFR + 06h    ; USCI_B0 Baud Word Rate 0
 SD_RXBUF       .equ eUSCI_A1_SFR + 0Ch    ; USCI_B0 Receive Buffer 8
 SD_TXBUF       .equ eUSCI_A1_SFR + 0Eh    ; USCI_B0 Transmit Buffer 8
-SD_IFG         .equ eUSCI_A1_SFR + 2Ch    ; USCI_B0 Interrupt Flags Register
+SD_IFG         .equ eUSCI_A1_SFR + 1Ch    ; USCI_B0 Interrupt Flags Register
     .ENDIF ;UCA1_SD
 
 ; ----------------------------------------------------------------------
@@ -491,6 +728,14 @@ TERM2IIC_IE          .equ eUSCI_B0_SFR + 2Ah    ; USCI_B0 Interrupt Enable
 TERM2IIC_IFG         .equ eUSCI_B0_SFR + 2Ch    ; USCI_B0 Interrupt Flags Register
     .ENDIF ;UCB0_I2CM
 
+    .IFDEF UCB1_SD
+SD_CTLW0       .equ eUSCI_B1_SFR + 00h    ; USCI_B1 Control Word Register 0
+SD_BRW         .equ eUSCI_B1_SFR + 06h    ; USCI_B1 Baud Word Rate 0
+SD_RXBUF       .equ eUSCI_B1_SFR + 0Ch    ; USCI_B1 Receive Buffer 8
+SD_TXBUF       .equ eUSCI_B1_SFR + 0Eh    ; USCI_B1 Transmit Buffer 8
+SD_IFG         .equ eUSCI_B1_SFR + 2Ch    ; USCI_B1 Interrupt Flags Register
+    .ENDIF ;UCA1_SD
+
 ; ----------------------------------------------------------------------
 ; POWER ON RESET SYS config
 ; ----------------------------------------------------------------------
index c788815..312a3de 100644 (file)
@@ -22,6 +22,30 @@ ASM ; assembler is required!
 MARKER {ANS_COMP}
     \
 
+\ https://forth-standard.org/standard/core/AND
+\ C AND    x1 x2 -- x3           logical AND
+CODE AND
+AND @PSP+,TOS
+MOV @IP+,PC
+ENDCODE
+    \
+
+\ https://forth-standard.org/standard/core/OR
+\ C OR     x1 x2 -- x3           logical OR
+CODE OR
+BIS @PSP+,TOS
+MOV @IP+,PC
+ENDCODE
+    \
+
+\ https://forth-standard.org/standard/core/XOR
+\ C XOR    x1 x2 -- x3           logical XOR
+CODE XOR
+XOR @PSP+,TOS
+MOV @IP+,PC
+ENDCODE
+    \
+
 \ https://forth-standard.org/standard/core/INVERT
 \ INVERT   x1 -- x2            bitwise inversion
 CODE INVERT
@@ -59,22 +83,6 @@ THEN        MOV W,TOS
 ENDCODE
     \
 
-\ https://forth-standard.org/standard/core/OnePlus
-\ 1+      n1/u1 -- n2/u2       add 1 to TOS
-CODE 1+
-ADD #1,TOS
-MOV @IP+,PC
-ENDCODE
-    \
-
-\ https://forth-standard.org/standard/core/OneMinus
-\ 1-      n1/u1 -- n2/u2     subtract 1 from TOS
-CODE 1-
-SUB #1,TOS
-MOV @IP+,PC
-ENDCODE
-    \
-
 [UNDEFINED] MAX [IF]
 \ https://forth-standard.org/standard/core/MAX
 \ MAX    n1 n2 -- n3       signed maximum
@@ -202,6 +210,8 @@ THEN
 XOR S,T             \           S=divisor T=quot_sign
 CMP #0,T            \ -- n3 u4  T=quot_sign
 S< IF
+BW1
+BW2
     XOR #-1,TOS
     ADD #1,TOS
 THEN                \ -- n3 n4  S=divisor
@@ -209,6 +219,22 @@ MOV @IP+,PC
 ENDCODE
     \
 
+\ https://forth-standard.org/standard/core/NEGATE
+\ C NEGATE   x1 -- x2            two's complement
+CODE NEGATE
+GOTO BW1 
+ENDCODE
+    \
+
+\ https://forth-standard.org/standard/core/ABS
+\ C ABS     n1 -- +n2     absolute value
+CODE ABS
+CMP #0,TOS       \  1
+0< ?GOTO BW2
+MOV @IP+,PC
+ENDCODE
+    \
+
 \ https://forth-standard.org/standard/core/FMDivMOD
 \ FM/MOD   d1 n1 -- r q   floored signed div'n
 : FM/MOD
@@ -343,7 +369,6 @@ MOV @IP+,PC
 ENDCODE
     \
 
-
 \ ----------------------------------------------------------------------
 \ ALIGNMENT OPERATORS
 \ ----------------------------------------------------------------------
@@ -427,7 +452,6 @@ MOV @IP+,PC
 ENDCODE
     \ 
 
-
 \ https://forth-standard.org/standard/core/FILL
 \ FILL   c-addr u char --  fill memory with char
 CODE FILL
@@ -511,6 +535,21 @@ ADD #4,TOS
 MOV @IP+,PC
 ENDCODE
     \
+
+\ https://forth-standard.org/standard/core/toIN
+\ C >IN     -- a-addr       holds offset in input stream
+TOIN CONSTANT >IN
+    \
+
+[UNDEFINED] PAD [IF]
+
+\ https://forth-standard.org/standard/core/PAD
+\  PAD           --  pad address
+PAD_ORG CONSTANT PAD
+
+[THEN]
+
+    \
 RST_HERE
     \
 ECHO 
index 4195523..1213ca2 100644 (file)
@@ -1071,22 +1071,17 @@ TESTING INPUT: ACCEPT
 
 CREATE ABUF 80 CHARS ALLOT
 
-[DEFINED] LOAD" [IF]
 : ACCEPT-TEST
     CR ." PLEASE TYPE UP TO 80 CHARACTERS:" CR
-    ABUF 80 (ACCEPT)                \ JMT: because ACCEPT is DEFERred to SD_ACCEPT
-    CR ." RECEIVED: " [CHAR] " EMIT
-    ABUF SWAP TYPE [CHAR] " EMIT CR
-;
+[DEFINED] LOAD" [IF]
+    ABUF 80 (ACCEPT)                \ JMT: because ACCEPT is deferred
 [ELSE]
-: ACCEPT-TEST
-    CR ." PLEASE TYPE UP TO 80 CHARACTERS:" CR
     ABUF 80 ACCEPT
+[THEN]      \ LOAD"
     CR ." RECEIVED: " [CHAR] " EMIT
     ABUF SWAP TYPE [CHAR] " EMIT CR
 ;
 
-[THEN]      \ LOAD"
 
 T{ ACCEPT-TEST -> }T
 \ ------------------------------------------------------------------------
index a0f5b5e..c792678 100644 (file)
@@ -11,7 +11,7 @@ PWR_STATE
 [DEFINED] {FIXPOINT} [IF] {FIXPOINT} [THEN]     \ remove {FIXPOINT} if outside core 
     \
 
-[DEFINED] ASM [UNDEFINED] {FIXPOINT} AND [IF]   \ assembler required, don't replicate {FIXPOINT} inside core
+[UNDEFINED] {FIXPOINT} [IF]   \ assembler required, don't replicate {FIXPOINT} inside core
     \
 
 MARKER {FIXPOINT}
index 517b2e8..58e88cb 100644 (file)
@@ -4,10 +4,10 @@
 
 \ TARGET SELECTION
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
-\ MSP_EXP430FR4133  CHIPSTICK_FR2433
+\ MSP_EXP430FR2355
 
-\ MY_MSP430FR5738_1 MY_MSP430FR5738     MY_MSP430FR5948     MY_MSP430FR5948_1   
-\ JMJ_BOX
+\ MY_MSP430FR5738   MY_MSP430FR5738_1 MY_MSP430FR5738_2   
+\ MY_MSP430FR5948   MY_MSP430FR5948_1   
 
     \
 \ Copyright (C) <2016>  <J.M. THOORENS>
@@ -60,7 +60,7 @@
 \        LCDVo current consumption ~ 500 uA.
 
 \ ===================================================================================
-\ notice : adjust TA0EX0,TB0CTL,TB0EX0 and 20_us to the target frequency if <> 8MHz !
+\ notice : adjust WDT_TIM_EX0,LCD_TIM_CTL,LCD_TIM_EX0 and 20_us to the target frequency if <> 8MHz !
 \ ===================================================================================
 
 
@@ -74,7 +74,7 @@
 \              / \ 1N4148   |
 \              ---          |
 \          100n |    2k2    |
-\ TB0.2 >---||--+--^/\/\/v--+---->  3 LCD_Vo (= 0V6 without modulation)
+\ LCD_TIM_.2 >---||--+--^/\/\/v--+---->  3 LCD_Vo (= 0V6 without modulation)
 \       ------------------------->  4 LCD_RW
 \       ------------------------->  5 LCD_RW
 \       ------------------------->  6 LCD_EN
@@ -140,11 +140,11 @@ ENDCODE
 \     \
 
 CODE 20_US                  \ n --      n * 20 us
-BEGIN                       \ here we presume that TB0IFG = 1...
+BEGIN                       \ here we presume that LCD_TIM_IFG = 1...
     BEGIN
-        BIT #1,&TB0CTL      \ 3
-    0<> UNTIL               \ 2         loop until TB0IFG set
-    BIC #1,&TB0CTL          \ 3         clear TB0IFG
+        BIT #1,&LCD_TIM_CTL      \ 3
+    0<> UNTIL               \ 2         loop until LCD_TIM_IFG set
+    BIC #1,&LCD_TIM_CTL          \ 3         clear LCD_TIM_IFG
     SUB #1,TOS              \ 1
 U< UNTIL                    \ 2 ...so add a dummy loop with U< instead of 0=
 MOV @PSP+,TOS               \ 2
@@ -207,6 +207,18 @@ ENDCODE
 ;
     \
 
+[UNDEFINED] OR [IF]
+    \
+\ https://forth-standard.org/standard/core/OR
+\ C OR     x1 x2 -- x3           logical OR
+CODE OR
+BIS @PSP+,TOS
+MOV @IP+,PC
+ENDCODE
+    \
+
+[THEN]
+    \
 : LCD_Entry_set     $04 OR LCD_WrF ;
     \
 : LCD_DSP_Ctrl      $08 OR LCD_WrF ;
@@ -252,24 +264,24 @@ ASM WDT_INT                     \ Watchdog interrupt routine, warning : not FORT
 \ XOR.B #LED1,&LED1_OUT           \ to visualise WDT
 BIT.B #SW2,&SW2_IN              \ test switch S2
 0= IF                           \ case of switch S2 pressed
-    CMP #19,&TB0CCR2             \ maxi Ton = 19/20 & VDD=3V6 ==> LCD_Vo = -1V4
+    CMP #19,&LCD_TIM_CCR2       \ maxi Ton = 19/20 & VDD=3V6 ==> LCD_Vo = -1V4
     U< IF
-        ADD #1,&TB0CCR2         \ action for switch S2 (P2.5) : 150 mV / increment
+        ADD #1,&LCD_TIM_CCR2    \ action for switch S2 (P2.5) : 150 mV / increment
     THEN
 ELSE
     BIT.B #SW1,&SW1_IN          \ test switch S1 input
     0= IF                       \ case of Switch S1 pressed
-        CMP #3,&TB0CCR2         \ mini Ton = 3/20 & VDD=3V6 ==> LCD_Vo = 0V
+        CMP #3,&LCD_TIM_CCR2    \ mini Ton = 3/20 & VDD=3V6 ==> LCD_Vo = 0V
         U>= IF                  \
-            SUB #1,&TB0CCR2     \ action for switch S1 (P2.6) : -150 mV / decrement
+           SUB #1,&LCD_TIM_CCR2 \ action for switch S1 (P2.6) : -150 mV / decrement
         THEN                    \
     THEN                        \
 THEN                            \
 BW1                             \ from quit on truncated RC5 message
 BW2                             \ from repeated RC5 command
 BW3                             \ from end of RC5_INT
-BIC #$78,0(RSP)                 \4  SCG0,OSCOFF,CPUOFF and GIE are OFF in retiSR to force LPM0_LOOP despite pending interrupt
-RETI                            \5
+BIC #$78,0(RSP)                 \ 4  SCG0,OSCOFF,CPUOFF and GIE are OFF in retiSR to force LPM0_LOOP despite pending interrupt
+RETI                            \ 5
 ENDASM
     \
 
@@ -280,20 +292,20 @@ ASM RC5_INT                     \   wake up on Px.RC5 change interrupt
 \ ******************************\
 \                               \ in :  SR(9)=old Toggle bit memory (ADD on)
 \                               \       SMclock = 8|16|24 MHz
-\                               \ use : T,W,X,Y, TA1 timer, TA1R register
+\                               \ use : T,W,X,Y, RC5_TIM_ timer, RC5_TIM_R register
 \                               \ out : X = 0 C6 C5 C4 C3 C2 C1 C0
 \                               \       SR(9)=new Toggle bit memory (ADD on)
 \ ******************************\
 \ RC5_FirstStartBitHalfCycle:   \
-\ ******************************\                division in TA1CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
-\ MOV #0,&TA1EX0                \ predivide by 1 in TA1EX0 register ( 125kHz|  1MHz |  2MHZ |  4MHZ |  8MHZ ), reset value
-  MOV #1,&TA1EX0                \ predivide by 2 in TA1EX0 register ( 250kHZ|  2MHz |  4MHZ |  8MHZ | 16MHZ )
-\ MOV #2,&TA1EX0                \ predivide by 3 in TA1EX0 register ( 375kHz|  3MHz |  6MHZ | 12MHZ | 24MHZ )
-\ MOV #3,&TA1EX0                \ predivide by 4 in TA1EX0 register ( 500kHZ|  4MHz |  8MHZ | 16MHZ )
-\ MOV #4,&TA1EX0                \ predivide by 6 in TA1EX0 register ( 625kHz|  5MHz | 10MHZ | 20MHZ )
-\ MOV #5,&TA1EX0                \ predivide by 6 in TA1EX0 register ( 750kHz|  6MHz | 12MHZ | 24MHZ )
-\ MOV #6,&TA1EX0                \ predivide by 7 in TA1EX0 register ( 875kHz|  7MHz | 14MHZ | 28MHZ )
-\ MOV #7,&TA1EX0                \ predivide by 8 in TA1EX0 register (  1MHz |  8MHz | 16MHZ | 32MHZ )
+\ ******************************\                division in RC5_TIM_CTL (SMCLK/1|SMCLK/1|SMCLK/2|SMCLK/4|SMCLK/8)
+\ MOV #0,&RC5_TIM_EX0           \ predivide by 1 in RC5_TIM_EX0 register ( 125kHz|  1MHz |  2MHZ |  4MHZ |  8MHZ ), reset value
+  MOV #1,&RC5_TIM_EX0           \ predivide by 2 in RC5_TIM_EX0 register ( 250kHZ|  2MHz |  4MHZ |  8MHZ | 16MHZ )
+\ MOV #2,&RC5_TIM_EX0           \ predivide by 3 in RC5_TIM_EX0 register ( 375kHz|  3MHz |  6MHZ | 12MHZ | 24MHZ )
+\ MOV #3,&RC5_TIM_EX0           \ predivide by 4 in RC5_TIM_EX0 register ( 500kHZ|  4MHz |  8MHZ | 16MHZ )
+\ MOV #4,&RC5_TIM_EX0           \ predivide by 6 in RC5_TIM_EX0 register ( 625kHz|  5MHz | 10MHZ | 20MHZ )
+\ MOV #5,&RC5_TIM_EX0           \ predivide by 6 in RC5_TIM_EX0 register ( 750kHz|  6MHz | 12MHZ | 24MHZ )
+\ MOV #6,&RC5_TIM_EX0           \ predivide by 7 in RC5_TIM_EX0 register ( 875kHz|  7MHz | 14MHZ | 28MHZ )
+\ MOV #7,&RC5_TIM_EX0           \ predivide by 8 in RC5_TIM_EX0 register (  1MHz |  8MHz | 16MHZ | 32MHZ )
 MOV #1778,X                     \ RC5_Period * 1us
 \ MOV #222,X                    \ RC5_Period * 8us (SMCLK/1 and first column above)
 MOV #14,W                       \ count of loop
@@ -301,17 +313,17 @@ BEGIN                           \
 \ ******************************\
 \ RC5_HalfCycle                 \ <--- loop back ---+ with readjusted RC5_Period
 \ ******************************\                   |
-\   MOV #%1000100100,&TA1CTL    \ (re)start timer_A | SMCLK/1 time interval,free running,clear TA1_IFG and TA1R
-\   MOV #%1002100100,&TA1CTL    \ (re)start timer_A | SMCLK/2 time interval,free running,clear TA1_IFG and TA1R
-\   MOV #%1010100100,&TA1CTL    \ (re)start timer_A | SMCLK/4 time interval,free running,clear TA1_IFG and TA1R
-    MOV #%1011100100,&TA1CTL    \ (re)start timer_A | SMCLK/8 time interval,free running,clear TA1_IFG and TA1R
+\ MOV #%1000100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/1 time interval,free running,clear RC5_TIM__IFG and RC5_TIM_R
+\ MOV #%1002100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/2 time interval,free running,clear RC5_TIM__IFG and RC5_TIM_R
+\ MOV #%1010100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/4 time interval,free running,clear RC5_TIM__IFG and RC5_TIM_R
+MOV #%1011100100,&RC5_TIM_CTL   \ (re)start timer_A | SMCLK/8 time interval,free running,clear RC5_TIM__IFG and RC5_TIM_R
 \ RC5_Compute_3/4_Period:       \                   |
     RRUM    #1,X                \ X=1/2 cycle       |
     MOV     X,Y                 \                   ^
     RRUM    #1,Y                \ Y=1/4
     ADD     X,Y                 \ Y=3/4 cycle
-    BEGIN   CMP Y,&TA1R         \3 wait 1/2 + 3/4 cycle = n+1/4 cycles 
-    U>= UNTIL                   \2
+    BEGIN   CMP Y,&RC5_TIM_R    \ 3 wait 1/2 + 3/4 cycle = n+1/4 cycles 
+    U>= UNTIL                   \ 2
 \ ******************************\
 \ RC5_SampleOnFirstQuarter      \ at n+1/4 cycles, we sample RC5_input, ST2/C6 bit first
 \ ******************************\
@@ -325,19 +337,19 @@ BEGIN                           \
 0<> WHILE                       \ ----> out of loop ----+
     ADD X,Y                     \                       |   Y = n+3/4 cycles = time out because n+1/2 cycles edge is always present
     BEGIN                       \                       |
-        MOV &TA1R,X             \3                      |   X grows from n+1/4 up to n+3/4 cycles
-        CMP Y,X                 \                     |   cycle time out of bound ?
-        U>= IF                  \                 ^   |   yes:
-            BIC #$30,&TA1CTL    \                   |   |      stop timer
-            GOTO BW1            \                   |   |      quit on truncated RC5 message
+        MOV &RC5_TIM_R,X        \ 3                     |   X grows from n+1/4 up to n+3/4 cycles
+        CMP Y,X                 \ 1                     |   cycle time out of bound ?
+        U>= IF                  \ 2                 ^   |   yes:
+        BIC #$30,&RC5_TIM_CTL   \                   |   |      stop timer
+        GOTO BW1                \                   |   |      quit on truncated RC5 message
         THEN                    \                   |   |
-        BIT.B #RC5,&IR_IFG      \                 |   |   n+1/2 cycles edge is always present
-    0<> UNTIL                   \                 |   |
+        BIT.B #RC5,&IR_IFG      \ 3                 |   |   n+1/2 cycles edge is always present
+    0<> UNTIL                   \ 2                 |   |
 REPEAT                          \ ----> loop back --+   |   with X = new RC5_period value
 \ ******************************\                       |
 \ RC5_SampleEndOf:              \ <---------------------+
 \ ******************************\
-BIC #$30,&TA1CTL                \   stop timer
+BIC #$30,&RC5_TIM_CTL           \   stop timer
 \ ******************************\
 \ RC5_ComputeNewRC5word         \
 \ ******************************\
@@ -396,62 +408,62 @@ ENDASM                          \
 
 CODE START                      \
 \ ------------------------------\
-\ TB0CTL =  %0000 0010 1001 0100\$3C0
-\               - -             \CNTL Counter lentgh \ 00 = 16 bits
-\                   --          \TBSSEL TimerB clock select \ 10 = SMCLK
-\                      --       \ID input divider \ 10 = /4
-\                        --     \MC Mode Control \ 01 = up to TB0CCR0
-\                            -  \TBCLR TimerB Clear
-\                             - \TBIE
-\                              -\TBIFG
+\ LCD_TIM_CTL =  %0000 0010 1001 0100\$3C0
+\                    - -             \CNTL Counter lentgh \ 00 = 16 bits
+\                        --          \TBSSEL TimerB clock select \ 10 = SMCLK
+\                           --       \ID input divider \ 10 = /4
+\                             --     \MC Mode Control \ 01 = up to LCD_TIM_CCR0
+\                                 -  \TBCLR TimerB Clear
+\                                  - \TBIE
+\                                   -\TBIFG
 \ -------------------------------\
-\ TB0CCTLx = %0000 0000 0110 0000\$3C{2,4,6,8,A,C,E}
-\             --                 \CM Capture Mode
-\               --               \CCIS
-\                  -             \SCS
-\                   --           \CLLD
-\                     -          \CAP
-\                       ---      \OUTMOD \ 011 = set/reset
-\                          -     \CCIE
-\                            -   \CCI
-\                             -  \OUT
-\                              - \COV
-\                               -\CCIFG
+\ LCD_TIM_CCTLx = %0000 0000 0110 0000\$3C{2,4,6,8,A,C,E}
+\                  --                 \CM Capture Mode
+\                    --               \CCIS
+\                       -             \SCS
+\                        --           \CLLD
+\                          -          \CAP
+\                            ---      \OUTMOD \ 011 = set/reset
+\                               -     \CCIE
+\                                 -   \CCI
+\                                  -  \OUT
+\                                   - \COV
+\                                    -\CCIFG
 \ -------------------------------\
-\ TB0CCRx                        \
+\ LCD_TIM_CCRx                   \
 \ -------------------------------\
-\ TB0EX0                         \ 
+\ LCD_TIM_EX0                    \ 
 \ ------------------------------\
-\ set TB0 to make 50kHz PWM     \ for LCD_Vo, works without interrupt
+\ set LCD_TIM_ to make 50kHz PWM \ for LCD_Vo, works without interrupt
 \ ------------------------------\
-\    MOV #%1000010100,&TB0CTL   \ SMCLK/1, up mode, clear timer, no int
-\    MOV #0,&TB0EX0             \ predivide by 1 in TB0EX0 register (1 MHZ)
+\    MOV #%1000010100,&LCD_TIM_CTL \ SMCLK/1, up mode, clear timer, no int
+\    MOV #0,&LCD_TIM_EX0        \ predivide by 1 in LCD_TIM_EX0 register (1 MHZ)
 \ ------------------------------\
-\    MOV #%1001010100,&TB0CTL   \ SMCLK/2, up mode, clear timer, no int
-\    MOV #1,&TB0EX0             \ predivide by 2 in TB0EX0 register (2 MHZ)
+\    MOV #%1001010100,&LCD_TIM_CTL \ SMCLK/2, up mode, clear timer, no int
+\    MOV #1,&LCD_TIM_EX0        \ predivide by 2 in LCD_TIM_EX0 register (2 MHZ)
 \ ------------------------------\
-\    MOV #%1010010100,&TB0CTL   \ SMCLK/4, up mode, clear timer, no int
-\    MOV #1,&TB0EX0             \ predivide by 2 in TB0EX0 register (4 MHZ)
+\    MOV #%1010010100,&LCD_TIM_CTL \ SMCLK/4, up mode, clear timer, no int
+\    MOV #1,&LCD_TIM_EX0        \ predivide by 2 in LCD_TIM_EX0 register (4 MHZ)
 \ ------------------------------\
-\    MOV #%1011010100,&TB0CTL    \ SMCLK/8, up mode, clear timer, no int
-\    MOV #0,&TB0EX0              \ predivide by 1 in TB0EX0 register (8 MHZ)
+\    MOV #%1011010100,&LCD_TIM_CTL \ SMCLK/8, up mode, clear timer, no int
+\    MOV #0,&LCD_TIM_EX0        \ predivide by 1 in LCD_TIM_EX0 register (8 MHZ)
 \ ------------------------------\
-    MOV #%1011010100,&TB0CTL   \ SMCLK/8, up mode, clear timer, no int
-    MOV #1,&TB0EX0             \ predivide by 2 in TB0EX0 register (16 MHZ)
+    MOV #%1011010100,&LCD_TIM_CTL \ SMCLK/8, up mode, clear timer, no int
+    MOV #1,&LCD_TIM_EX0         \ predivide by 2 in LCD_TIM_EX0 register (16 MHZ)
 \ ------------------------------\
-\    MOV #%1011010100,&TB0CTL   \ SMCLK/8, up mode, clear timer, no int
-\    MOV #2,&TB0EX0             \ predivide by 3 in TB0EX0 register (24 MHZ)
+\    MOV #%1011010100,&LCD_TIM_CTL \ SMCLK/8, up mode, clear timer, no int
+\    MOV #2,&LCD_TIM_EX0        \ predivide by 3 in LCD_TIM_EX0 register (24 MHZ)
 \ ------------------------------\
-    MOV #19,&TB0CCR0            \ 19+1=20*1us=20us
+    MOV #19,&LCD_TIM_CCR0       \ 19+1=20*1us=20us
 \ ------------------------------\
-\ set TB0.2 to generate PWM for LCD_Vo
+\ set LCD_TIM_.2 to generate PWM for LCD_Vo
 \ ------------------------------\
-    MOV #%01100000,&TB0CCTL2    \ output mode = set/reset \ clear CCIFG
-    MOV #10,&TB0CCR2            \ contrast adjust : 10/20 ==> LCD_Vo = -0V6|+3V6 (Vcc=3V6)
-\    MOV #12,&TB0CCR2            \ contrast adjust : 12/20 ==> LCD_Vo = -1V4|+3V3 (Vcc=3V3)
+    MOV #%01100000,&LCD_TIM_CCTL2 \ output mode = set/reset \ clear CCIFG
+    MOV #10,&LCD_TIM_CCR2       \ contrast adjust : 10/20 ==> LCD_Vo = -0V6|+3V6 (Vcc=3V6)
+\    MOV #12,&LCD_TIM_CCR2        \ contrast adjust : 12/20 ==> LCD_Vo = -1V4|+3V3 (Vcc=3V3)
 \ ------------------------------\
     BIS.B #LCDVo,&LCDVo_DIR     \
-    BIS.B #LCDVo,&LCDVo_SEL     \ SEL.2 TB0.2
+    BIS.B #LCDVo,&LCDVo_SEL     \ SEL.2
 \ ------------------------------\
     BIS.B #LCD_CMD,&LCD_CMD_DIR \ lcd_cmd as outputs
     BIC.B #LCD_CMD,&LCD_CMD_REN \ lcd_cmd pullup/down disable
@@ -465,7 +477,7 @@ CODE START                      \
     BIC.B #RC5,&IR_IFG          \ reset RC5_Int flag
     MOV #RC5_INT,&IR_Vec        \ init interrupt vector
 \ ******************************\
-\ init WatchDog TA0             \ eUSCI_A0 (FORTH terminal) has higher priority than TA0
+\ init WatchDog WDT_TIM_             \ eUSCI_A0 (FORTH terminal) has higher priority than WDT_TIM_
 \ ******************************\
 \              %01 0001 0100    \ TAxCTL
 \               --              \ TASSEL    CLK = ACLK = LFXT = 32768 Hz
@@ -475,22 +487,22 @@ CODE START                      \
 \                         -     \ TAIE
 \                          -    \ TAIFG
 \ ------------------------------\
-    MOV #%0100010100,&TA0CTL    \ start TA0, ACLK, up mode, disable int, 
+    MOV #%0100010100,&WDT_TIM_CTL \ start WDT_TIM_, ACLK, up mode, disable int, 
 \ ------------------------------\
 \                        000    \ TAxEX0
 \                        ---    \ TAIDEX    pre divisor
 \ ------------------------------\
 \          %0000 0000 0000 0101 \ TAxCCR0
-    MOV ##1638,&TA0CCR0         \ init WDT for LFXT: 32768/20=1638 ==> 50ms
-\    MOV ##400,&TA0CCR0          \ init WDT for VLO: 8000/20=400 ==> 50ms
+    MOV ##1638,&WDT_TIM_CCR0    \ init WDT for LFXT: 32768/20=1638 ==> 50ms
+\    MOV ##400,&WDT_TIM_CCR0      \ init WDT for VLO: 8000/20=400 ==> 50ms
 \ ------------------------------\
 \          %0000 0000 0001 0000 \ TAxCCTL0
 \                   -           \ CAP capture/compare mode = compare
 \                        -      \ CCIEn
 \                             - \ CCIFGn
-    MOV #%10000,&TA0CCTL0       \ enable compare interrupt, clear CCIFG0
+    MOV #%10000,&WDT_TIM_CCTL0  \ enable compare interrupt, clear CCIFG0
 \ ------------------------------\
-    MOV #WDT_INT,&TA0_0_Vec     \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
+    MOV #WDT_INT,&WDT_TIM_0_Vec \ for only CCIFG0 int, this interrupt clears automatically CCIFG0
 \ ------------------------------\
 \ define LPM mode for ACCEPT    \
 \ ------------------------------\
@@ -533,9 +545,9 @@ LO2HI                           \ no need to push IP because (WARM) resets the R
 \    NOECHO                      \ uncomment to run this app without terminal connexion
     CR
     ."    RC5toLCD is running. Type STOP to quit"
-    LIT RECURSE IS WARM         \ insert this START routine between WARM and (WARM)...
-    (WARM)                      \ ...and continue with (WARM), must be the START last statement.
-;
+    LIT RECURSE IS WARM         \ replace WARM by this START routine
+    ABORT                       \ and continue with the next word after WARM...
+;                               \ ...until interpreter falls in sleep mode within ACCEPT.
     \
 
 CODE STOP                   \ stops multitasking, must to be used before downloading app
index 8bb2218..d9f7b64 100644 (file)
@@ -4,7 +4,7 @@
 
 \ TARGET SELECTION
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
-\ MSP_EXP430FR4133  CHIPSTICK_FR2433
+\ MSP_EXP430FR4133  CHIPSTICK_FR2433    MSP_EXP430FR2433    MSP_EXP430FR2355
 
 \ MY_MSP430FR5738_1 MY_MSP430FR5738     MY_MSP430FR5948     MY_MSP430FR5948_1   
 \ JMJ_BOX
index 6b1317d..1571246 100644 (file)
 
 PWR_STATE
     \
-[DEFINED] {UTILITY} [IF] {UTILITY} [THEN]     \ remove {UTILITY} if outside core 
+[DEFINED] {TOOLS} [IF] {TOOLS} [THEN]     \ remove {UTILITY} if outside core 
     \
-[DEFINED] ASM [UNDEFINED] {UTILITY} AND [IF]  \ required test and don't replicate {UTILITY} if inside core
+[UNDEFINED] {TOOLS} [IF]  \ don't replicate {UTILITY} if inside core
     \
-MARKER {UTILITY
+MARKER {TOOLS
     \
 [UNDEFINED] ? [IF]    \
 \ https://forth-standard.org/standard/tools/q
@@ -77,7 +77,7 @@ COLON
     \
 
 [UNDEFINED] .RS [IF]    \
-\ .S            --            display <depth> of Return Stack and stack contents if not empty
+\ .RS            --            display <depth> of Return Stack and stack contents if not empty
 CODE .RS
     MOV     TOS,-2(PSP) \ -- TOS ( tos x x ) 
     MOV     RSP,-6(PSP) \ -- TOS ( tos x  RSP )
@@ -89,6 +89,17 @@ ENDCODE
 
 [UNDEFINED] WORDS [IF]
     \
+[UNDEFINED] AND [IF]
+    \
+\ https://forth-standard.org/standard/core/AND
+\ C AND    x1 x2 -- x3           logical AND
+CODE AND
+AND @PSP+,TOS
+MOV @IP+,PC
+ENDCODE
+    \
+[THEN]
+    \
 \ https://forth-standard.org/standard/tools/WORDS
 \ list all words of vocabulary first in CONTEXT.
 : WORDS                             \ --            
@@ -110,7 +121,7 @@ CONTEXT @                           \ -- VOC_BODY                   MOVE all thr
     MOVE                            \
     BEGIN                           \ -- 
 \        0 DUP                       \ -- ptr=0 MAX=0                select the MAX of NFAs in all vocabulary threads
-        0.                          \ -- ptr=0 MAX=0
+        0.                          \ -- ptr=0 MAX=0                
         INI_THREAD @ DUP + 0        \ -- ptr=0 MAX=0 THREADS*2 0
             DO                      \ -- ptr MAX            I =  PAD_ptr = thread*2
             DUP I PAD + @           \ -- ptr MAX MAX NFAx
@@ -187,6 +198,17 @@ LO2HI
 [THEN]
     \
 
+\ : U.BS U. 8 EMIT ;
+\     \
+\
+\ : specs               \ to see specifications below
+\ FREQ_KHZ @ 0 1000 UM/MOD U.BS ." ," U.BS ." MHz "
+\ INI_THREAD @ U.BS ." Threads "
+\ HECTOBAUDS @ 0 10 UM/MOD U.BS ." ," U.BS ." kBds."  
+\ ;
+\     \
+
+
 [THEN]
     \
 ECHO
diff --git a/MSP_EXP430FR2355.asm b/MSP_EXP430FR2355.asm
new file mode 100644 (file)
index 0000000..72d882c
--- /dev/null
@@ -0,0 +1,463 @@
+; -*- coding: utf-8 -*-
+
+; Fast Forth For Texas Instrument MSP430FR5739
+; Tested on MSP-EXP430FR2355 launchpad
+;
+; Copyright (C) <2018>  <J.M. THOORENS>
+;
+; This program is free software: you can redistribute it and/or modify
+; it under the terms of the GNU General Public License as published by
+; the Free Software Foundation, either version 3 of the License, or
+; (at your option) any later version.
+; 
+; This program is distributed in the hope that it will be useful,
+; but WITHOUT ANY WARRANTY; without even the implied warranty of
+; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+; GNU General Public License for more details.
+; 
+; You should have received a copy of the GNU General Public License
+; along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+; ======================================================================
+; INIT MSP-EXP430FR2355 board
+; ======================================================================
+
+; J101 (7xjumper)
+; "SBWTCK"   ---> TEST
+; "SBWTDIO"  ---> RST
+; "TXD"      <--- P4.3  == UCA0TXD <-- UCA0TXDBUf
+; "RXD"      ---> P4.2  == UCA0RXD --> UCA0RXDBUF
+; "3V3"      <--> 3V3
+; "5V0"      <--> 5V0
+; "GND"      <--> GND
+
+
+; SW1 -- P4.1
+; SW2 -- P2.3
+
+; LED1 - P1.0   (red)
+; LED2 - P6.6   (green)
+
+; I/O pins on J1:
+; J1.1  - 3V3
+; J1.2  - P1.5
+; J1.3  - P1.6
+; J1.4  - P1.7
+; J1.5  - P3.6
+; J1.6  - P5.2
+; J1.7  - P4.5
+; J1.8  - P3.4
+; J1.9  - P1.3
+; J1.10 - P1.2
+
+; I/O pins on J3:
+; J3.21 - 5V0
+; J3.22 - GND
+; J3.23 - P1.4 A4 SEED
+; J3.24 - P5.3 A11
+; J3.25 - P5.1 A9
+; J3.26 - P5.0 A8
+; J3.27 - P5.4
+; J3.28 - P1.1 A1 SEED
+; J3.29 - P3.5 OA3O
+; J3.30 - P3.1 OA2O
+
+
+; I/O pins on J2:
+; J2.11 - P3.0
+; J2.12 - P2.5
+; J2.13 - P4.4
+; J2.14 - P4.7
+; J2.15 - P4.6
+; J2.16 - RST
+; J2.17 - P4.0
+; J2.18 - P2.2
+; J2.19 - P2.0
+; J2.20 - GND
+
+; I/O pins on J4:
+; J2.31 - P3.2
+; J2.32 - P3.3
+; J2.33 - P2.4
+; J2.34 - P3.7
+; J2.35 - P6.4
+; J2.36 - P6.3
+; J2.37 - P6.2
+; J2.38 - P6.1
+; J2.39 - P6.0
+; J2.40 - 2.1
+
+; LFXTAL XOUT- P2.6
+; LFXTAL XIN - P2.7
+
+
+
+
+; ======================================================================
+; MSP-EXP430FR2355 LAUNCHPAD    <--> OUTPUT WORLD
+; ======================================================================
+
+;                                 +--4k7-< DeepRST switch <-- GND 
+;                                 |
+; P4.3  - UCA1 TXD    J101.6 -  <-+-> RX  UARTtoUSB bridge
+; P4.2  - UCA1 RXD    J101.8 -  <---- TX  UARTtoUSB bridge
+; P2.0  - RTS         J2.19  -  ----> CTS UARTtoUSB bridge (TERMINAL4WIRES)
+; P2.1  - CTS         J4.40  -  <---- RTS UARTtoUSB bridge (TERMINAL5WIRES)
+
+; P1.2  - UCB0 SDA    J1.10  -  <---> SDA I2C Master_Slave
+; P1.3  - UCB0 SCL    J1.9   -  ----> SCL I2C Master_Slave
+        
+; P2.2  -             J2.18  -  <---- TSSOP32236 (IR RC5) 
+
+; P2.5  -             J2.12  -  ----> SD_CS (Card Select)
+; P4.4  -             J2.13  -  <---- SD_CD (Card Detect)
+; P4.5  - UCB1 CLK    J1.7   -  ----> SD_CLK
+; P4.7  - UCB1 SOMI   J2.14  -  <---- SD_SDO
+; P4.6  - UCB1 SIMO   J2.15  -  ----> SD_SDI
+        
+; P6.0  -             J4.39  -  ----> SCL I2C Soft_Master
+; P6.1  -             J4.38  -  <---> SDA I2C Soft_Master
+
+; ----------------------------------------------------------------------
+; INIT order : WDT, GPIOs, FRAM, Clock, UARTs...
+; ----------------------------------------------------------------------
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : LOCK PMM_LOCKLPM5
+; ----------------------------------------------------------------------
+
+;              BIS     #LOCKLPM5,&PM5CTL0 ; unlocked by WARM
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : WATCHDOG TIMER A
+; ----------------------------------------------------------------------
+
+; WDT code
+        MOV #WDTPW+WDTHOLD+WDTCNTCL,&WDTCTL    ; stop WDT
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : I/O
+; ----------------------------------------------------------------------
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : PORT1/2
+; ----------------------------------------------------------------------
+
+; LED1 - P1.0   (red)
+
+; SW2  - P2.3
+
+; reset state : Px{DIR,REN,SEL0,SEL1,SELC,IE,IFG,IV} = 0 ; Px{IN,OUT,IES} = ?
+
+; PORTA usage
+
+            MOV #-1,&PAREN      ; all inputs with pull resistors
+            BIS #00001h,&PADIR  ; all pins as input else LED1 as output
+            MOV #0FFFEh,&PAOUT  ; all pins with pullup resistors ekse LED1 = output low
+
+
+; P2.0  - RTS         J2.19   -  ----> CTS UARTtoUSB bridge (TERMINAL4WIRES)
+; P2.1  - CTS         J4.40   -  <---- RTS UARTtoUSB bridge (TERMINAL5WIRES)
+
+    .IFDEF TERMINAL4WIRES
+; RTS output must be wired to the CTS input of UART2USB bridge 
+; configure RTS as output high to disable RX TERM during start FORTH
+; notice that this pin RTS may be permanently wired on SBWTCK (TEST) without disturbing SBW 2 wires programming
+HANDSHAKOUT .equ    P2OUT
+HANDSHAKIN  .equ    P2IN
+RTS         .equ    1           ; P2.0 bit position
+
+            BIS.B #1,&P2OUT     ; P2.0 RTS as output high
+
+        .IFDEF TERMINAL5WIRES
+
+; CTS input must be wired to the RTS output of UART2USB bridge 
+; configure CTS as input low
+CTS         .equ    2           ; P2.1 bit position
+            BIC.B  #2,&P2DIR    ; CTS input pull down resistor
+
+        .ENDIF  ; TERMINAL5WIRES
+
+    .ENDIF  ; TERMINAL4WIRES
+
+; SD_CS - P2.5 (Card Select)
+SD_CS           .equ  20h
+SD_CSOUT        .equ P2OUT
+SD_CSDIR        .equ P2DIR
+
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : PORT3-4
+; ----------------------------------------------------------------------
+
+          
+
+; P4.1  - SW1
+
+; reset state : Px{DIR,REN,SEL0,SEL1,SELC,IE,IFG,IV} = 0 ; Px{IN,OUT,IES} = ?
+
+; PORT3 usage
+            MOV.B #-1,&P3OUT  ; OUT1 for all pins
+            BIS.B #-1,&P3REN  ; all pins with pull resistors
+
+; P4.2  - UCA1 RXD    J101.8 -  <---- TX  UARTtoUSB bridge
+; P4.3  - UCA1 TXD    J101.6 -  <-+-> RX  UARTtoUSB bridge
+
+Deep_RST_IN .equ P4IN
+Deep_RST    .equ 8 ; = TX
+TERM_TXRX   .equ 0Ch
+TERM_SEL    .equ P4SEL0
+TERM_REN    .equ P4REN
+
+; P4.4  -             J2.13  -  <---- SD_CD (Card Detect)
+SD_CD           .equ  10h
+SD_CDIN         .equ  P4IN
+
+; P4.5  - UCB1 CLK    J1.7   -  ----> SD_CLK
+; P4.6  - UCB1 SIMO   J2.15  -  ----> SD_SDI
+; P4.7  - UCB1 SOMI   J2.14  -  <---- SD_SDO
+        
+SD_SEL      .equ PBSEL0 ; to configure UCB1
+SD_REN      .equ PBREN  ; to configure pullup resistors
+SD_BUS      .equ 0E000h ; pins P4.5 as UCA1CLK, P4.6 as UCA1SIMO & P4.7 as UCA1SOMI
+
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : PORT5-6
+; ----------------------------------------------------------------------
+
+; reset state : Px{DIR,REN,SEL0,SEL1,SELC,IE,IFG,IV} = 0 ; Px{IN,OUT,IES} = ?
+
+; PORT6 usage
+
+; LED2 - P6.6   (green)
+
+            BIS.B #0BFh,&P6REN  ; all pins with pull up resistors else P6.6
+            MOV.B #040h,&P6DIR
+            MOV.B #0BFh,&P6OUT  ; OUT high for all pins else P6.6
+
+
+; ----------------------------------------------------------------------
+; FRAM config
+; ----------------------------------------------------------------------
+
+    .IF FREQUENCY = 16
+;NWAITS            = 1
+            MOV.B   #0A5h, &FRCTL0_H     ; enable FRCTL0 access
+            MOV.B   #10h, &FRCTL0         ; 1 waitstate @ 16 MHz
+            MOV.B   #01h, &FRCTL0_H       ; disable FRCTL0 access
+    .ENDIF
+
+    .IF FREQUENCY = 24
+;NWAITS            = 2
+            MOV.B   #0A5h, &FRCTL0_H     ; enable FRCTL0 access
+            MOV.B   #20h, &FRCTL0         ; 2 waitstate @ 24 MHz
+            MOV.B   #01h, &FRCTL0_H       ; disable FRCTL0 access
+    .ENDIF
+
+; ----------------------------------------------------------------------
+; POWER ON RESET SYS config
+; ----------------------------------------------------------------------
+
+; SYS code                                  
+;    BIC #1,&SYSCFG0 ; enable write program in FRAM
+    MOV #0A500h,&SYSCFG0 ; enable write MAIN and INFO
+
+; ----------------------------------------------------------------------
+; POWER ON RESET AND INITIALIZATION : CLOCK SYSTEM
+; ----------------------------------------------------------------------
+
+; CS code for MSP430FR2355
+
+; to measure REFO frequency, output the ACLK on P1.1: 
+;    BIS.B #2,&P1SEL1
+;    BIS.B #2,&P1DIR
+; result : REFO = xx.xxx kHz
+
+
+    .IF FREQUENCY = 0.5
+
+;            MOV     #058h,&CSCTL0       ; preset DCO = measured value @ 0x180 (88)
+;            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+            MOV     #1ED1h,&CSCTL0       ; preset MOD=31, DCO = measured value @ 0x180 (209)
+            MOV     #00B0h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #100Dh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Dh
+                                        ; fCOCLKDIV = 32768 x (13+1) = 0.459 MHz ; measured :  MHz
+;            MOV     #100Eh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Eh
+                                        ; fCOCLKDIV = 32768 x (14+1) = 0.491 MHz ; measured :  MHz
+            MOV     #100Fh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Fh
+                                        ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
+; =====================================
+            MOV     #8,X
+
+    .ELSEIF FREQUENCY = 1
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B1h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B0h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #001Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Dh
+                                        ; fCOCLKDIV = 32768 x (29+1) = 0.983 MHz ; measured : 0.989MHz
+            MOV     #001Eh,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Eh
+                                        ; fCOCLKDIV = 32768 x (30+1) = 1.015 MHz ; measured : 1.013MHz
+;            MOV     #001Fh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Fh
+                                        ; fCOCLKDIV = 32768 x (31+1) = 1.049 MHz ; measured : 1.046MHz
+; =====================================
+            MOV     #16,X
+
+    .ELSEIF FREQUENCY = 2
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B3h,&CSCTL1      ; Set 2MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B2h,&CSCTL1      ; Set 2MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #003Bh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Bh
+                                        ; fCOCLKDIV = 32768 x (59+1) = 1.996 MHz ; measured :  MHz
+            MOV     #003Ch,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Ch
+                                        ; fCOCLKDIV = 32768 x (60+1) = 1.998 MHz ; measured :  MHz
+;            MOV     #003Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Dh
+                                        ; fCOCLKDIV = 32768 x (61+1) = 2.031 MHz ; measured :  MHz
+; =====================================
+            MOV     #32,X
+
+    .ELSEIF FREQUENCY = 4
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B5h,&CSCTL1      ; Set 4MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B4h,&CSCTL1      ; Set 4MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #0078h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=78h
+                                        ; fCOCLKDIV = 32768 x (120+1) = 3.965 MHz ; measured : 3.96MHz
+
+            MOV     #0079h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=79h
+                                        ; fCOCLKDIV = 32768 x (121+1) = 3.997 MHz ; measured : 3.99MHz
+
+;            MOV     #007Ah,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=7Ah
+                                        ; fCOCLKDIV = 32768 x (122+1) = 4.030 MHz ; measured : 4.020MHz
+; =====================================
+            MOV     #64,X
+
+    .ELSEIF FREQUENCY = 8
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B7h,&CSCTL1      ; Set 8MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B6h,&CSCTL1      ; Set 8MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #00F2h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F2h
+                                        ; fCOCLKDIV = 32768 x (242+1) = 7.963 MHz ; measured : 7.943MHz
+;            MOV     #00F3h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F3h
+                                        ; fCOCLKDIV = 32768 x (243+1) = 7.995 MHz ; measured : 7.976MHz
+            MOV     #00F4h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F4h
+                                        ; fCOCLKDIV = 32768 x (244+1) = 8.028 MHz ; measured : 8.009MHz
+
+;            MOV     #00F5h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F5h
+                                        ; fCOCLKDIV = 32768 x (245+1) = 8.061 MHz ; measured : 8.042MHz
+
+;            MOV     #00F8h,&CSCTL2      ; don't work with cp2102 (by low value)
+;            MOV     #00FAh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=FAh
+
+; =====================================
+            MOV     #128,X
+
+    .ELSEIF FREQUENCY = 12
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B9h,&CSCTL1      ; Set 12MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B8h,&CSCTL1      ; Set 12MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #016Ch,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
+                                        ; fCOCLKDIV = 32768 x 364+1) = 12.960 MHz ; measured : 11.xxxMHz
+;            MOV     #016Dh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
+                                        ; fCOCLKDIV = 32768 x 365+1) = 11.993 MHz ; measured : 11.xxxMHz
+            MOV     #016Eh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+                                        ; fCOCLKDIV = 32768 x 366+1) = 12.025 MHz ; measured : 12.xxxMHz
+;            MOV     #016Fh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+                                        ; fCOCLKDIV = 32768 x 367+1) = 12.058 MHz ; measured : 12.xxxMHz
+; =====================================
+            MOV     #192,X
+
+    .ELSEIF FREQUENCY = 16
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00BBh,&CSCTL1      ; Set 16MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00BAh,&CSCTL1      ; Set 16MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #01E6h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
+                                        ; fCOCLKDIV = 32768 x 486+1) = 15.958 MHz ; measured : 15.92MHz
+;            MOV     #01E7h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
+                                        ; fCOCLKDIV = 32768 x 487+1) = 15.991 MHz ; measured : 15.95MHz
+            MOV     #01E8h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+                                        ; fCOCLKDIV = 32768 x 488+1) = 16.023 MHz ; measured : 15.99MHz
+;            MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+                                        ; fCOCLKDIV = 32768 x 489+1) = 16.056 MHz ; measured : 16.02MHz
+; =====================================
+            MOV     #256,X
+
+    .ELSEIF FREQUENCY = 20
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00BDh,&CSCTL1      ; Set 20MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00BCh,&CSCTL1      ; Set 20MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #0260h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=260h
+                                        ; fCOCLKDIV = 32768 x 608+1) = 19.956 MHz ; measured : 19.xxxMHz
+;            MOV     #0261h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=261h
+                                        ; fCOCLKDIV = 32768 x 609+1) = 19.988 MHz ; measured : 19.xxxMHz
+            MOV     #0262h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=262h
+                                        ; fCOCLKDIV = 32768 x 610+1) = 20.021 MHz ; measured : 20.xxxMHz
+;            MOV     #0263h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=263h
+                                        ; fCOCLKDIV = 32768 x 611+1) = 20.054 MHz ; measured : 20.xxxMHz
+; =====================================
+            MOV     #320,X
+
+    .ELSEIF FREQUENCY = 24
+
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00BFh,&CSCTL1      ; Set 24MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00BEh,&CSCTL1      ; Set 24MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #02DAh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=2DAh
+                                        ; fCOCLKDIV = 32768 x 730+1) = 23.953 MHz ; measured : 23.xxxMHz
+;            MOV     #02DBh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=2DBh
+                                        ; fCOCLKDIV = 32768 x 731+1) = 23.986 MHz ; measured : 23.xxxMHz
+            MOV     #02DCh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=2DCh
+                                        ; fCOCLKDIV = 32768 x 732+1) = 24.019 MHz ; measured : 23.xxxMHz
+;            MOV     #02DDh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=2DDh
+                                        ; fCOCLKDIV = 32768 x 733+1) = 24.051 MHz ; measured : 24.xxxMHz
+; =====================================
+            MOV     #384,X
+
+    .ELSEIF
+    .error "bad frequency setting, only 0.5,1,2,4,8,12,16,20,24 MHz"
+    .ENDIF
+
+    .IFDEF LF_XTAL
+;           MOV     #0000h,&CSCTL3      ; FLL select XT1, FLLREFDIV=0 (default value)
+            MOV     #0000h,&CSCTL4      ; ACLOCK select XT1, MCLK & SMCLK select DCOCLKDIV
+    .ELSE
+            BIS     #0010h,&CSCTL3      ; FLL select REFCLOCK
+;           MOV     #0100h,&CSCTL4      ; ACLOCK select REFO, MCLK & SMCLK select DCOCLKDIV (default value)
+    .ENDIF
+
+            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV preserving a pending request for DEEP_RST
+            CMP #2,&SAVE_SYSRSTIV       ; POWER ON ?
+            JZ      ClockWaitX          ; yes
+            .word   0749h               ; no  RRUM #1,X --> wait anyway 250 ms because FLL lock time = 200 ms
+ClockWaitX  MOV     #5209,Y             ; wait 0.5s before starting after POR
+
+ClockWaitY  SUB     #1,Y                ;1
+            JNZ     ClockWaitY          ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X                ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX          ; time to stabilize power source ( 500ms )
+
+;WAITFLL     BIT #300h,&CSCTL7         ; wait FLL lock
+;            JNZ WAITFLL
diff --git a/MSP_EXP430FR2355_16MHz_115200bds.txt b/MSP_EXP430FR2355_16MHz_115200bds.txt
new file mode 100644 (file)
index 0000000..b2a2164
--- /dev/null
@@ -0,0 +1,478 @@
+@1800
+10 00 5A 84 80 3E 80 04 05 00 18 00 68 9D 5C 92
+20 84 32 84 00 00 00 00
+@8000
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 80
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 80 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 80 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 80 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 80 02 3E 52 00
+0E 12 3E 4F 30 4D 96 80 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 80 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 80 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 80 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 81 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 80 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 81 02 31 2D 00
+1E 83 30 4D D8 80 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 81
+02 30 3D 00 1E 83 0E 7E 30 4D 60 81 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 81 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 80 01 3E 3E 8F F9 3F 6C 81 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 81 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 81
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 81 03 43 49 42 85 12 3C 21 24 82 02 42
+4C 00 85 12 20 00 2E 82 04 42 41 53 45 00 85 12
+E2 21 C8 80 05 53 54 41 54 45 85 12 BE 21 86 81
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 81 06 55
+4D 2F 4D 4F 44 00 30 12 62 80 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 80 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 82 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 82
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 82 02 23 53 00 87 12 B4 82 EC 82 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 82 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE 81
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 82 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 82 02 55 2E 00 87 12 54 82 34 80 00 00 E6 82
+00 83 5C 85 24 85 2A 80 46 81 02 44 2E 00 87 12
+54 82 78 80 8A 80 4C 81 E6 82 9A 80 24 83 00 83
+5C 85 24 85 2A 80 84 80 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 83 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 80 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC 82 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A 83 05 28 4B 45 59 29 18 42 8C 05 2F 83
+8F 4E 00 00 B0 12 20 84 92 B3 9C 05 FD 27 1E 42
+8C 05 B0 12 32 84 30 4D 10 82 03 4B 45 59 30 40
+BA 83 8E 83 06 41 43 43 45 50 54 00 3C 40 98 84
+3B 40 62 84 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C 84 92 B3 9C 05 05 24 18 42
+8C 05 38 90 0A 00 04 20 21 53 39 40 46 84 4D 15
+A2 B3 9C 05 FD 27 B2 40 11 00 8E 05 D2 C3 03 02
+30 41 B2 40 13 00 8E 05 D2 D3 03 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 84 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+8C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 9C 05 FD 27 82 48 8E 05 30 4D 8E 84 2D 83
+92 B3 9C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 83
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A 83 04 45 4D 49 54 00 30 40
+B8 84 E8 84 04 45 43 48 4F 00 B2 40 82 48 86 84
+82 43 E4 21 30 4D 68 83 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 84 92 43 E4 21 30 4D 1E 83 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E 85 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 85 EF 3F 48 85 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 83 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 85 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 85 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 84 04 28 43 52 29 00 87 12 A2 85
+02 0D 0A 00 5C 85 2A 80 9E 83 02 43 52 00 30 40
+8C 85 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A 85 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 85 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 85 82 53 22 00 87 12
+34 80 A2 85 46 88 D2 85 34 80 22 00 22 86 C0 85
+F2 85 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 85 82 2E 22 00 87 12
+DE 85 34 80 5C 85 46 88 2A 80 00 00 04 57 4F 52
+44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
+09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
+00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
+F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
+20 00 ED 3F 1A 82 C8 21 82 4A CA 21 1E 42 CC 21
+08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
+2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 21 3E 4B
+0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
+1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
+F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
+19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
+8F 4C 00 00 35 40 08 80 34 40 14 80 30 4D 94 81
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 21 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 21
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A 87 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C 87 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A 82 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 84 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 81 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E
+00 00 3E 4F 30 4D 44 88 87 4C 49 54 45 52 41 4C
+82 93 BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40
+34 80 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 85 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 84
+32 82 22 86 A6 88 3D 40 AE 88 EA 22 50 3E B0 88
+0A 4E 3E 4F 3D 40 C6 88 40 27 3D 40 A0 88 1A E2
+BE 21 C1 27 BB 23 C8 88 3E 4F 3D 40 A0 88 C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 8B D5 3F 34 88 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A 80
+9C 88 04 89 B2 41 CA 21 B2 41 C8 21 B2 41 C6 21
+3D 41 30 4D 9C 81 04 51 55 49 54 00 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 82 43 08 18 B0 12
+2A 80 A2 85 05 0D 0A 6F 6B 20 5C 85 BE 84 24 85
+9C 88 DE 80 70 81 A2 85 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 89 34 80 30 FF 80 83 AC 81
+A2 85 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 89
+4A 82 F2 80 B8 81 32 89 A2 85 05 0D 0A 20 20 20
+B4 81 3A 89 E4 83 05 41 42 4F 52 54 3F 40 80 20
+C5 3F B2 40 A6 90 02 91 B2 40 54 84 48 84 B2 40
+3C 21 2A 82 B2 40 B8 84 F0 84 B2 40 8C 85 A0 85
+B2 40 BA 83 E0 83 82 43 1E 99 82 43 2A 99 82 43
+36 99 82 43 66 99 82 43 72 99 82 43 7E 99 B2 40
+0A 00 E2 21 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 84 B0 12 9E 89 A2 B3 9C 05
+FD 27 B2 40 11 00 8E 05 D2 C3 03 02 92 C3 9C 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 9C 05 F3 23 87 12 A2 85 04 1B 5B 37 6D 00
+5C 85 34 80 E4 21 F2 80 54 80 B8 81 3E 8A A2 85
+05 6C 69 6E 65 3A 5C 85 40 81 36 83 FA 84 5C 85
+A2 85 04 1B 5B 30 6D 00 5C 85 1C 90 C0 85 8C 89
+86 89 86 41 42 4F 52 54 22 00 87 12 DE 85 34 80
+D6 89 46 88 2A 80 7A 86 01 27 87 12 32 82 22 86
+80 86 B8 81 78 8A 2A 80 D0 88 50 82 81 5C 92 42
+C6 21 CA 21 30 4D 00 00 81 5B 82 43 BE 21 30 4D
+7C 8A 01 5D B2 43 BE 21 30 4D 88 8A 83 5B 27 5D
+87 12 6A 8A 34 80 34 80 46 88 46 88 2A 80 BE 4F
+02 00 3E 4F 30 4D 86 85 82 49 53 00 87 12 4A 82
+F2 80 B8 81 D0 8A A0 8A 34 80 AE 8A 46 88 2A 80
+6A 8A AE 8A 2A 80 B8 8A 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 21 FA D0 80 00 00 00 30 4D DA 85
+87 52 45 43 55 52 53 45 19 42 CC 21 99 42 BA 21
+00 00 A2 53 CC 21 30 4D 16 89 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 82 22 86 80 86 54 80 B8 81
+78 8A 70 81 B8 81 34 8B 34 80 34 80 46 88 46 88
+34 80 46 88 46 88 2A 80 82 9F BC 21 25 25 87 12
+A2 85 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 89 9C 8A 81 3B 82 93 BE 21 90 27 87 12
+34 80 2A 80 46 88 38 8B 8A 8A 2A 80 BA 40 87 12
+FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21 30 4D
+56 8B 01 3A 30 12 6C 8B 87 12 FE 85 32 82 22 86
+92 8B 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 21
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49 B8 21
+82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41 30 41
+1C 86 08 56 41 52 49 41 42 4C 45 00 B0 12 88 8B
+BA 40 86 12 FC FF DC 3C 88 88 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 8B BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA 8B 06 43 52 45 41 54 45 00
+B0 12 88 8B BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 88 05 44 4F 45 53 3E 1A 42 BA 21 BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 8C 05 44 45 46
+45 52 B0 12 88 8B BA 40 30 40 FC FF BA 40 28 8C
+FE FF A6 3C F8 8B 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 8B 86 5B 54 48 45 4E 5D 00
+30 4D 78 8C 86 5B 45 4C 53 45 5D 00 87 12 34 80
+01 00 32 82 22 86 8E 88 44 80 B8 81 F6 8C 8A 80
+8A 80 A2 85 04 5B 49 46 5D 00 4E 8C C2 81 B8 8C
+7E 85 36 81 B4 81 EE 8C 8A 80 8A 80 A2 85 06 5B
+45 4C 53 45 5D 00 4E 8C C2 81 DC 8C 7E 85 40 81
+44 80 B8 81 EE 8C 36 81 B4 81 EE 8C A2 85 06 5B
+54 48 45 4E 5D 00 4E 8C C2 81 EE 8C 40 81 54 80
+C2 81 92 8C 2A 80 7E 85 A2 85 05 0D 0A 6B 6F 20
+5C 85 BE 84 D6 84 B4 81 92 8C 84 8C 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C 8D 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 82 22 86 80 86
+6C 80 64 81 2A 80 1C 8D 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 82 22 86 80 86 6C 80 2A 80 50 8D
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 88
+06 4D 41 52 4B 45 52 00 B0 12 88 8B BA 40 84 12
+FC FF BA 40 4E 8D FE FF 9A 42 CE 21 00 00 28 83
+8A 48 02 00 A2 52 CC 21 18 42 B6 21 19 42 B8 21
+A8 49 FE FF 89 48 00 00 30 4D D8 8A 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 21 A2 52 CC 21 BE 40
+B8 81 00 00 2E 53 30 4D 2C 8C 84 45 4C 53 45 00
+A2 52 CC 21 1A 42 CC 21 BA 40 B4 81 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 85 84 54 48 45 4E 00
+9E 42 CC 21 00 00 3E 4F 30 4D 46 8C 85 42 45 47
+49 4E 30 40 80 83 DA 8D 85 55 4E 54 49 4C 39 40
+B8 81 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 8A 85 41 47 41 49 4E 39 40
+B4 81 EF 3F C2 8B 85 57 48 49 4C 45 87 12 A0 8D
+78 80 2A 80 F0 8A 86 52 45 50 45 41 54 00 87 12
+1E 8E E0 8D 2A 80 BA 8D 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 21 1E 42 CC 21 BE 40 C8 81 FE FF
+A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D 60 8D
+84 4C 4F 4F 50 00 39 40 EA 81 A2 52 CC 21 1A 42
+CC 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83
+00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA 83 85 2B 4C 4F 4F 50 39 40 D8 81 E5 3F
+70 8E 85 4C 45 41 56 45 1A 42 CC 21 BA 40 FA 81
+00 00 BA 40 B4 81 02 00 B2 50 06 00 CC 21 A2 53
+00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D B2 8E
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 8E 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 8C 34 80 10 00 34 80 00 00
+C8 81 34 80 00 00 46 88 EA 81 32 8F 80 83 34 80
+CE 21 44 80 F2 80 46 88 FA 80 18 8C 34 80 D0 21
+FA 80 2A 80 68 8A 05 46 4F 52 54 48 84 12 4C 8F
+B2 99 78 92 22 9B 0A 9D BE 9B A4 8E EC 9A 1A 9A
+38 9A 50 9D FA 9A 42 9A 00 00 AE 9B 92 8A 2E 9A
+00 00 18 8E 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 21 38 40 D2 21 B6 3F 0A 8B 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 21 38 40 D0 21
+A3 3F 0A 86 04 4F 4E 4C 59 00 82 43 D2 21 30 4D
+48 8E 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 21 E0 21 30 4D 5C 8F BA 8F CE 8F DE 8F 3A 4E
+82 4A CE 21 2E 4E 82 4E CC 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A 8F 09 50 57 52 5F 53 54 41 54 45 84 12 D6 8F
+5C 92 68 9D 36 8E 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 90 92 42 0C 18 22 90 EF 3F 12 90
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 21 20 90
+92 42 CC 21 22 90 30 4D 26 90 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 21 0E 18 92 42 CC 21 0C 18
+EC 3F 18 8F 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CE FF FA 23 B0 12 92 89 B2 40
+68 9D 0C 18 B2 40 5C 92 0E 18 CA 3F 9C 8D 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 85 06 0D
+1B 5B 37 6D 23 00 5C 85 6A 83 A2 85 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C 85 34 80
+30 FF 80 83 28 81 36 83 A2 85 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 89 74 90 04 57 41 52 4D 00
+30 40 A6 90 EC 8D 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A CC 01 B2 43 06 02 92 D3 04 02
+B2 40 FE FF 02 02 D2 D3 03 02 F2 43 22 02 F2 D3
+26 02 F2 D0 BF 00 47 02 F2 40 40 00 45 02 F2 40
+BF 00 43 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
+B2 40 BA 00 82 01 B2 40 E8 01 84 01 39 40 00 01
+82 43 88 01 92 D2 5E 01 08 18 A2 93 08 18 01 24
+49 07 38 40 59 14 18 83 FE 23 19 83 FA 23 3A 40
+12 91 39 40 CE FF 89 4A 00 00 29 53 FC 23 92 42
+02 18 E2 FF B2 40 18 00 0A 18 39 40 00 20 89 43
+00 00 29 53 39 90 FF 2F FA 2B 31 40 E0 20 3F 40
+80 20 37 40 00 80 36 40 BC 80 35 40 08 80 34 40
+14 80 B2 40 0A 00 E2 21 B2 43 B4 21 92 C3 30 01
+18 42 08 18 F2 B2 21 02 04 20 38 E3 18 53 82 48
+08 18 B2 40 81 00 80 05 B2 42 86 05 B2 40 A1 F7
+88 05 F2 D0 0C 00 2B 02 92 C3 80 05 92 D3 9A 05
+3D 40 2A 92 18 42 08 18 38 90 0A 00 2E 27 38 90
+16 00 2B 2F 28 93 04 23 F9 26 00 91 84 8F 09 41
+53 53 45 4D 42 4C 45 52 84 12 4C 8F 82 98 2E 99
+36 98 82 99 FC 97 B6 98 00 95 00 00 F2 97 A2 98
+54 98 92 98 10 96 00 00 00 00 94 99 80 8F 9E 90
+85 48 49 32 4C 4F 87 12 80 83 B4 8D 46 88 8A 8A
+8A 8F 38 92 2A 80 06 91 04 43 4F 44 45 00 B0 12
+88 8B A2 82 CC 21 87 12 7A 8B B4 81 70 92 00 00
+07 45 4E 44 43 4F 44 45 87 12 A4 8F 38 8B 2A 80
+2E 92 03 41 53 4D 92 42 E0 21 C0 21 B2 40 3C 92
+E0 21 E5 3F 90 92 06 45 4E 44 41 53 4D 00 92 42
+C0 21 E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+CC 21 BA 40 87 12 00 00 A2 53 CC 21 B2 43 BE 21
+30 40 A4 8F 00 00 05 4C 4F 32 48 49 1A 42 CC 21
+BA 40 B0 12 00 00 BA 40 2A 80 02 00 A2 52 CC 21
+ED 3F 38 40 C6 21 39 48 2A 48 09 5A 1A 52 CA 21
+09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
+CA 21 30 4D B0 12 2A 80 22 86 80 86 C2 81 38 93
+3A 87 B8 81 78 8A 5A 93 3A 93 29 4E 39 90 86 12
+02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
+30 41 39 90 84 12 01 20 2E 52 30 41 19 42 CC 21
+A2 53 CC 21 89 4E 00 00 3E 40 29 00 12 12 CA 21
+92 53 CA 21 B0 12 2A 80 22 86 3A 87 B8 81 8C 93
+82 93 21 53 3E 90 10 00 BB 2D 30 41 8E 93 B2 41
+CA 21 22 D3 30 41 87 12 32 82 02 93 9E 93 82 43
+C4 21 92 42 CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F
+FA 90 23 00 00 00 34 20 92 53 CA 21 B0 12 24 93
+0E 93 04 20 B2 40 00 03 C4 21 27 3C 1E 93 04 20
+B2 40 10 03 C4 21 21 3C 2E 93 04 20 B2 40 20 03
+C4 21 1B 3C 2E 92 04 20 B2 40 20 02 C4 21 15 3C
+3E 92 04 20 B2 40 30 02 C4 21 0F 3C 3E 93 04 20
+B2 40 30 03 C4 21 09 3C B2 40 30 00 C4 21 19 42
+CC 21 A2 53 CC 21 89 4E 00 00 3E 4F 3D 41 30 4D
+FA 90 26 00 00 00 08 20 B2 40 10 02 C4 21 92 53
+CA 21 30 12 0E 94 76 3F FA 90 40 00 00 00 1A 20
+B2 40 20 00 C4 21 92 53 CA 21 B0 12 6C 93 0E 20
+B2 50 10 00 C4 21 3E 40 2B 00 B0 12 6C 93 32 24
+92 92 C6 21 CA 21 02 24 92 53 CA 21 8E 10 82 5E
+C4 21 D3 3F B0 12 6C 93 F9 23 B2 50 10 00 C4 21
+3E 40 28 00 B0 12 24 93 30 12 5E 94 67 3F 87 12
+32 82 02 93 96 94 FE 90 26 00 00 00 3E 40 20 00
+04 20 B2 50 82 00 C4 21 C2 3F B0 12 6C 93 DF 23
+B2 50 80 00 C4 21 3E 40 28 00 B0 12 24 93 B0 12
+5C 93 D5 23 3D 40 78 8A 30 4D 40 84 04 52 45 54
+49 00 87 12 34 80 00 13 46 88 2A 80 34 80 2C 00
+96 93 8E 94 E6 94 2E 4E 1E D2 C4 21 19 42 C2 21
+92 3F E6 92 03 4D 4F 56 84 12 DC 94 00 40 F4 94
+05 4D 4F 56 2E 42 84 12 DC 94 40 40 00 00 03 41
+44 44 84 12 DC 94 00 50 0E 95 05 41 44 44 2E 42
+84 12 DC 94 40 50 1A 95 04 41 44 44 43 00 84 12
+DC 94 00 60 28 95 06 41 44 44 43 2E 42 00 84 12
+DC 94 40 60 CC 94 04 53 55 42 43 00 84 12 DC 94
+00 70 46 95 06 53 55 42 43 2E 42 00 84 12 DC 94
+40 70 54 95 03 53 55 42 84 12 DC 94 00 80 64 95
+05 53 55 42 2E 42 84 12 DC 94 40 80 C8 92 03 43
+4D 50 84 12 DC 94 00 90 7E 95 05 43 4D 50 2E 42
+84 12 DC 94 40 90 B6 92 04 44 41 44 44 00 84 12
+DC 94 00 A0 98 95 06 44 41 44 44 2E 42 00 84 12
+DC 94 40 A0 8A 95 03 42 49 54 84 12 DC 94 00 B0
+B6 95 05 42 49 54 2E 42 84 12 DC 94 40 B0 C2 95
+03 42 49 43 84 12 DC 94 00 C0 D0 95 05 42 49 43
+2E 42 84 12 DC 94 40 C0 DC 95 03 42 49 53 84 12
+DC 94 00 D0 EA 95 05 42 49 53 2E 42 84 12 DC 94
+40 D0 00 00 03 58 4F 52 84 12 DC 94 00 E0 04 96
+05 58 4F 52 2E 42 84 12 DC 94 40 E0 36 95 03 41
+4E 44 84 12 DC 94 00 F0 1E 96 05 41 4E 44 2E 42
+84 12 DC 94 40 F0 32 82 96 93 3C 96 1A 42 C4 21
+B2 F0 70 00 C4 21 8A 10 3A F0 0F 00 82 DA C4 21
+4A 3F 70 95 03 52 52 43 84 12 36 96 00 10 54 96
+05 52 52 43 2E 42 84 12 36 96 40 10 60 96 04 53
+57 50 42 00 84 12 36 96 80 10 6E 96 03 52 52 41
+84 12 36 96 00 11 7C 96 05 52 52 41 2E 42 84 12
+36 96 40 11 88 96 03 53 58 54 84 12 36 96 80 11
+00 00 04 50 55 53 48 00 84 12 36 96 00 12 A2 96
+06 50 55 53 48 2E 42 00 84 12 36 96 40 12 F6 95
+04 43 41 4C 4C 00 84 12 36 96 80 12 34 80 2C 00
+96 93 8E 94 D6 96 59 42 C4 21 5A 42 C5 21 82 4A
+C4 21 BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
+0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
+87 12 6A 83 A2 85 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 E2 89 B0 96 05 50 55 53 48 4D 84 12
+CC 96 00 15 18 97 04 50 4F 50 4D 00 84 12 CC 96
+00 17 32 82 02 93 38 97 82 43 C4 21 92 42 CC 21
+C2 21 A2 53 CC 21 92 53 CA 21 3E 40 2C 00 B0 12
+2A 80 22 86 3A 87 B8 81 78 8A 8E 94 5E 97 0A 4E
+3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 96 96
+04 52 52 43 4D 00 84 12 32 97 50 00 70 97 04 52
+52 41 4D 00 84 12 32 97 50 01 7E 97 04 52 4C 41
+4D 00 84 12 32 97 50 02 8C 97 04 52 52 55 4D 00
+84 12 32 97 50 03 85 12 00 3C 9A 97 03 53 3E 3D
+85 12 00 38 AC 97 02 53 3C 00 85 12 00 34 26 97
+03 30 3E 3D 85 12 00 30 C0 97 02 30 3C 00 85 12
+00 30 00 00 02 55 3C 00 85 12 00 2C D4 97 03 55
+3E 3D 85 12 00 28 CA 97 03 30 3C 3E 85 12 00 24
+E8 97 02 30 3D 00 85 12 00 20 4C 84 02 49 46 00
+1A 42 CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D
+DE 97 04 54 48 45 4E 00 1A 42 CC 21 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
+00 00 30 4D A6 95 04 45 4C 53 45 00 1A 42 CC 21
+BA 40 00 3C 00 00 A2 53 CC 21 2F 83 8F 4A 00 00
+E3 3F 12 98 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 CC 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 21 30 4D
+2A 96 05 41 47 41 49 4E 87 12 A6 97 5A 98 2A 80
+00 00 05 57 48 49 4C 45 87 12 00 98 78 80 2A 80
+B6 97 06 52 45 50 45 41 54 00 87 12 A6 97 5A 98
+18 98 2A 80 00 00 03 4A 4D 50 87 12 6A 8A A6 97
+5A 98 2A 80 3E B0 00 10 03 20 3E E0 00 04 30 4D
+3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
+00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 C4 98
+6A 8A 78 80 5A 98 2A 80 FA 98 3D 41 08 4E 3E 4F
+2A 48 0A 93 04 20 98 42 CC 21 00 00 30 4D 88 43
+00 00 A4 3F C0 96 03 42 57 31 84 12 F8 98 00 00
+16 99 03 42 57 32 84 12 F8 98 00 00 22 99 03 42
+57 33 84 12 F8 98 00 00 3A 99 3D 41 1A 42 CC 21
+28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 21 8E 4A
+00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
+57 31 84 12 38 99 00 00 5E 99 03 46 57 32 84 12
+38 99 00 00 6A 99 03 46 57 33 84 12 38 99 00 00
+76 99 04 47 4F 54 4F 00 87 12 A6 97 6A 8A 3C 88
+2A 80 E6 98 05 3F 47 4F 54 4F 87 12 C4 98 6A 8A
+3C 88 2A 80 38 8D 07 7B 54 4F 4F 4C 53 7D 30 4D
+A2 92 03 41 4E 44 3E FF 30 4D B4 8F 02 2E 53 00
+8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 20
+2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12
+34 80 3C 00 EE 84 6A 83 34 80 08 00 EE 84 34 80
+3E 00 EE 84 24 85 8A 80 8A 80 96 81 C2 81 06 9A
+62 80 62 80 2A 80 C8 81 00 82 F2 80 36 83 34 80
+02 00 D8 81 08 9A 2A 80 BC 99 03 2E 52 53 8F 4E
+FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F E0 86 01 3F
+2E 4E 30 40 36 83 40 90 03 50 41 44 85 12 E4 20
+FA 90 05 57 4F 52 44 53 87 12 9E 85 34 80 03 00
+3A 85 34 80 D0 21 F2 80 3C 9A 34 80 10 00 44 80
+20 81 E6 8E 34 80 00 00 44 80 34 80 10 00 44 80
+20 81 34 80 00 00 C8 81 44 80 00 82 3C 9A 20 81
+F2 80 AC 81 B8 81 96 9A 62 80 62 80 00 82 44 80
+3C 9A 20 81 F2 80 34 80 02 00 D8 81 78 9A 54 80
+B8 81 D8 9A 44 80 34 80 02 00 28 81 F2 80 9A 80
+3C 9A 20 81 FA 80 44 80 8E 88 34 80 7F 00 B6 99
+5C 85 08 81 34 80 0F 00 B6 99 34 80 10 00 78 80
+28 81 3A 85 B4 81 64 9A 62 80 2A 80 E0 8E 03 4D
+41 58 2E 9F 07 38 2F 53 30 4D DE 9A 03 4D 49 4E
+2E 9F F9 3B 3E 4F 30 4D F8 8D 03 55 2E 52 87 12
+B0 80 54 82 34 80 00 00 B4 82 E6 82 00 83 BC 80
+8A 80 28 81 34 80 00 00 E2 9A 3A 85 5C 85 2A 80
+C2 8F 04 44 55 4D 50 00 0D 12 12 12 E2 21 B2 40
+10 00 E2 21 2E 5F B0 12 2A 80 78 80 8A 80 8A 80
+36 83 34 80 01 00 28 81 36 83 34 80 FE FF B6 99
+C8 81 9E 85 00 82 34 80 07 00 FE 9A 24 85 00 82
+34 80 10 00 20 81 00 82 C8 81 00 82 08 81 34 80
+03 00 FE 9A EA 81 6A 9B 24 85 24 85 00 82 34 80
+10 00 20 81 00 82 C8 81 00 82 08 81 34 80 7E 00
+F0 9A 32 82 E2 9A EE 84 EA 81 88 9B 34 80 10 00
+D8 81 52 9B BC 80 3E 82 FA 80 2A 80 A6 99 0A 7B
+46 49 58 50 4F 49 4E 54 7D 00 30 4D 60 92 05 48
+4F 4C 44 53 39 4F 09 5E 18 42 B2 21 19 83 1E 83
+04 28 18 83 E8 49 00 00 F9 3F 82 48 B2 21 3E 4F
+30 4D 56 8F 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D
+E4 9B 02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F
+30 4D F2 9B 02 46 2F 00 1C 4F 02 00 0C EE 0B 43
+18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3
+39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00
+3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40
+20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67
+04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B
+09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44
+34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 30 4D 04 9C 03 46 23 53 29 4F 8F 4E 00 00
+2F 83 8F 49 00 00 2B 42 B2 90 0A 00 E2 21 01 20
+1B 53 0C 43 A2 4F C0 04 92 42 E2 21 C8 04 9F 42
+E4 04 00 00 1E 42 E6 04 3E 90 0A 00 02 28 3E 50
+07 00 3E 50 30 00 CC 4E 90 21 1C 53 0C 9B EA 2B
+0E 4B 8F 43 00 00 39 40 90 21 75 3F 86 9C 02 46
+2A 00 92 4F 04 00 D4 04 92 4F 02 00 D6 04 A2 4F
+E0 04 82 4E E2 04 2F 52 00 3C 00 3C 9F 42 E6 04
+00 00 1E 42 E8 04 30 4D DE 9C 02 46 2E 00 87 12
+54 82 44 80 B0 80 4C 81 8A 9C 34 80 2C 00 16 83
+E6 82 BC 80 24 83 00 83 5C 85 24 85 2A 80 5A 90
+03 53 3E 46 2F 83 8F 43 00 00 30 4D 30 9D 02 32
+40 00 2F 83 9F 4E 02 00 00 00 2E 4E 30 4D 3E 9D
+09 32 43 4F 4E 53 54 41 4E 54 87 12 00 8C 46 88
+46 88 18 8C 42 9D 2A 80
+@FFFE
+12 91
+q
diff --git a/MSP_EXP430FR2355_16MHz_5Mbds.txt b/MSP_EXP430FR2355_16MHz_5Mbds.txt
new file mode 100644 (file)
index 0000000..0a56db0
--- /dev/null
@@ -0,0 +1,477 @@
+@1800
+10 00 54 84 80 3E 50 C3 05 00 18 00 5E 9D 52 92
+20 84 2C 84 00 00 00 00
+@8000
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 80
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 80 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 80 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 80 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 80 02 3E 52 00
+0E 12 3E 4F 30 4D 96 80 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 80 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 80 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 80 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 81 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 80 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 81 02 31 2D 00
+1E 83 30 4D D8 80 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 81
+02 30 3D 00 1E 83 0E 7E 30 4D 60 81 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 81 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 80 01 3E 3E 8F F9 3F 6C 81 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 81 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 81
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 81 03 43 49 42 85 12 3C 21 24 82 02 42
+4C 00 85 12 20 00 2E 82 04 42 41 53 45 00 85 12
+E2 21 C8 80 05 53 54 41 54 45 85 12 BE 21 86 81
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 81 06 55
+4D 2F 4D 4F 44 00 30 12 62 80 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 80 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 82 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 82
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 82 02 23 53 00 87 12 B4 82 EC 82 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 82 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE 81
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 82 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 82 02 55 2E 00 87 12 54 82 34 80 00 00 E6 82
+00 83 50 85 18 85 2A 80 46 81 02 44 2E 00 87 12
+54 82 78 80 8A 80 4C 81 E6 82 9A 80 24 83 00 83
+50 85 18 85 2A 80 84 80 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 83 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 80 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC 82 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A 83 05 28 4B 45 59 29 18 42 8C 05 2F 83
+8F 4E 00 00 B0 12 20 84 92 B3 9C 05 FD 27 1E 42
+8C 05 B0 12 2C 84 30 4D 10 82 03 4B 45 59 30 40
+BA 83 8E 83 06 41 43 43 45 50 54 00 3C 40 8C 84
+3B 40 5C 84 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 84 92 B3 9C 05 05 24 18 42
+8C 05 38 90 0A 00 04 20 21 53 39 40 40 84 4D 15
+B2 40 11 00 8E 05 D2 C3 03 02 30 41 B2 40 13 00
+8E 05 D2 D3 03 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E 84 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 8C 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 8E 05 30 4D
+82 84 2D 83 92 B3 9C 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 21 02 24 92 53 E4 21 3E 8F 3D 41
+30 4D B4 83 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 21 00 00 AF 4F 02 00 91 3F 82 43 CA 21 82 4E
+C6 21 B2 4F C8 21 3E 4F 30 4D 4A 83 04 45 4D 49
+54 00 30 40 AC 84 DC 84 04 45 43 48 4F 00 B2 40
+82 48 7A 84 82 43 E4 21 30 4D 68 83 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A 84 92 43 E4 21 30 4D
+1E 83 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 85 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A 85 EF 3F 3C 85 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 83 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 85 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 85 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 84 04 28 43 52 29 00
+87 12 96 85 02 0D 0A 00 50 85 2A 80 9E 83 02 43
+52 00 30 40 80 85 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E 85 07 43 41 50
+53 5F 4F 4E B2 43 B4 21 30 4D AC 85 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 21 30 4D 26 85 82 53
+22 00 87 12 34 80 96 85 3A 88 C6 85 34 80 22 00
+16 86 B4 85 E6 85 3D 41 6E 4E 1E 83 82 5E CC 21
+3E 4F 92 B3 CC 21 A2 63 CC 21 30 4D FC 84 82 2E
+22 00 87 12 D2 85 34 80 50 85 3A 88 2A 80 00 00
+04 57 4F 52 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 21 F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 21 82 4A CA 21
+1E 42 CC 21 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 80 34 40 14 80
+30 4D 94 81 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 E2 21 32 C0 00 02 2D 15 09 43 08 43 3D 40
+7E 87 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F 80 87
+0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90
+2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00
+23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 6A 82 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D E8 84 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 81 01 2C 1A 42 CC 21 A2 53
+CC 21 8A 4E 00 00 3E 4F 30 4D 38 88 87 4C 49 54
+45 52 41 4C 82 93 BE 21 0F 24 1A 42 CC 21 A2 52
+CC 21 BA 40 34 80 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D BC 85 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+87 12 CA 84 32 82 16 86 9A 88 3D 40 A2 88 EA 22
+50 3E A4 88 0A 4E 3E 4F 3D 40 BA 88 40 27 3D 40
+94 88 1A E2 BE 21 C1 27 BB 23 BC 88 3E 4F 3D 40
+94 88 C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 46 8B D5 3F 28 88 08 45 56 41 4C 55
+41 54 45 00 39 40 C6 21 3C 49 3B 49 3A 49 3D 15
+B0 12 2A 80 90 88 F8 88 B2 41 CA 21 B2 41 C8 21
+B2 41 C6 21 3D 41 30 4D 9C 81 04 51 55 49 54 00
+31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21 82 43
+08 18 B0 12 2A 80 96 85 05 0D 0A 6F 6B 20 50 85
+B2 84 18 85 90 88 DE 80 70 81 96 85 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 CA 89 34 80 30 FF
+80 83 AC 81 96 85 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 CA 89 4A 82 F2 80 B8 81 26 89 96 85 05 0D
+0A 20 20 20 B4 81 2E 89 E4 83 05 41 42 4F 52 54
+3F 40 80 20 C5 3F B2 40 9A 90 F6 90 B2 40 4E 84
+42 84 B2 40 3C 21 2A 82 B2 40 AC 84 E4 84 B2 40
+80 85 94 85 B2 40 BA 83 E0 83 82 43 14 99 82 43
+20 99 82 43 2C 99 82 43 5C 99 82 43 68 99 82 43
+74 99 B2 40 0A 00 E2 21 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 7A 84 B0 12 92 89
+A2 B3 9C 05 FD 27 B2 40 11 00 8E 05 D2 C3 03 02
+92 C3 9C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 9C 05 F3 23 87 12 96 85 04 1B
+5B 37 6D 00 50 85 34 80 E4 21 F2 80 54 80 B8 81
+32 8A 96 85 05 6C 69 6E 65 3A 50 85 40 81 36 83
+EE 84 50 85 96 85 04 1B 5B 30 6D 00 50 85 10 90
+B4 85 80 89 7A 89 86 41 42 4F 52 54 22 00 87 12
+D2 85 34 80 CA 89 3A 88 2A 80 6E 86 01 27 87 12
+32 82 16 86 74 86 B8 81 6C 8A 2A 80 C4 88 50 82
+81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B 82 43
+BE 21 30 4D 70 8A 01 5D B2 43 BE 21 30 4D 7C 8A
+83 5B 27 5D 87 12 5E 8A 34 80 34 80 3A 88 3A 88
+2A 80 BE 4F 02 00 3E 4F 30 4D 7A 85 82 49 53 00
+87 12 4A 82 F2 80 B8 81 C4 8A 94 8A 34 80 A2 8A
+3A 88 2A 80 5E 8A A2 8A 2A 80 AC 8A 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00 00 00
+30 4D CE 85 87 52 45 43 55 52 53 45 19 42 CC 21
+99 42 BA 21 00 00 A2 53 CC 21 30 4D 0A 89 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 82 16 86 74 86
+54 80 B8 81 6C 8A 70 81 B8 81 28 8B 34 80 34 80
+3A 88 3A 88 34 80 3A 88 3A 88 2A 80 82 9F BC 21
+25 25 87 12 96 85 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 D6 89 90 8A 81 3B 82 93 BE 21
+90 27 87 12 34 80 2A 80 3A 88 2C 8B 7E 8A 2A 80
+BA 40 87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F
+BC 21 30 4D 4A 8B 01 3A 30 12 60 8B 87 12 F2 85
+32 82 16 86 86 8B 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21
+82 49 B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F
+3D 41 30 41 10 86 08 56 41 52 49 41 42 4C 45 00
+B0 12 7C 8B BA 40 86 12 FC FF DC 3C 7C 88 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 7C 8B BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C CE 8B 06 43 52 45
+41 54 45 00 B0 12 7C 8B BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C DA 88 05 44 4F 45 53 3E 1A 42 BA 21
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 06 8C
+05 44 45 46 45 52 B0 12 7C 8B BA 40 30 40 FC FF
+BA 40 1C 8C FE FF A6 3C EC 8B 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 76 8B 86 5B 54 48
+45 4E 5D 00 30 4D 6C 8C 86 5B 45 4C 53 45 5D 00
+87 12 34 80 01 00 32 82 16 86 82 88 44 80 B8 81
+EA 8C 8A 80 8A 80 96 85 04 5B 49 46 5D 00 42 8C
+C2 81 AC 8C 72 85 36 81 B4 81 E2 8C 8A 80 8A 80
+96 85 06 5B 45 4C 53 45 5D 00 42 8C C2 81 D0 8C
+72 85 40 81 44 80 B8 81 E2 8C 36 81 B4 81 E2 8C
+96 85 06 5B 54 48 45 4E 5D 00 42 8C C2 81 E2 8C
+40 81 54 80 C2 81 86 8C 2A 80 72 85 96 85 05 0D
+0A 6B 6F 20 50 85 B2 84 CA 84 B4 81 86 8C 78 8C
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 00 8D
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 82
+16 86 74 86 6C 80 64 81 2A 80 10 8D 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 82 16 86 74 86 6C 80
+2A 80 44 8D 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D 4C 88 06 4D 41 52 4B 45 52 00 B0 12 7C 8B
+BA 40 84 12 FC FF BA 40 42 8D FE FF 9A 42 CE 21
+00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
+19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D CC 8A
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
+CC 21 BE 40 B8 81 00 00 2E 53 30 4D 20 8C 84 45
+4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 B4 81
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 4A 85 84 54
+48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 3A 8C
+85 42 45 47 49 4E 30 40 80 83 CE 8D 85 55 4E 54
+49 4C 39 40 B8 81 A2 52 CC 21 1A 42 CC 21 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D 46 8A 85 41 47 41
+49 4E 39 40 B4 81 EF 3F B6 8B 85 57 48 49 4C 45
+87 12 94 8D 78 80 2A 80 E4 8A 86 52 45 50 45 41
+54 00 87 12 12 8E D4 8D 2A 80 AE 8D 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
+C8 81 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
+30 4D 54 8D 84 4C 4F 4F 50 00 39 40 EA 81 A2 52
+CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
+00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA 83 85 2B 4C 4F 4F 50 39 40
+D8 81 E5 3F 64 8E 85 4C 45 41 56 45 1A 42 CC 21
+BA 40 FA 81 00 00 BA 40 B4 81 02 00 B2 50 06 00
+CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
+30 4D A6 8E 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D 1A 8E 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 F4 8B 34 80 10 00
+34 80 00 00 C8 81 34 80 00 00 3A 88 EA 81 26 8F
+80 83 34 80 CE 21 44 80 F2 80 3A 88 FA 80 0C 8C
+34 80 D0 21 FA 80 2A 80 5C 8A 05 46 4F 52 54 48
+84 12 40 8F A8 99 6E 92 18 9B 00 9D B4 9B 98 8E
+E2 9A 10 9A 2E 9A 46 9D F0 9A 38 9A 00 00 A4 9B
+86 8A 24 9A 00 00 0C 8E 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 21 38 40 D2 21 B6 3F FE 8A 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
+38 40 D0 21 A3 3F FE 85 04 4F 4E 4C 59 00 82 43
+D2 21 30 4D 3C 8E 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 21 E0 21 30 4D 50 8F AE 8F C2 8F
+D2 8F 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 8E 8F 09 50 57 52 5F 53 54 41 54 45
+84 12 CA 8F 52 92 5E 9D 2A 8E 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 14 90 92 42 0C 18 16 90
+EF 3F 06 90 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 21 14 90 92 42 CC 21 16 90 30 4D 1A 90 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
+CC 21 0C 18 EC 3F 0C 8F 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12
+86 89 B2 40 5E 9D 0C 18 B2 40 52 92 0E 18 CA 3F
+90 8D 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+96 85 06 0D 1B 5B 37 6D 23 00 50 85 6A 83 96 85
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+50 85 34 80 30 FF 80 83 28 81 36 83 96 85 0B 62
+79 74 65 73 20 66 72 65 65 20 DC 89 68 90 04 57
+41 52 4D 00 30 40 9A 90 E0 8D 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 43 06 02
+92 D3 04 02 B2 40 FE FF 02 02 D2 D3 03 02 F2 43
+22 02 F2 D3 26 02 F2 D0 BF 00 47 02 F2 40 40 00
+45 02 F2 40 BF 00 43 02 F2 40 A5 00 A1 01 F2 40
+10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40
+FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01 84 01
+39 40 00 01 82 43 88 01 92 D2 5E 01 08 18 A2 93
+08 18 01 24 49 07 38 40 59 14 18 83 FE 23 19 83
+FA 23 3A 40 06 91 39 40 CE FF 89 4A 00 00 29 53
+FC 23 92 42 02 18 E2 FF B2 40 18 00 0A 18 39 40
+00 20 89 43 00 00 29 53 39 90 FF 2F FA 2B 31 40
+E0 20 3F 40 80 20 37 40 00 80 36 40 BC 80 35 40
+08 80 34 40 14 80 B2 40 0A 00 E2 21 B2 43 B4 21
+92 C3 30 01 18 42 08 18 F2 B2 21 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 80 05 B2 40 03 00
+86 05 B2 40 00 21 88 05 F2 D0 0C 00 2B 02 92 C3
+80 05 92 D3 9A 05 3D 40 20 92 18 42 08 18 38 90
+0A 00 2D 27 38 90 16 00 2A 2F 28 93 03 23 F8 26
+F4 90 78 8F 09 41 53 53 45 4D 42 4C 45 52 84 12
+40 8F 78 98 24 99 2C 98 78 99 F2 97 AC 98 F6 94
+00 00 E8 97 98 98 4A 98 88 98 06 96 00 00 00 00
+8A 99 74 8F 92 90 85 48 49 32 4C 4F 87 12 80 83
+A8 8D 3A 88 7E 8A 7E 8F 2E 92 2A 80 FA 90 04 43
+4F 44 45 00 B0 12 7C 8B A2 82 CC 21 87 12 6E 8B
+B4 81 66 92 00 00 07 45 4E 44 43 4F 44 45 87 12
+98 8F 2C 8B 2A 80 24 92 03 41 53 4D 92 42 E0 21
+C0 21 B2 40 32 92 E0 21 E5 3F 86 92 06 45 4E 44
+41 53 4D 00 92 42 C0 21 E0 21 E9 3F 00 00 05 43
+4F 4C 4F 4E 1A 42 CC 21 BA 40 87 12 00 00 A2 53
+CC 21 B2 43 BE 21 30 40 98 8F 00 00 05 4C 4F 32
+48 49 1A 42 CC 21 BA 40 B0 12 00 00 BA 40 2A 80
+02 00 A2 52 CC 21 ED 3F 38 40 C6 21 39 48 2A 48
+09 5A 1A 52 CA 21 09 9A 03 24 7E 9A FC 27 1A 83
+0E 4A 2A 88 82 4A CA 21 30 4D B0 12 2A 80 16 86
+74 86 C2 81 2E 93 2E 87 B8 81 6C 8A 50 93 30 93
+29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
+03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
+30 41 19 42 CC 21 A2 53 CC 21 89 4E 00 00 3E 40
+29 00 12 12 CA 21 92 53 CA 21 B0 12 2A 80 16 86
+2E 87 B8 81 82 93 78 93 21 53 3E 90 10 00 BB 2D
+30 41 84 93 B2 41 CA 21 22 D3 30 41 87 12 32 82
+F8 92 94 93 82 43 C4 21 92 42 CC 21 C2 21 A2 53
+CC 21 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
+CA 21 B0 12 1A 93 0E 93 04 20 B2 40 00 03 C4 21
+27 3C 1E 93 04 20 B2 40 10 03 C4 21 21 3C 2E 93
+04 20 B2 40 20 03 C4 21 1B 3C 2E 92 04 20 B2 40
+20 02 C4 21 15 3C 3E 92 04 20 B2 40 30 02 C4 21
+0F 3C 3E 93 04 20 B2 40 30 03 C4 21 09 3C B2 40
+30 00 C4 21 19 42 CC 21 A2 53 CC 21 89 4E 00 00
+3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
+10 02 C4 21 92 53 CA 21 30 12 04 94 76 3F FA 90
+40 00 00 00 1A 20 B2 40 20 00 C4 21 92 53 CA 21
+B0 12 62 93 0E 20 B2 50 10 00 C4 21 3E 40 2B 00
+B0 12 62 93 32 24 92 92 C6 21 CA 21 02 24 92 53
+CA 21 8E 10 82 5E C4 21 D3 3F B0 12 62 93 F9 23
+B2 50 10 00 C4 21 3E 40 28 00 B0 12 1A 93 30 12
+54 94 67 3F 87 12 32 82 F8 92 8C 94 FE 90 26 00
+00 00 3E 40 20 00 04 20 B2 50 82 00 C4 21 C2 3F
+B0 12 62 93 DF 23 B2 50 80 00 C4 21 3E 40 28 00
+B0 12 1A 93 B0 12 52 93 D5 23 3D 40 6C 8A 30 4D
+3A 84 04 52 45 54 49 00 87 12 34 80 00 13 3A 88
+2A 80 34 80 2C 00 8C 93 84 94 DC 94 2E 4E 1E D2
+C4 21 19 42 C2 21 92 3F DC 92 03 4D 4F 56 84 12
+D2 94 00 40 EA 94 05 4D 4F 56 2E 42 84 12 D2 94
+40 40 00 00 03 41 44 44 84 12 D2 94 00 50 04 95
+05 41 44 44 2E 42 84 12 D2 94 40 50 10 95 04 41
+44 44 43 00 84 12 D2 94 00 60 1E 95 06 41 44 44
+43 2E 42 00 84 12 D2 94 40 60 C2 94 04 53 55 42
+43 00 84 12 D2 94 00 70 3C 95 06 53 55 42 43 2E
+42 00 84 12 D2 94 40 70 4A 95 03 53 55 42 84 12
+D2 94 00 80 5A 95 05 53 55 42 2E 42 84 12 D2 94
+40 80 BE 92 03 43 4D 50 84 12 D2 94 00 90 74 95
+05 43 4D 50 2E 42 84 12 D2 94 40 90 AC 92 04 44
+41 44 44 00 84 12 D2 94 00 A0 8E 95 06 44 41 44
+44 2E 42 00 84 12 D2 94 40 A0 80 95 03 42 49 54
+84 12 D2 94 00 B0 AC 95 05 42 49 54 2E 42 84 12
+D2 94 40 B0 B8 95 03 42 49 43 84 12 D2 94 00 C0
+C6 95 05 42 49 43 2E 42 84 12 D2 94 40 C0 D2 95
+03 42 49 53 84 12 D2 94 00 D0 E0 95 05 42 49 53
+2E 42 84 12 D2 94 40 D0 00 00 03 58 4F 52 84 12
+D2 94 00 E0 FA 95 05 58 4F 52 2E 42 84 12 D2 94
+40 E0 2C 95 03 41 4E 44 84 12 D2 94 00 F0 14 96
+05 41 4E 44 2E 42 84 12 D2 94 40 F0 32 82 8C 93
+32 96 1A 42 C4 21 B2 F0 70 00 C4 21 8A 10 3A F0
+0F 00 82 DA C4 21 4A 3F 66 95 03 52 52 43 84 12
+2C 96 00 10 4A 96 05 52 52 43 2E 42 84 12 2C 96
+40 10 56 96 04 53 57 50 42 00 84 12 2C 96 80 10
+64 96 03 52 52 41 84 12 2C 96 00 11 72 96 05 52
+52 41 2E 42 84 12 2C 96 40 11 7E 96 03 53 58 54
+84 12 2C 96 80 11 00 00 04 50 55 53 48 00 84 12
+2C 96 00 12 98 96 06 50 55 53 48 2E 42 00 84 12
+2C 96 40 12 EC 95 04 43 41 4C 4C 00 84 12 2C 96
+80 12 34 80 2C 00 8C 93 84 94 CC 96 59 42 C4 21
+5A 42 C5 21 82 4A C4 21 BE 90 00 15 00 00 02 20
+0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
+A8 3F 1A 53 0E 4A 87 12 6A 83 96 85 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 D6 89 A6 96 05 50
+55 53 48 4D 84 12 C2 96 00 15 0E 97 04 50 4F 50
+4D 00 84 12 C2 96 00 17 32 82 F8 92 2E 97 82 43
+C4 21 92 42 CC 21 C2 21 A2 53 CC 21 92 53 CA 21
+3E 40 2C 00 B0 12 2A 80 16 86 2E 87 B8 81 6C 8A
+84 94 54 97 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
+5A 06 6F 3F 8C 96 04 52 52 43 4D 00 84 12 28 97
+50 00 66 97 04 52 52 41 4D 00 84 12 28 97 50 01
+74 97 04 52 4C 41 4D 00 84 12 28 97 50 02 82 97
+04 52 52 55 4D 00 84 12 28 97 50 03 85 12 00 3C
+90 97 03 53 3E 3D 85 12 00 38 A2 97 02 53 3C 00
+85 12 00 34 1C 97 03 30 3E 3D 85 12 00 30 B6 97
+02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
+00 2C CA 97 03 55 3E 3D 85 12 00 28 C0 97 03 30
+3C 3E 85 12 00 24 DE 97 02 30 3D 00 85 12 00 20
+46 84 02 49 46 00 1A 42 CC 21 8A 4E 00 00 A2 53
+CC 21 0E 4A 30 4D D4 97 04 54 48 45 4E 00 1A 42
+CC 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 68 2F 88 DA 00 00 30 4D 9C 95 04 45 4C 53
+45 00 1A 42 CC 21 BA 40 00 3C 00 00 A2 53 CC 21
+2F 83 8F 4A 00 00 E3 3F 08 98 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 CC 21 2A 83 0A 89 0A 11
+3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 CC 21 30 4D 20 96 05 41 47 41 49 4E 87 12
+9C 97 50 98 2A 80 00 00 05 57 48 49 4C 45 87 12
+F6 97 78 80 2A 80 AC 97 06 52 45 50 45 41 54 00
+87 12 9C 97 50 98 0E 98 2A 80 00 00 03 4A 4D 50
+87 12 5E 8A 9C 97 50 98 2A 80 3E B0 00 10 03 20
+3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
+00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
+50 00 87 12 BA 98 5E 8A 78 80 50 98 2A 80 F0 98
+3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 21
+00 00 30 4D 88 43 00 00 A4 3F B6 96 03 42 57 31
+84 12 EE 98 00 00 0C 99 03 42 57 32 84 12 EE 98
+00 00 18 99 03 42 57 33 84 12 EE 98 00 00 30 99
+3D 41 1A 42 CC 21 28 4E 08 93 08 20 BA 4F 00 00
+A2 53 CC 21 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
+61 3F 00 00 03 46 57 31 84 12 2E 99 00 00 54 99
+03 46 57 32 84 12 2E 99 00 00 60 99 03 46 57 33
+84 12 2E 99 00 00 6C 99 04 47 4F 54 4F 00 87 12
+9C 97 5E 8A 30 88 2A 80 DC 98 05 3F 47 4F 54 4F
+87 12 BA 98 5E 8A 30 88 2A 80 2C 8D 07 7B 54 4F
+4F 4C 53 7D 30 4D 98 92 03 41 4E 44 3E FF 30 4D
+A8 8F 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
+FA FF 3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00
+2E 8F 0E 11 87 12 34 80 3C 00 E2 84 6A 83 34 80
+08 00 E2 84 34 80 3E 00 E2 84 18 85 8A 80 8A 80
+96 81 C2 81 FC 99 62 80 62 80 2A 80 C8 81 00 82
+F2 80 36 83 34 80 02 00 D8 81 FE 99 2A 80 B2 99
+03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20
+D3 3F D4 86 01 3F 2E 4E 30 40 36 83 34 90 03 50
+41 44 85 12 E4 20 EE 90 05 57 4F 52 44 53 87 12
+92 85 34 80 03 00 2E 85 34 80 D0 21 F2 80 32 9A
+34 80 10 00 44 80 20 81 DA 8E 34 80 00 00 44 80
+34 80 10 00 44 80 20 81 34 80 00 00 C8 81 44 80
+00 82 32 9A 20 81 F2 80 AC 81 B8 81 8C 9A 62 80
+62 80 00 82 44 80 32 9A 20 81 F2 80 34 80 02 00
+D8 81 6E 9A 54 80 B8 81 CE 9A 44 80 34 80 02 00
+28 81 F2 80 9A 80 32 9A 20 81 FA 80 44 80 82 88
+34 80 7F 00 AC 99 50 85 08 81 34 80 0F 00 AC 99
+34 80 10 00 78 80 28 81 2E 85 B4 81 5A 9A 62 80
+2A 80 D4 8E 03 4D 41 58 2E 9F 07 38 2F 53 30 4D
+D4 9A 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D EC 8D
+03 55 2E 52 87 12 B0 80 54 82 34 80 00 00 B4 82
+E6 82 00 83 BC 80 8A 80 28 81 34 80 00 00 D8 9A
+2E 85 50 85 2A 80 B6 8F 04 44 55 4D 50 00 0D 12
+12 12 E2 21 B2 40 10 00 E2 21 2E 5F B0 12 2A 80
+78 80 8A 80 8A 80 36 83 34 80 01 00 28 81 36 83
+34 80 FE FF AC 99 C8 81 92 85 00 82 34 80 07 00
+F4 9A 18 85 00 82 34 80 10 00 20 81 00 82 C8 81
+00 82 08 81 34 80 03 00 F4 9A EA 81 60 9B 18 85
+18 85 00 82 34 80 10 00 20 81 00 82 C8 81 00 82
+08 81 34 80 7E 00 E6 9A 32 82 D8 9A E2 84 EA 81
+7E 9B 34 80 10 00 D8 81 48 9B BC 80 3E 82 FA 80
+2A 80 9C 99 0A 7B 46 49 58 50 4F 49 4E 54 7D 00
+30 4D 56 92 05 48 4F 4C 44 53 39 4F 09 5E 18 42
+B2 21 19 83 1E 83 04 28 18 83 E8 49 00 00 F9 3F
+82 48 B2 21 3E 4F 30 4D 4A 8F 02 46 2B 00 BF 5F
+02 00 3E 6F 30 4D DA 9B 02 46 2D 00 BF 8F 02 00
+8F 7E 00 00 3E 4F 30 4D E8 9B 02 46 2F 00 1C 4F
+02 00 0C EE 0B 43 18 4F 04 00 19 4F 02 00 39 B0
+40 1F 04 24 38 E3 39 E3 18 53 09 63 3E B0 40 1F
+06 24 BF E3 00 00 3E E3 9F 53 00 00 0E 63 37 15
+0A 43 26 4F 35 40 20 00 0A 9E 01 20 09 96 02 28
+09 86 0A 7E 07 67 04 64 15 83 09 30 0B 5B 08 68
+09 69 0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52
+8F 47 00 00 0E 44 34 17 3C F3 06 34 BF E3 00 00
+3E E3 9F 53 00 00 0E 63 30 4D FA 9B 03 46 23 53
+29 4F 8F 4E 00 00 2F 83 8F 49 00 00 2B 42 B2 90
+0A 00 E2 21 01 20 1B 53 0C 43 A2 4F C0 04 92 42
+E2 21 C8 04 9F 42 E4 04 00 00 1E 42 E6 04 3E 90
+0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E 90 21
+1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40 90 21
+75 3F 7C 9C 02 46 2A 00 92 4F 04 00 D4 04 92 4F
+02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52 00 3C
+00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D D4 9C
+02 46 2E 00 87 12 54 82 44 80 B0 80 4C 81 80 9C
+34 80 2C 00 16 83 E6 82 BC 80 24 83 00 83 50 85
+18 85 2A 80 4E 90 03 53 3E 46 2F 83 8F 43 00 00
+30 4D 26 9D 02 32 40 00 2F 83 9F 4E 02 00 00 00
+2E 4E 30 4D 34 9D 09 32 43 4F 4E 53 54 41 4E 54
+87 12 F4 8B 3A 88 3A 88 0C 8C 38 9D 2A 80
+@FFFE
+06 91
+q
diff --git a/MSP_EXP430FR2355_16MHz_921600bds.txt b/MSP_EXP430FR2355_16MHz_921600bds.txt
new file mode 100644 (file)
index 0000000..99af81f
--- /dev/null
@@ -0,0 +1,478 @@
+@1800
+10 00 5A 84 80 3E 00 24 05 00 18 00 6A 9D 5E 92
+20 84 32 84 00 00 00 00
+@8000
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 80
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 80 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 80 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 80 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 80 02 3E 52 00
+0E 12 3E 4F 30 4D 96 80 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 80 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 80 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 80 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 81 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 80 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 81 02 31 2D 00
+1E 83 30 4D D8 80 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 81
+02 30 3D 00 1E 83 0E 7E 30 4D 60 81 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 81 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 80 01 3E 3E 8F F9 3F 6C 81 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 81 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 81
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 81 03 43 49 42 85 12 3C 21 24 82 02 42
+4C 00 85 12 20 00 2E 82 04 42 41 53 45 00 85 12
+E2 21 C8 80 05 53 54 41 54 45 85 12 BE 21 86 81
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 81 06 55
+4D 2F 4D 4F 44 00 30 12 62 80 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 80 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 82 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 82
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 82 02 23 53 00 87 12 B4 82 EC 82 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 82 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE 81
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 82 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 82 02 55 2E 00 87 12 54 82 34 80 00 00 E6 82
+00 83 5C 85 24 85 2A 80 46 81 02 44 2E 00 87 12
+54 82 78 80 8A 80 4C 81 E6 82 9A 80 24 83 00 83
+5C 85 24 85 2A 80 84 80 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 83 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 80 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC 82 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A 83 05 28 4B 45 59 29 18 42 8C 05 2F 83
+8F 4E 00 00 B0 12 20 84 92 B3 9C 05 FD 27 1E 42
+8C 05 B0 12 32 84 30 4D 10 82 03 4B 45 59 30 40
+BA 83 8E 83 06 41 43 43 45 50 54 00 3C 40 98 84
+3B 40 62 84 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C 84 92 B3 9C 05 05 24 18 42
+8C 05 38 90 0A 00 04 20 21 53 39 40 46 84 4D 15
+A2 B3 9C 05 FD 27 B2 40 11 00 8E 05 D2 C3 03 02
+30 41 B2 40 13 00 8E 05 D2 D3 03 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 84 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+8C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 9C 05 FD 27 82 48 8E 05 30 4D 8E 84 2D 83
+92 B3 9C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 83
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A 83 04 45 4D 49 54 00 30 40
+B8 84 E8 84 04 45 43 48 4F 00 B2 40 82 48 86 84
+82 43 E4 21 30 4D 68 83 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 84 92 43 E4 21 30 4D 1E 83 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E 85 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 85 EF 3F 48 85 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 83 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 85 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 85 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 84 04 28 43 52 29 00 87 12 A2 85
+02 0D 0A 00 5C 85 2A 80 9E 83 02 43 52 00 30 40
+8C 85 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A 85 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 85 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 85 82 53 22 00 87 12
+34 80 A2 85 46 88 D2 85 34 80 22 00 22 86 C0 85
+F2 85 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 85 82 2E 22 00 87 12
+DE 85 34 80 5C 85 46 88 2A 80 00 00 04 57 4F 52
+44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
+09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
+00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
+F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
+20 00 ED 3F 1A 82 C8 21 82 4A CA 21 1E 42 CC 21
+08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
+2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 21 3E 4B
+0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
+1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
+F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
+19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
+8F 4C 00 00 35 40 08 80 34 40 14 80 30 4D 94 81
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 21 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 21
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A 87 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C 87 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A 82 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 84 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 81 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E
+00 00 3E 4F 30 4D 44 88 87 4C 49 54 45 52 41 4C
+82 93 BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40
+34 80 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 85 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 84
+32 82 22 86 A6 88 3D 40 AE 88 EA 22 50 3E B0 88
+0A 4E 3E 4F 3D 40 C6 88 40 27 3D 40 A0 88 1A E2
+BE 21 C1 27 BB 23 C8 88 3E 4F 3D 40 A0 88 C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 8B D5 3F 34 88 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A 80
+9C 88 04 89 B2 41 CA 21 B2 41 C8 21 B2 41 C6 21
+3D 41 30 4D 9C 81 04 51 55 49 54 00 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 82 43 08 18 B0 12
+2A 80 A2 85 05 0D 0A 6F 6B 20 5C 85 BE 84 24 85
+9C 88 DE 80 70 81 A2 85 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 89 34 80 30 FF 80 83 AC 81
+A2 85 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 89
+4A 82 F2 80 B8 81 32 89 A2 85 05 0D 0A 20 20 20
+B4 81 3A 89 E4 83 05 41 42 4F 52 54 3F 40 80 20
+C5 3F B2 40 A6 90 02 91 B2 40 54 84 48 84 B2 40
+3C 21 2A 82 B2 40 B8 84 F0 84 B2 40 8C 85 A0 85
+B2 40 BA 83 E0 83 82 43 20 99 82 43 2C 99 82 43
+38 99 82 43 68 99 82 43 74 99 82 43 80 99 B2 40
+0A 00 E2 21 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 84 B0 12 9E 89 A2 B3 9C 05
+FD 27 B2 40 11 00 8E 05 D2 C3 03 02 92 C3 9C 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 9C 05 F3 23 87 12 A2 85 04 1B 5B 37 6D 00
+5C 85 34 80 E4 21 F2 80 54 80 B8 81 3E 8A A2 85
+05 6C 69 6E 65 3A 5C 85 40 81 36 83 FA 84 5C 85
+A2 85 04 1B 5B 30 6D 00 5C 85 1C 90 C0 85 8C 89
+86 89 86 41 42 4F 52 54 22 00 87 12 DE 85 34 80
+D6 89 46 88 2A 80 7A 86 01 27 87 12 32 82 22 86
+80 86 B8 81 78 8A 2A 80 D0 88 50 82 81 5C 92 42
+C6 21 CA 21 30 4D 00 00 81 5B 82 43 BE 21 30 4D
+7C 8A 01 5D B2 43 BE 21 30 4D 88 8A 83 5B 27 5D
+87 12 6A 8A 34 80 34 80 46 88 46 88 2A 80 BE 4F
+02 00 3E 4F 30 4D 86 85 82 49 53 00 87 12 4A 82
+F2 80 B8 81 D0 8A A0 8A 34 80 AE 8A 46 88 2A 80
+6A 8A AE 8A 2A 80 B8 8A 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 21 FA D0 80 00 00 00 30 4D DA 85
+87 52 45 43 55 52 53 45 19 42 CC 21 99 42 BA 21
+00 00 A2 53 CC 21 30 4D 16 89 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 82 22 86 80 86 54 80 B8 81
+78 8A 70 81 B8 81 34 8B 34 80 34 80 46 88 46 88
+34 80 46 88 46 88 2A 80 82 9F BC 21 25 25 87 12
+A2 85 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 89 9C 8A 81 3B 82 93 BE 21 90 27 87 12
+34 80 2A 80 46 88 38 8B 8A 8A 2A 80 BA 40 87 12
+FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21 30 4D
+56 8B 01 3A 30 12 6C 8B 87 12 FE 85 32 82 22 86
+92 8B 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 21
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49 B8 21
+82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41 30 41
+1C 86 08 56 41 52 49 41 42 4C 45 00 B0 12 88 8B
+BA 40 86 12 FC FF DC 3C 88 88 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 8B BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA 8B 06 43 52 45 41 54 45 00
+B0 12 88 8B BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 88 05 44 4F 45 53 3E 1A 42 BA 21 BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 8C 05 44 45 46
+45 52 B0 12 88 8B BA 40 30 40 FC FF BA 40 28 8C
+FE FF A6 3C F8 8B 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 8B 86 5B 54 48 45 4E 5D 00
+30 4D 78 8C 86 5B 45 4C 53 45 5D 00 87 12 34 80
+01 00 32 82 22 86 8E 88 44 80 B8 81 F6 8C 8A 80
+8A 80 A2 85 04 5B 49 46 5D 00 4E 8C C2 81 B8 8C
+7E 85 36 81 B4 81 EE 8C 8A 80 8A 80 A2 85 06 5B
+45 4C 53 45 5D 00 4E 8C C2 81 DC 8C 7E 85 40 81
+44 80 B8 81 EE 8C 36 81 B4 81 EE 8C A2 85 06 5B
+54 48 45 4E 5D 00 4E 8C C2 81 EE 8C 40 81 54 80
+C2 81 92 8C 2A 80 7E 85 A2 85 05 0D 0A 6B 6F 20
+5C 85 BE 84 D6 84 B4 81 92 8C 84 8C 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C 8D 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 82 22 86 80 86
+6C 80 64 81 2A 80 1C 8D 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 82 22 86 80 86 6C 80 2A 80 50 8D
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 88
+06 4D 41 52 4B 45 52 00 B0 12 88 8B BA 40 84 12
+FC FF BA 40 4E 8D FE FF 9A 42 CE 21 00 00 28 83
+8A 48 02 00 A2 52 CC 21 18 42 B6 21 19 42 B8 21
+A8 49 FE FF 89 48 00 00 30 4D D8 8A 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 21 A2 52 CC 21 BE 40
+B8 81 00 00 2E 53 30 4D 2C 8C 84 45 4C 53 45 00
+A2 52 CC 21 1A 42 CC 21 BA 40 B4 81 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 85 84 54 48 45 4E 00
+9E 42 CC 21 00 00 3E 4F 30 4D 46 8C 85 42 45 47
+49 4E 30 40 80 83 DA 8D 85 55 4E 54 49 4C 39 40
+B8 81 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 8A 85 41 47 41 49 4E 39 40
+B4 81 EF 3F C2 8B 85 57 48 49 4C 45 87 12 A0 8D
+78 80 2A 80 F0 8A 86 52 45 50 45 41 54 00 87 12
+1E 8E E0 8D 2A 80 BA 8D 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 21 1E 42 CC 21 BE 40 C8 81 FE FF
+A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D 60 8D
+84 4C 4F 4F 50 00 39 40 EA 81 A2 52 CC 21 1A 42
+CC 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83
+00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA 83 85 2B 4C 4F 4F 50 39 40 D8 81 E5 3F
+70 8E 85 4C 45 41 56 45 1A 42 CC 21 BA 40 FA 81
+00 00 BA 40 B4 81 02 00 B2 50 06 00 CC 21 A2 53
+00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D B2 8E
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 8E 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 8C 34 80 10 00 34 80 00 00
+C8 81 34 80 00 00 46 88 EA 81 32 8F 80 83 34 80
+CE 21 44 80 F2 80 46 88 FA 80 18 8C 34 80 D0 21
+FA 80 2A 80 68 8A 05 46 4F 52 54 48 84 12 4C 8F
+B4 99 7A 92 24 9B 0C 9D C0 9B A4 8E EE 9A 1C 9A
+3A 9A 52 9D FC 9A 44 9A 00 00 B0 9B 92 8A 30 9A
+00 00 18 8E 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 21 38 40 D2 21 B6 3F 0A 8B 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 21 38 40 D0 21
+A3 3F 0A 86 04 4F 4E 4C 59 00 82 43 D2 21 30 4D
+48 8E 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 21 E0 21 30 4D 5C 8F BA 8F CE 8F DE 8F 3A 4E
+82 4A CE 21 2E 4E 82 4E CC 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A 8F 09 50 57 52 5F 53 54 41 54 45 84 12 D6 8F
+5E 92 6A 9D 36 8E 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 90 92 42 0C 18 22 90 EF 3F 12 90
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 21 20 90
+92 42 CC 21 22 90 30 4D 26 90 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 21 0E 18 92 42 CC 21 0C 18
+EC 3F 18 8F 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CE FF FA 23 B0 12 92 89 B2 40
+6A 9D 0C 18 B2 40 5E 92 0E 18 CA 3F 9C 8D 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 85 06 0D
+1B 5B 37 6D 23 00 5C 85 6A 83 A2 85 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C 85 34 80
+30 FF 80 83 28 81 36 83 A2 85 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 89 74 90 04 57 41 52 4D 00
+30 40 A6 90 EC 8D 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A CC 01 B2 43 06 02 92 D3 04 02
+B2 40 FE FF 02 02 D2 D3 03 02 F2 43 22 02 F2 D3
+26 02 F2 D0 BF 00 47 02 F2 40 40 00 45 02 F2 40
+BF 00 43 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
+B2 40 BA 00 82 01 B2 40 E8 01 84 01 39 40 00 01
+82 43 88 01 92 D2 5E 01 08 18 A2 93 08 18 01 24
+49 07 38 40 59 14 18 83 FE 23 19 83 FA 23 3A 40
+12 91 39 40 CE FF 89 4A 00 00 29 53 FC 23 92 42
+02 18 E2 FF B2 40 18 00 0A 18 39 40 00 20 89 43
+00 00 29 53 39 90 FF 2F FA 2B 31 40 E0 20 3F 40
+80 20 37 40 00 80 36 40 BC 80 35 40 08 80 34 40
+14 80 B2 40 0A 00 E2 21 B2 43 B4 21 92 C3 30 01
+18 42 08 18 F2 B2 21 02 04 20 38 E3 18 53 82 48
+08 18 B2 40 81 00 80 05 B2 40 11 00 86 05 B2 40
+00 4A 88 05 F2 D0 0C 00 2B 02 92 C3 80 05 92 D3
+9A 05 3D 40 2C 92 18 42 08 18 38 90 0A 00 2D 27
+38 90 16 00 2A 2F 28 93 03 23 F8 26 00 91 84 8F
+09 41 53 53 45 4D 42 4C 45 52 84 12 4C 8F 84 98
+30 99 38 98 84 99 FE 97 B8 98 02 95 00 00 F4 97
+A4 98 56 98 94 98 12 96 00 00 00 00 96 99 80 8F
+9E 90 85 48 49 32 4C 4F 87 12 80 83 B4 8D 46 88
+8A 8A 8A 8F 3A 92 2A 80 06 91 04 43 4F 44 45 00
+B0 12 88 8B A2 82 CC 21 87 12 7A 8B B4 81 72 92
+00 00 07 45 4E 44 43 4F 44 45 87 12 A4 8F 38 8B
+2A 80 30 92 03 41 53 4D 92 42 E0 21 C0 21 B2 40
+3E 92 E0 21 E5 3F 92 92 06 45 4E 44 41 53 4D 00
+92 42 C0 21 E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E
+1A 42 CC 21 BA 40 87 12 00 00 A2 53 CC 21 B2 43
+BE 21 30 40 A4 8F 00 00 05 4C 4F 32 48 49 1A 42
+CC 21 BA 40 B0 12 00 00 BA 40 2A 80 02 00 A2 52
+CC 21 ED 3F 38 40 C6 21 39 48 2A 48 09 5A 1A 52
+CA 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
+82 4A CA 21 30 4D B0 12 2A 80 22 86 80 86 C2 81
+3A 93 3A 87 B8 81 78 8A 5C 93 3C 93 29 4E 39 90
+86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
+02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
+CC 21 A2 53 CC 21 89 4E 00 00 3E 40 29 00 12 12
+CA 21 92 53 CA 21 B0 12 2A 80 22 86 3A 87 B8 81
+8E 93 84 93 21 53 3E 90 10 00 BB 2D 30 41 90 93
+B2 41 CA 21 22 D3 30 41 87 12 32 82 04 93 A0 93
+82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21 0A 4E
+3E 4F FA 90 23 00 00 00 34 20 92 53 CA 21 B0 12
+26 93 0E 93 04 20 B2 40 00 03 C4 21 27 3C 1E 93
+04 20 B2 40 10 03 C4 21 21 3C 2E 93 04 20 B2 40
+20 03 C4 21 1B 3C 2E 92 04 20 B2 40 20 02 C4 21
+15 3C 3E 92 04 20 B2 40 30 02 C4 21 0F 3C 3E 93
+04 20 B2 40 30 03 C4 21 09 3C B2 40 30 00 C4 21
+19 42 CC 21 A2 53 CC 21 89 4E 00 00 3E 4F 3D 41
+30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 C4 21
+92 53 CA 21 30 12 10 94 76 3F FA 90 40 00 00 00
+1A 20 B2 40 20 00 C4 21 92 53 CA 21 B0 12 6E 93
+0E 20 B2 50 10 00 C4 21 3E 40 2B 00 B0 12 6E 93
+32 24 92 92 C6 21 CA 21 02 24 92 53 CA 21 8E 10
+82 5E C4 21 D3 3F B0 12 6E 93 F9 23 B2 50 10 00
+C4 21 3E 40 28 00 B0 12 26 93 30 12 60 94 67 3F
+87 12 32 82 04 93 98 94 FE 90 26 00 00 00 3E 40
+20 00 04 20 B2 50 82 00 C4 21 C2 3F B0 12 6E 93
+DF 23 B2 50 80 00 C4 21 3E 40 28 00 B0 12 26 93
+B0 12 5E 93 D5 23 3D 40 78 8A 30 4D 40 84 04 52
+45 54 49 00 87 12 34 80 00 13 46 88 2A 80 34 80
+2C 00 98 93 90 94 E8 94 2E 4E 1E D2 C4 21 19 42
+C2 21 92 3F E8 92 03 4D 4F 56 84 12 DE 94 00 40
+F6 94 05 4D 4F 56 2E 42 84 12 DE 94 40 40 00 00
+03 41 44 44 84 12 DE 94 00 50 10 95 05 41 44 44
+2E 42 84 12 DE 94 40 50 1C 95 04 41 44 44 43 00
+84 12 DE 94 00 60 2A 95 06 41 44 44 43 2E 42 00
+84 12 DE 94 40 60 CE 94 04 53 55 42 43 00 84 12
+DE 94 00 70 48 95 06 53 55 42 43 2E 42 00 84 12
+DE 94 40 70 56 95 03 53 55 42 84 12 DE 94 00 80
+66 95 05 53 55 42 2E 42 84 12 DE 94 40 80 CA 92
+03 43 4D 50 84 12 DE 94 00 90 80 95 05 43 4D 50
+2E 42 84 12 DE 94 40 90 B8 92 04 44 41 44 44 00
+84 12 DE 94 00 A0 9A 95 06 44 41 44 44 2E 42 00
+84 12 DE 94 40 A0 8C 95 03 42 49 54 84 12 DE 94
+00 B0 B8 95 05 42 49 54 2E 42 84 12 DE 94 40 B0
+C4 95 03 42 49 43 84 12 DE 94 00 C0 D2 95 05 42
+49 43 2E 42 84 12 DE 94 40 C0 DE 95 03 42 49 53
+84 12 DE 94 00 D0 EC 95 05 42 49 53 2E 42 84 12
+DE 94 40 D0 00 00 03 58 4F 52 84 12 DE 94 00 E0
+06 96 05 58 4F 52 2E 42 84 12 DE 94 40 E0 38 95
+03 41 4E 44 84 12 DE 94 00 F0 20 96 05 41 4E 44
+2E 42 84 12 DE 94 40 F0 32 82 98 93 3E 96 1A 42
+C4 21 B2 F0 70 00 C4 21 8A 10 3A F0 0F 00 82 DA
+C4 21 4A 3F 72 95 03 52 52 43 84 12 38 96 00 10
+56 96 05 52 52 43 2E 42 84 12 38 96 40 10 62 96
+04 53 57 50 42 00 84 12 38 96 80 10 70 96 03 52
+52 41 84 12 38 96 00 11 7E 96 05 52 52 41 2E 42
+84 12 38 96 40 11 8A 96 03 53 58 54 84 12 38 96
+80 11 00 00 04 50 55 53 48 00 84 12 38 96 00 12
+A4 96 06 50 55 53 48 2E 42 00 84 12 38 96 40 12
+F8 95 04 43 41 4C 4C 00 84 12 38 96 80 12 34 80
+2C 00 98 93 90 94 D8 96 59 42 C4 21 5A 42 C5 21
+82 4A C4 21 BE 90 00 15 00 00 02 20 0A 89 02 3C
+09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
+0E 4A 87 12 6A 83 A2 85 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 E2 89 B2 96 05 50 55 53 48 4D
+84 12 CE 96 00 15 1A 97 04 50 4F 50 4D 00 84 12
+CE 96 00 17 32 82 04 93 3A 97 82 43 C4 21 92 42
+CC 21 C2 21 A2 53 CC 21 92 53 CA 21 3E 40 2C 00
+B0 12 2A 80 22 86 3A 87 B8 81 78 8A 90 94 60 97
+0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
+98 96 04 52 52 43 4D 00 84 12 34 97 50 00 72 97
+04 52 52 41 4D 00 84 12 34 97 50 01 80 97 04 52
+4C 41 4D 00 84 12 34 97 50 02 8E 97 04 52 52 55
+4D 00 84 12 34 97 50 03 85 12 00 3C 9C 97 03 53
+3E 3D 85 12 00 38 AE 97 02 53 3C 00 85 12 00 34
+28 97 03 30 3E 3D 85 12 00 30 C2 97 02 30 3C 00
+85 12 00 30 00 00 02 55 3C 00 85 12 00 2C D6 97
+03 55 3E 3D 85 12 00 28 CC 97 03 30 3C 3E 85 12
+00 24 EA 97 02 30 3D 00 85 12 00 20 4C 84 02 49
+46 00 1A 42 CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A
+30 4D E0 97 04 54 48 45 4E 00 1A 42 CC 21 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
+88 DA 00 00 30 4D A8 95 04 45 4C 53 45 00 1A 42
+CC 21 BA 40 00 3C 00 00 A2 53 CC 21 2F 83 8F 4A
+00 00 E3 3F 14 98 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 CC 21 2A 83 0A 89 0A 11 3A 90 00 FE
+47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 21
+30 4D 2C 96 05 41 47 41 49 4E 87 12 A8 97 5C 98
+2A 80 00 00 05 57 48 49 4C 45 87 12 02 98 78 80
+2A 80 B8 97 06 52 45 50 45 41 54 00 87 12 A8 97
+5C 98 1A 98 2A 80 00 00 03 4A 4D 50 87 12 6A 8A
+A8 97 5C 98 2A 80 3E B0 00 10 03 20 3E E0 00 04
+30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
+3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
+C6 98 6A 8A 78 80 5C 98 2A 80 FC 98 3D 41 08 4E
+3E 4F 2A 48 0A 93 04 20 98 42 CC 21 00 00 30 4D
+88 43 00 00 A4 3F C2 96 03 42 57 31 84 12 FA 98
+00 00 18 99 03 42 57 32 84 12 FA 98 00 00 24 99
+03 42 57 33 84 12 FA 98 00 00 3C 99 3D 41 1A 42
+CC 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 21
+8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
+03 46 57 31 84 12 3A 99 00 00 60 99 03 46 57 32
+84 12 3A 99 00 00 6C 99 03 46 57 33 84 12 3A 99
+00 00 78 99 04 47 4F 54 4F 00 87 12 A8 97 6A 8A
+3C 88 2A 80 E8 98 05 3F 47 4F 54 4F 87 12 C6 98
+6A 8A 3C 88 2A 80 38 8D 07 7B 54 4F 4F 4C 53 7D
+30 4D A4 92 03 41 4E 44 3E FF 30 4D B4 8F 02 2E
+53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40
+80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11
+87 12 34 80 3C 00 EE 84 6A 83 34 80 08 00 EE 84
+34 80 3E 00 EE 84 24 85 8A 80 8A 80 96 81 C2 81
+08 9A 62 80 62 80 2A 80 C8 81 00 82 F2 80 36 83
+34 80 02 00 D8 81 0A 9A 2A 80 BE 99 03 2E 52 53
+8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F E0 86
+01 3F 2E 4E 30 40 36 83 40 90 03 50 41 44 85 12
+E4 20 FA 90 05 57 4F 52 44 53 87 12 9E 85 34 80
+03 00 3A 85 34 80 D0 21 F2 80 3E 9A 34 80 10 00
+44 80 20 81 E6 8E 34 80 00 00 44 80 34 80 10 00
+44 80 20 81 34 80 00 00 C8 81 44 80 00 82 3E 9A
+20 81 F2 80 AC 81 B8 81 98 9A 62 80 62 80 00 82
+44 80 3E 9A 20 81 F2 80 34 80 02 00 D8 81 7A 9A
+54 80 B8 81 DA 9A 44 80 34 80 02 00 28 81 F2 80
+9A 80 3E 9A 20 81 FA 80 44 80 8E 88 34 80 7F 00
+B8 99 5C 85 08 81 34 80 0F 00 B8 99 34 80 10 00
+78 80 28 81 3A 85 B4 81 66 9A 62 80 2A 80 E0 8E
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D E0 9A 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D F8 8D 03 55 2E 52
+87 12 B0 80 54 82 34 80 00 00 B4 82 E6 82 00 83
+BC 80 8A 80 28 81 34 80 00 00 E4 9A 3A 85 5C 85
+2A 80 C2 8F 04 44 55 4D 50 00 0D 12 12 12 E2 21
+B2 40 10 00 E2 21 2E 5F B0 12 2A 80 78 80 8A 80
+8A 80 36 83 34 80 01 00 28 81 36 83 34 80 FE FF
+B8 99 C8 81 9E 85 00 82 34 80 07 00 00 9B 24 85
+00 82 34 80 10 00 20 81 00 82 C8 81 00 82 08 81
+34 80 03 00 00 9B EA 81 6C 9B 24 85 24 85 00 82
+34 80 10 00 20 81 00 82 C8 81 00 82 08 81 34 80
+7E 00 F2 9A 32 82 E4 9A EE 84 EA 81 8A 9B 34 80
+10 00 D8 81 54 9B BC 80 3E 82 FA 80 2A 80 A8 99
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D 62 92
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 B2 21 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 B2 21
+3E 4F 30 4D 56 8F 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D E6 9B 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D F4 9B 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D 06 9C 03 46 23 53 29 4F 8F 4E
+00 00 2F 83 8F 49 00 00 2B 42 B2 90 0A 00 E2 21
+01 20 1B 53 0C 43 A2 4F C0 04 92 42 E2 21 C8 04
+9F 42 E4 04 00 00 1E 42 E6 04 3E 90 0A 00 02 28
+3E 50 07 00 3E 50 30 00 CC 4E 90 21 1C 53 0C 9B
+EA 2B 0E 4B 8F 43 00 00 39 40 90 21 75 3F 88 9C
+02 46 2A 00 92 4F 04 00 D4 04 92 4F 02 00 D6 04
+A2 4F E0 04 82 4E E2 04 2F 52 00 3C 00 3C 9F 42
+E6 04 00 00 1E 42 E8 04 30 4D E0 9C 02 46 2E 00
+87 12 54 82 44 80 B0 80 4C 81 8C 9C 34 80 2C 00
+16 83 E6 82 BC 80 24 83 00 83 5C 85 24 85 2A 80
+5A 90 03 53 3E 46 2F 83 8F 43 00 00 30 4D 32 9D
+02 32 40 00 2F 83 9F 4E 02 00 00 00 2E 4E 30 4D
+40 9D 09 32 43 4F 4E 53 54 41 4E 54 87 12 00 8C
+46 88 46 88 18 8C 44 9D 2A 80
+@FFFE
+12 91
+q
diff --git a/MSP_EXP430FR2355_24MHz_6Mbds.txt b/MSP_EXP430FR2355_24MHz_6Mbds.txt
new file mode 100644 (file)
index 0000000..8d5c6a5
--- /dev/null
@@ -0,0 +1,477 @@
+@1800
+10 00 54 84 C0 5D 60 EA 05 00 18 00 5A 9D 4E 92
+20 84 2C 84 00 00 00 00
+@8000
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 80
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 80 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 80 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 80 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 80 02 3E 52 00
+0E 12 3E 4F 30 4D 96 80 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 80 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 80 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 80 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 81 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 80 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 81 02 31 2D 00
+1E 83 30 4D D8 80 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 81
+02 30 3D 00 1E 83 0E 7E 30 4D 60 81 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 81 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 80 01 3E 3E 8F F9 3F 6C 81 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 81 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 81
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 81 03 43 49 42 85 12 3C 21 24 82 02 42
+4C 00 85 12 20 00 2E 82 04 42 41 53 45 00 85 12
+E2 21 C8 80 05 53 54 41 54 45 85 12 BE 21 86 81
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 81 06 55
+4D 2F 4D 4F 44 00 30 12 62 80 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 80 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 82 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 82
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 82 02 23 53 00 87 12 B4 82 EC 82 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 82 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE 81
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 82 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 82 02 55 2E 00 87 12 54 82 34 80 00 00 E6 82
+00 83 50 85 18 85 2A 80 46 81 02 44 2E 00 87 12
+54 82 78 80 8A 80 4C 81 E6 82 9A 80 24 83 00 83
+50 85 18 85 2A 80 84 80 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 83 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 80 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC 82 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A 83 05 28 4B 45 59 29 18 42 8C 05 2F 83
+8F 4E 00 00 B0 12 20 84 92 B3 9C 05 FD 27 1E 42
+8C 05 B0 12 2C 84 30 4D 10 82 03 4B 45 59 30 40
+BA 83 8E 83 06 41 43 43 45 50 54 00 3C 40 8C 84
+3B 40 5C 84 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 84 92 B3 9C 05 05 24 18 42
+8C 05 38 90 0A 00 04 20 21 53 39 40 40 84 4D 15
+B2 40 11 00 8E 05 D2 C3 03 02 30 41 B2 40 13 00
+8E 05 D2 D3 03 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E 84 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 8C 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 8E 05 30 4D
+82 84 2D 83 92 B3 9C 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 21 02 24 92 53 E4 21 3E 8F 3D 41
+30 4D B4 83 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 21 00 00 AF 4F 02 00 91 3F 82 43 CA 21 82 4E
+C6 21 B2 4F C8 21 3E 4F 30 4D 4A 83 04 45 4D 49
+54 00 30 40 AC 84 DC 84 04 45 43 48 4F 00 B2 40
+82 48 7A 84 82 43 E4 21 30 4D 68 83 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A 84 92 43 E4 21 30 4D
+1E 83 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 85 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A 85 EF 3F 3C 85 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 83 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 85 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 85 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 84 04 28 43 52 29 00
+87 12 96 85 02 0D 0A 00 50 85 2A 80 9E 83 02 43
+52 00 30 40 80 85 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E 85 07 43 41 50
+53 5F 4F 4E B2 43 B4 21 30 4D AC 85 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 21 30 4D 26 85 82 53
+22 00 87 12 34 80 96 85 3A 88 C6 85 34 80 22 00
+16 86 B4 85 E6 85 3D 41 6E 4E 1E 83 82 5E CC 21
+3E 4F 92 B3 CC 21 A2 63 CC 21 30 4D FC 84 82 2E
+22 00 87 12 D2 85 34 80 50 85 3A 88 2A 80 00 00
+04 57 4F 52 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 21 F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 21 82 4A CA 21
+1E 42 CC 21 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 80 34 40 14 80
+30 4D 94 81 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 E2 21 32 C0 00 02 2D 15 09 43 08 43 3D 40
+7E 87 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F 80 87
+0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90
+2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00
+23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 6A 82 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D E8 84 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 81 01 2C 1A 42 CC 21 A2 53
+CC 21 8A 4E 00 00 3E 4F 30 4D 38 88 87 4C 49 54
+45 52 41 4C 82 93 BE 21 0F 24 1A 42 CC 21 A2 52
+CC 21 BA 40 34 80 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D BC 85 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+87 12 CA 84 32 82 16 86 9A 88 3D 40 A2 88 EA 22
+50 3E A4 88 0A 4E 3E 4F 3D 40 BA 88 40 27 3D 40
+94 88 1A E2 BE 21 C1 27 BB 23 BC 88 3E 4F 3D 40
+94 88 C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 46 8B D5 3F 28 88 08 45 56 41 4C 55
+41 54 45 00 39 40 C6 21 3C 49 3B 49 3A 49 3D 15
+B0 12 2A 80 90 88 F8 88 B2 41 CA 21 B2 41 C8 21
+B2 41 C6 21 3D 41 30 4D 9C 81 04 51 55 49 54 00
+31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21 82 43
+08 18 B0 12 2A 80 96 85 05 0D 0A 6F 6B 20 50 85
+B2 84 18 85 90 88 DE 80 70 81 96 85 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 CA 89 34 80 30 FF
+80 83 AC 81 96 85 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 CA 89 4A 82 F2 80 B8 81 26 89 96 85 05 0D
+0A 20 20 20 B4 81 2E 89 E4 83 05 41 42 4F 52 54
+3F 40 80 20 C5 3F B2 40 9A 90 F6 90 B2 40 4E 84
+42 84 B2 40 3C 21 2A 82 B2 40 AC 84 E4 84 B2 40
+80 85 94 85 B2 40 BA 83 E0 83 82 43 10 99 82 43
+1C 99 82 43 28 99 82 43 58 99 82 43 64 99 82 43
+70 99 B2 40 0A 00 E2 21 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 7A 84 B0 12 92 89
+A2 B3 9C 05 FD 27 B2 40 11 00 8E 05 D2 C3 03 02
+92 C3 9C 05 38 40 F0 FF 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 9C 05 F3 23 87 12 96 85 04 1B
+5B 37 6D 00 50 85 34 80 E4 21 F2 80 54 80 B8 81
+32 8A 96 85 05 6C 69 6E 65 3A 50 85 40 81 36 83
+EE 84 50 85 96 85 04 1B 5B 30 6D 00 50 85 10 90
+B4 85 80 89 7A 89 86 41 42 4F 52 54 22 00 87 12
+D2 85 34 80 CA 89 3A 88 2A 80 6E 86 01 27 87 12
+32 82 16 86 74 86 B8 81 6C 8A 2A 80 C4 88 50 82
+81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B 82 43
+BE 21 30 4D 70 8A 01 5D B2 43 BE 21 30 4D 7C 8A
+83 5B 27 5D 87 12 5E 8A 34 80 34 80 3A 88 3A 88
+2A 80 BE 4F 02 00 3E 4F 30 4D 7A 85 82 49 53 00
+87 12 4A 82 F2 80 B8 81 C4 8A 94 8A 34 80 A2 8A
+3A 88 2A 80 5E 8A A2 8A 2A 80 AC 8A 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00 00 00
+30 4D CE 85 87 52 45 43 55 52 53 45 19 42 CC 21
+99 42 BA 21 00 00 A2 53 CC 21 30 4D 0A 89 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 82 16 86 74 86
+54 80 B8 81 6C 8A 70 81 B8 81 28 8B 34 80 34 80
+3A 88 3A 88 34 80 3A 88 3A 88 2A 80 82 9F BC 21
+25 25 87 12 96 85 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 D6 89 90 8A 81 3B 82 93 BE 21
+90 27 87 12 34 80 2A 80 3A 88 2C 8B 7E 8A 2A 80
+BA 40 87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F
+BC 21 30 4D 4A 8B 01 3A 30 12 60 8B 87 12 F2 85
+32 82 16 86 86 8B 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21
+82 49 B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F
+3D 41 30 41 10 86 08 56 41 52 49 41 42 4C 45 00
+B0 12 7C 8B BA 40 86 12 FC FF DC 3C 7C 88 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 7C 8B BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C CE 8B 06 43 52 45
+41 54 45 00 B0 12 7C 8B BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C DA 88 05 44 4F 45 53 3E 1A 42 BA 21
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 06 8C
+05 44 45 46 45 52 B0 12 7C 8B BA 40 30 40 FC FF
+BA 40 1C 8C FE FF A6 3C EC 8B 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 76 8B 86 5B 54 48
+45 4E 5D 00 30 4D 6C 8C 86 5B 45 4C 53 45 5D 00
+87 12 34 80 01 00 32 82 16 86 82 88 44 80 B8 81
+EA 8C 8A 80 8A 80 96 85 04 5B 49 46 5D 00 42 8C
+C2 81 AC 8C 72 85 36 81 B4 81 E2 8C 8A 80 8A 80
+96 85 06 5B 45 4C 53 45 5D 00 42 8C C2 81 D0 8C
+72 85 40 81 44 80 B8 81 E2 8C 36 81 B4 81 E2 8C
+96 85 06 5B 54 48 45 4E 5D 00 42 8C C2 81 E2 8C
+40 81 54 80 C2 81 86 8C 2A 80 72 85 96 85 05 0D
+0A 6B 6F 20 50 85 B2 84 CA 84 B4 81 86 8C 78 8C
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 00 8D
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 82
+16 86 74 86 6C 80 64 81 2A 80 10 8D 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 82 16 86 74 86 6C 80
+2A 80 44 8D 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D 4C 88 06 4D 41 52 4B 45 52 00 B0 12 7C 8B
+BA 40 84 12 FC FF BA 40 42 8D FE FF 9A 42 CE 21
+00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
+19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D CC 8A
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
+CC 21 BE 40 B8 81 00 00 2E 53 30 4D 20 8C 84 45
+4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 B4 81
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 4A 85 84 54
+48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 3A 8C
+85 42 45 47 49 4E 30 40 80 83 CE 8D 85 55 4E 54
+49 4C 39 40 B8 81 A2 52 CC 21 1A 42 CC 21 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D 46 8A 85 41 47 41
+49 4E 39 40 B4 81 EF 3F B6 8B 85 57 48 49 4C 45
+87 12 94 8D 78 80 2A 80 E4 8A 86 52 45 50 45 41
+54 00 87 12 12 8E D4 8D 2A 80 AE 8D 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
+C8 81 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
+30 4D 54 8D 84 4C 4F 4F 50 00 39 40 EA 81 A2 52
+CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
+00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA 83 85 2B 4C 4F 4F 50 39 40
+D8 81 E5 3F 64 8E 85 4C 45 41 56 45 1A 42 CC 21
+BA 40 FA 81 00 00 BA 40 B4 81 02 00 B2 50 06 00
+CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
+30 4D A6 8E 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D 1A 8E 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 F4 8B 34 80 10 00
+34 80 00 00 C8 81 34 80 00 00 3A 88 EA 81 26 8F
+80 83 34 80 CE 21 44 80 F2 80 3A 88 FA 80 0C 8C
+34 80 D0 21 FA 80 2A 80 5C 8A 05 46 4F 52 54 48
+84 12 40 8F A4 99 6A 92 14 9B FC 9C B0 9B 98 8E
+DE 9A 0C 9A 2A 9A 42 9D EC 9A 34 9A 00 00 A0 9B
+86 8A 20 9A 00 00 0C 8E 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 21 38 40 D2 21 B6 3F FE 8A 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
+38 40 D0 21 A3 3F FE 85 04 4F 4E 4C 59 00 82 43
+D2 21 30 4D 3C 8E 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 21 E0 21 30 4D 50 8F AE 8F C2 8F
+D2 8F 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 8E 8F 09 50 57 52 5F 53 54 41 54 45
+84 12 CA 8F 4E 92 5A 9D 2A 8E 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 14 90 92 42 0C 18 16 90
+EF 3F 06 90 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 21 14 90 92 42 CC 21 16 90 30 4D 1A 90 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
+CC 21 0C 18 EC 3F 0C 8F 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12
+86 89 B2 40 5A 9D 0C 18 B2 40 4E 92 0E 18 CA 3F
+90 8D 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+96 85 06 0D 1B 5B 37 6D 23 00 50 85 6A 83 96 85
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+50 85 34 80 30 FF 80 83 28 81 36 83 96 85 0B 62
+79 74 65 73 20 66 72 65 65 20 DC 89 68 90 04 57
+41 52 4D 00 30 40 9A 90 E0 8D 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 43 06 02
+92 D3 04 02 B2 40 FE FF 02 02 D2 D3 03 02 F2 43
+22 02 F2 D3 26 02 F2 D0 BF 00 47 02 F2 40 40 00
+45 02 F2 40 BF 00 43 02 F2 40 A5 00 A1 01 F2 40
+20 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40
+FF 1E 80 01 B2 40 BE 00 82 01 B2 40 DC 02 84 01
+39 40 80 01 82 43 88 01 92 D2 5E 01 08 18 A2 93
+08 18 01 24 49 07 38 40 59 14 18 83 FE 23 19 83
+FA 23 3A 40 06 91 39 40 CE FF 89 4A 00 00 29 53
+FC 23 92 42 02 18 E2 FF B2 40 18 00 0A 18 39 40
+00 20 89 43 00 00 29 53 39 90 FF 2F FA 2B 31 40
+E0 20 3F 40 80 20 37 40 00 80 36 40 BC 80 35 40
+08 80 34 40 14 80 B2 40 0A 00 E2 21 B2 43 B4 21
+92 C3 30 01 18 42 08 18 F2 B2 21 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 80 05 A2 42 86 05
+82 43 88 05 F2 D0 0C 00 2B 02 92 C3 80 05 92 D3
+9A 05 3D 40 1C 92 18 42 08 18 38 90 0A 00 2F 27
+38 90 16 00 2C 2F 28 93 05 23 FA 26 F4 90 78 8F
+09 41 53 53 45 4D 42 4C 45 52 84 12 40 8F 74 98
+20 99 28 98 74 99 EE 97 A8 98 F2 94 00 00 E4 97
+94 98 46 98 84 98 02 96 00 00 00 00 86 99 74 8F
+92 90 85 48 49 32 4C 4F 87 12 80 83 A8 8D 3A 88
+7E 8A 7E 8F 2A 92 2A 80 FA 90 04 43 4F 44 45 00
+B0 12 7C 8B A2 82 CC 21 87 12 6E 8B B4 81 62 92
+00 00 07 45 4E 44 43 4F 44 45 87 12 98 8F 2C 8B
+2A 80 20 92 03 41 53 4D 92 42 E0 21 C0 21 B2 40
+2E 92 E0 21 E5 3F 82 92 06 45 4E 44 41 53 4D 00
+92 42 C0 21 E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E
+1A 42 CC 21 BA 40 87 12 00 00 A2 53 CC 21 B2 43
+BE 21 30 40 98 8F 00 00 05 4C 4F 32 48 49 1A 42
+CC 21 BA 40 B0 12 00 00 BA 40 2A 80 02 00 A2 52
+CC 21 ED 3F 38 40 C6 21 39 48 2A 48 09 5A 1A 52
+CA 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
+82 4A CA 21 30 4D B0 12 2A 80 16 86 74 86 C2 81
+2A 93 2E 87 B8 81 6C 8A 4C 93 2C 93 29 4E 39 90
+86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
+02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
+CC 21 A2 53 CC 21 89 4E 00 00 3E 40 29 00 12 12
+CA 21 92 53 CA 21 B0 12 2A 80 16 86 2E 87 B8 81
+7E 93 74 93 21 53 3E 90 10 00 BB 2D 30 41 80 93
+B2 41 CA 21 22 D3 30 41 87 12 32 82 F4 92 90 93
+82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21 0A 4E
+3E 4F FA 90 23 00 00 00 34 20 92 53 CA 21 B0 12
+16 93 0E 93 04 20 B2 40 00 03 C4 21 27 3C 1E 93
+04 20 B2 40 10 03 C4 21 21 3C 2E 93 04 20 B2 40
+20 03 C4 21 1B 3C 2E 92 04 20 B2 40 20 02 C4 21
+15 3C 3E 92 04 20 B2 40 30 02 C4 21 0F 3C 3E 93
+04 20 B2 40 30 03 C4 21 09 3C B2 40 30 00 C4 21
+19 42 CC 21 A2 53 CC 21 89 4E 00 00 3E 4F 3D 41
+30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 C4 21
+92 53 CA 21 30 12 00 94 76 3F FA 90 40 00 00 00
+1A 20 B2 40 20 00 C4 21 92 53 CA 21 B0 12 5E 93
+0E 20 B2 50 10 00 C4 21 3E 40 2B 00 B0 12 5E 93
+32 24 92 92 C6 21 CA 21 02 24 92 53 CA 21 8E 10
+82 5E C4 21 D3 3F B0 12 5E 93 F9 23 B2 50 10 00
+C4 21 3E 40 28 00 B0 12 16 93 30 12 50 94 67 3F
+87 12 32 82 F4 92 88 94 FE 90 26 00 00 00 3E 40
+20 00 04 20 B2 50 82 00 C4 21 C2 3F B0 12 5E 93
+DF 23 B2 50 80 00 C4 21 3E 40 28 00 B0 12 16 93
+B0 12 4E 93 D5 23 3D 40 6C 8A 30 4D 3A 84 04 52
+45 54 49 00 87 12 34 80 00 13 3A 88 2A 80 34 80
+2C 00 88 93 80 94 D8 94 2E 4E 1E D2 C4 21 19 42
+C2 21 92 3F D8 92 03 4D 4F 56 84 12 CE 94 00 40
+E6 94 05 4D 4F 56 2E 42 84 12 CE 94 40 40 00 00
+03 41 44 44 84 12 CE 94 00 50 00 95 05 41 44 44
+2E 42 84 12 CE 94 40 50 0C 95 04 41 44 44 43 00
+84 12 CE 94 00 60 1A 95 06 41 44 44 43 2E 42 00
+84 12 CE 94 40 60 BE 94 04 53 55 42 43 00 84 12
+CE 94 00 70 38 95 06 53 55 42 43 2E 42 00 84 12
+CE 94 40 70 46 95 03 53 55 42 84 12 CE 94 00 80
+56 95 05 53 55 42 2E 42 84 12 CE 94 40 80 BA 92
+03 43 4D 50 84 12 CE 94 00 90 70 95 05 43 4D 50
+2E 42 84 12 CE 94 40 90 A8 92 04 44 41 44 44 00
+84 12 CE 94 00 A0 8A 95 06 44 41 44 44 2E 42 00
+84 12 CE 94 40 A0 7C 95 03 42 49 54 84 12 CE 94
+00 B0 A8 95 05 42 49 54 2E 42 84 12 CE 94 40 B0
+B4 95 03 42 49 43 84 12 CE 94 00 C0 C2 95 05 42
+49 43 2E 42 84 12 CE 94 40 C0 CE 95 03 42 49 53
+84 12 CE 94 00 D0 DC 95 05 42 49 53 2E 42 84 12
+CE 94 40 D0 00 00 03 58 4F 52 84 12 CE 94 00 E0
+F6 95 05 58 4F 52 2E 42 84 12 CE 94 40 E0 28 95
+03 41 4E 44 84 12 CE 94 00 F0 10 96 05 41 4E 44
+2E 42 84 12 CE 94 40 F0 32 82 88 93 2E 96 1A 42
+C4 21 B2 F0 70 00 C4 21 8A 10 3A F0 0F 00 82 DA
+C4 21 4A 3F 62 95 03 52 52 43 84 12 28 96 00 10
+46 96 05 52 52 43 2E 42 84 12 28 96 40 10 52 96
+04 53 57 50 42 00 84 12 28 96 80 10 60 96 03 52
+52 41 84 12 28 96 00 11 6E 96 05 52 52 41 2E 42
+84 12 28 96 40 11 7A 96 03 53 58 54 84 12 28 96
+80 11 00 00 04 50 55 53 48 00 84 12 28 96 00 12
+94 96 06 50 55 53 48 2E 42 00 84 12 28 96 40 12
+E8 95 04 43 41 4C 4C 00 84 12 28 96 80 12 34 80
+2C 00 88 93 80 94 C8 96 59 42 C4 21 5A 42 C5 21
+82 4A C4 21 BE 90 00 15 00 00 02 20 0A 89 02 3C
+09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
+0E 4A 87 12 6A 83 96 85 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 D6 89 A2 96 05 50 55 53 48 4D
+84 12 BE 96 00 15 0A 97 04 50 4F 50 4D 00 84 12
+BE 96 00 17 32 82 F4 92 2A 97 82 43 C4 21 92 42
+CC 21 C2 21 A2 53 CC 21 92 53 CA 21 3E 40 2C 00
+B0 12 2A 80 16 86 2E 87 B8 81 6C 8A 80 94 50 97
+0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
+88 96 04 52 52 43 4D 00 84 12 24 97 50 00 62 97
+04 52 52 41 4D 00 84 12 24 97 50 01 70 97 04 52
+4C 41 4D 00 84 12 24 97 50 02 7E 97 04 52 52 55
+4D 00 84 12 24 97 50 03 85 12 00 3C 8C 97 03 53
+3E 3D 85 12 00 38 9E 97 02 53 3C 00 85 12 00 34
+18 97 03 30 3E 3D 85 12 00 30 B2 97 02 30 3C 00
+85 12 00 30 00 00 02 55 3C 00 85 12 00 2C C6 97
+03 55 3E 3D 85 12 00 28 BC 97 03 30 3C 3E 85 12
+00 24 DA 97 02 30 3D 00 85 12 00 20 46 84 02 49
+46 00 1A 42 CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A
+30 4D D0 97 04 54 48 45 4E 00 1A 42 CC 21 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
+88 DA 00 00 30 4D 98 95 04 45 4C 53 45 00 1A 42
+CC 21 BA 40 00 3C 00 00 A2 53 CC 21 2F 83 8F 4A
+00 00 E3 3F 04 98 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 CC 21 2A 83 0A 89 0A 11 3A 90 00 FE
+47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 21
+30 4D 1C 96 05 41 47 41 49 4E 87 12 98 97 4C 98
+2A 80 00 00 05 57 48 49 4C 45 87 12 F2 97 78 80
+2A 80 A8 97 06 52 45 50 45 41 54 00 87 12 98 97
+4C 98 0A 98 2A 80 00 00 03 4A 4D 50 87 12 5E 8A
+98 97 4C 98 2A 80 3E B0 00 10 03 20 3E E0 00 04
+30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
+3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
+B6 98 5E 8A 78 80 4C 98 2A 80 EC 98 3D 41 08 4E
+3E 4F 2A 48 0A 93 04 20 98 42 CC 21 00 00 30 4D
+88 43 00 00 A4 3F B2 96 03 42 57 31 84 12 EA 98
+00 00 08 99 03 42 57 32 84 12 EA 98 00 00 14 99
+03 42 57 33 84 12 EA 98 00 00 2C 99 3D 41 1A 42
+CC 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 21
+8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
+03 46 57 31 84 12 2A 99 00 00 50 99 03 46 57 32
+84 12 2A 99 00 00 5C 99 03 46 57 33 84 12 2A 99
+00 00 68 99 04 47 4F 54 4F 00 87 12 98 97 5E 8A
+30 88 2A 80 D8 98 05 3F 47 4F 54 4F 87 12 B6 98
+5E 8A 30 88 2A 80 2C 8D 07 7B 54 4F 4F 4C 53 7D
+30 4D 94 92 03 41 4E 44 3E FF 30 4D A8 8F 02 2E
+53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40
+80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11
+87 12 34 80 3C 00 E2 84 6A 83 34 80 08 00 E2 84
+34 80 3E 00 E2 84 18 85 8A 80 8A 80 96 81 C2 81
+F8 99 62 80 62 80 2A 80 C8 81 00 82 F2 80 36 83
+34 80 02 00 D8 81 FA 99 2A 80 AE 99 03 2E 52 53
+8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F D4 86
+01 3F 2E 4E 30 40 36 83 34 90 03 50 41 44 85 12
+E4 20 EE 90 05 57 4F 52 44 53 87 12 92 85 34 80
+03 00 2E 85 34 80 D0 21 F2 80 2E 9A 34 80 10 00
+44 80 20 81 DA 8E 34 80 00 00 44 80 34 80 10 00
+44 80 20 81 34 80 00 00 C8 81 44 80 00 82 2E 9A
+20 81 F2 80 AC 81 B8 81 88 9A 62 80 62 80 00 82
+44 80 2E 9A 20 81 F2 80 34 80 02 00 D8 81 6A 9A
+54 80 B8 81 CA 9A 44 80 34 80 02 00 28 81 F2 80
+9A 80 2E 9A 20 81 FA 80 44 80 82 88 34 80 7F 00
+A8 99 50 85 08 81 34 80 0F 00 A8 99 34 80 10 00
+78 80 28 81 2E 85 B4 81 56 9A 62 80 2A 80 D4 8E
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D D0 9A 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D EC 8D 03 55 2E 52
+87 12 B0 80 54 82 34 80 00 00 B4 82 E6 82 00 83
+BC 80 8A 80 28 81 34 80 00 00 D4 9A 2E 85 50 85
+2A 80 B6 8F 04 44 55 4D 50 00 0D 12 12 12 E2 21
+B2 40 10 00 E2 21 2E 5F B0 12 2A 80 78 80 8A 80
+8A 80 36 83 34 80 01 00 28 81 36 83 34 80 FE FF
+A8 99 C8 81 92 85 00 82 34 80 07 00 F0 9A 18 85
+00 82 34 80 10 00 20 81 00 82 C8 81 00 82 08 81
+34 80 03 00 F0 9A EA 81 5C 9B 18 85 18 85 00 82
+34 80 10 00 20 81 00 82 C8 81 00 82 08 81 34 80
+7E 00 E2 9A 32 82 D4 9A E2 84 EA 81 7A 9B 34 80
+10 00 D8 81 44 9B BC 80 3E 82 FA 80 2A 80 98 99
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D 52 92
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 B2 21 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 B2 21
+3E 4F 30 4D 4A 8F 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D D6 9B 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D E4 9B 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D F6 9B 03 46 23 53 29 4F 8F 4E
+00 00 2F 83 8F 49 00 00 2B 42 B2 90 0A 00 E2 21
+01 20 1B 53 0C 43 A2 4F C0 04 92 42 E2 21 C8 04
+9F 42 E4 04 00 00 1E 42 E6 04 3E 90 0A 00 02 28
+3E 50 07 00 3E 50 30 00 CC 4E 90 21 1C 53 0C 9B
+EA 2B 0E 4B 8F 43 00 00 39 40 90 21 75 3F 78 9C
+02 46 2A 00 92 4F 04 00 D4 04 92 4F 02 00 D6 04
+A2 4F E0 04 82 4E E2 04 2F 52 00 3C 00 3C 9F 42
+E6 04 00 00 1E 42 E8 04 30 4D D0 9C 02 46 2E 00
+87 12 54 82 44 80 B0 80 4C 81 7C 9C 34 80 2C 00
+16 83 E6 82 BC 80 24 83 00 83 50 85 18 85 2A 80
+4E 90 03 53 3E 46 2F 83 8F 43 00 00 30 4D 22 9D
+02 32 40 00 2F 83 9F 4E 02 00 00 00 2E 4E 30 4D
+30 9D 09 32 43 4F 4E 53 54 41 4E 54 87 12 F4 8B
+3A 88 3A 88 0C 8C 34 9D 2A 80
+@FFFE
+06 91
+q
diff --git a/MSP_EXP430FR2355_24MHz_921600bds.txt b/MSP_EXP430FR2355_24MHz_921600bds.txt
new file mode 100644 (file)
index 0000000..9ce1966
--- /dev/null
@@ -0,0 +1,478 @@
+@1800
+10 00 5A 84 C0 5D 00 24 05 00 18 00 68 9D 5C 92
+20 84 32 84 00 00 00 00
+@8000
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 80
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 80 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 80 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 80 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 80 02 3E 52 00
+0E 12 3E 4F 30 4D 96 80 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 80 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 80 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 80 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 81 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 80 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 81 02 31 2D 00
+1E 83 30 4D D8 80 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 81
+02 30 3D 00 1E 83 0E 7E 30 4D 60 81 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 81 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 80 01 3E 3E 8F F9 3F 6C 81 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 81 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 81
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 81 03 43 49 42 85 12 3C 21 24 82 02 42
+4C 00 85 12 20 00 2E 82 04 42 41 53 45 00 85 12
+E2 21 C8 80 05 53 54 41 54 45 85 12 BE 21 86 81
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 81 06 55
+4D 2F 4D 4F 44 00 30 12 62 80 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 80 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 82 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 82
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 82 02 23 53 00 87 12 B4 82 EC 82 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 82 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE 81
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 82 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 82 02 55 2E 00 87 12 54 82 34 80 00 00 E6 82
+00 83 5C 85 24 85 2A 80 46 81 02 44 2E 00 87 12
+54 82 78 80 8A 80 4C 81 E6 82 9A 80 24 83 00 83
+5C 85 24 85 2A 80 84 80 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 83 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 80 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC 82 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A 83 05 28 4B 45 59 29 18 42 8C 05 2F 83
+8F 4E 00 00 B0 12 20 84 92 B3 9C 05 FD 27 1E 42
+8C 05 B0 12 32 84 30 4D 10 82 03 4B 45 59 30 40
+BA 83 8E 83 06 41 43 43 45 50 54 00 3C 40 98 84
+3B 40 62 84 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C 84 92 B3 9C 05 05 24 18 42
+8C 05 38 90 0A 00 04 20 21 53 39 40 46 84 4D 15
+A2 B3 9C 05 FD 27 B2 40 11 00 8E 05 D2 C3 03 02
+30 41 B2 40 13 00 8E 05 D2 D3 03 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 84 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+8C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 9C 05 FD 27 82 48 8E 05 30 4D 8E 84 2D 83
+92 B3 9C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 83
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A 83 04 45 4D 49 54 00 30 40
+B8 84 E8 84 04 45 43 48 4F 00 B2 40 82 48 86 84
+82 43 E4 21 30 4D 68 83 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 84 92 43 E4 21 30 4D 1E 83 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E 85 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 85 EF 3F 48 85 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 83 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 85 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 85 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 84 04 28 43 52 29 00 87 12 A2 85
+02 0D 0A 00 5C 85 2A 80 9E 83 02 43 52 00 30 40
+8C 85 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A 85 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 85 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 85 82 53 22 00 87 12
+34 80 A2 85 46 88 D2 85 34 80 22 00 22 86 C0 85
+F2 85 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 85 82 2E 22 00 87 12
+DE 85 34 80 5C 85 46 88 2A 80 00 00 04 57 4F 52
+44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
+09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
+00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
+F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
+20 00 ED 3F 1A 82 C8 21 82 4A CA 21 1E 42 CC 21
+08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
+2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 21 3E 4B
+0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
+1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
+F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
+19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
+8F 4C 00 00 35 40 08 80 34 40 14 80 30 4D 94 81
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 21 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 21
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A 87 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C 87 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A 82 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 84 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 81 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E
+00 00 3E 4F 30 4D 44 88 87 4C 49 54 45 52 41 4C
+82 93 BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40
+34 80 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 85 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 84
+32 82 22 86 A6 88 3D 40 AE 88 EA 22 50 3E B0 88
+0A 4E 3E 4F 3D 40 C6 88 40 27 3D 40 A0 88 1A E2
+BE 21 C1 27 BB 23 C8 88 3E 4F 3D 40 A0 88 C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 8B D5 3F 34 88 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A 80
+9C 88 04 89 B2 41 CA 21 B2 41 C8 21 B2 41 C6 21
+3D 41 30 4D 9C 81 04 51 55 49 54 00 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 82 43 08 18 B0 12
+2A 80 A2 85 05 0D 0A 6F 6B 20 5C 85 BE 84 24 85
+9C 88 DE 80 70 81 A2 85 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 89 34 80 30 FF 80 83 AC 81
+A2 85 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 89
+4A 82 F2 80 B8 81 32 89 A2 85 05 0D 0A 20 20 20
+B4 81 3A 89 E4 83 05 41 42 4F 52 54 3F 40 80 20
+C5 3F B2 40 A6 90 02 91 B2 40 54 84 48 84 B2 40
+3C 21 2A 82 B2 40 B8 84 F0 84 B2 40 8C 85 A0 85
+B2 40 BA 83 E0 83 82 43 1E 99 82 43 2A 99 82 43
+36 99 82 43 66 99 82 43 72 99 82 43 7E 99 B2 40
+0A 00 E2 21 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 84 B0 12 9E 89 A2 B3 9C 05
+FD 27 B2 40 11 00 8E 05 D2 C3 03 02 92 C3 9C 05
+38 40 F0 FF 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 9C 05 F3 23 87 12 A2 85 04 1B 5B 37 6D 00
+5C 85 34 80 E4 21 F2 80 54 80 B8 81 3E 8A A2 85
+05 6C 69 6E 65 3A 5C 85 40 81 36 83 FA 84 5C 85
+A2 85 04 1B 5B 30 6D 00 5C 85 1C 90 C0 85 8C 89
+86 89 86 41 42 4F 52 54 22 00 87 12 DE 85 34 80
+D6 89 46 88 2A 80 7A 86 01 27 87 12 32 82 22 86
+80 86 B8 81 78 8A 2A 80 D0 88 50 82 81 5C 92 42
+C6 21 CA 21 30 4D 00 00 81 5B 82 43 BE 21 30 4D
+7C 8A 01 5D B2 43 BE 21 30 4D 88 8A 83 5B 27 5D
+87 12 6A 8A 34 80 34 80 46 88 46 88 2A 80 BE 4F
+02 00 3E 4F 30 4D 86 85 82 49 53 00 87 12 4A 82
+F2 80 B8 81 D0 8A A0 8A 34 80 AE 8A 46 88 2A 80
+6A 8A AE 8A 2A 80 B8 8A 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 21 FA D0 80 00 00 00 30 4D DA 85
+87 52 45 43 55 52 53 45 19 42 CC 21 99 42 BA 21
+00 00 A2 53 CC 21 30 4D 16 89 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 82 22 86 80 86 54 80 B8 81
+78 8A 70 81 B8 81 34 8B 34 80 34 80 46 88 46 88
+34 80 46 88 46 88 2A 80 82 9F BC 21 25 25 87 12
+A2 85 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 89 9C 8A 81 3B 82 93 BE 21 90 27 87 12
+34 80 2A 80 46 88 38 8B 8A 8A 2A 80 BA 40 87 12
+FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21 30 4D
+56 8B 01 3A 30 12 6C 8B 87 12 FE 85 32 82 22 86
+92 8B 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 21
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49 B8 21
+82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41 30 41
+1C 86 08 56 41 52 49 41 42 4C 45 00 B0 12 88 8B
+BA 40 86 12 FC FF DC 3C 88 88 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 8B BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA 8B 06 43 52 45 41 54 45 00
+B0 12 88 8B BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 88 05 44 4F 45 53 3E 1A 42 BA 21 BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 8C 05 44 45 46
+45 52 B0 12 88 8B BA 40 30 40 FC FF BA 40 28 8C
+FE FF A6 3C F8 8B 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 8B 86 5B 54 48 45 4E 5D 00
+30 4D 78 8C 86 5B 45 4C 53 45 5D 00 87 12 34 80
+01 00 32 82 22 86 8E 88 44 80 B8 81 F6 8C 8A 80
+8A 80 A2 85 04 5B 49 46 5D 00 4E 8C C2 81 B8 8C
+7E 85 36 81 B4 81 EE 8C 8A 80 8A 80 A2 85 06 5B
+45 4C 53 45 5D 00 4E 8C C2 81 DC 8C 7E 85 40 81
+44 80 B8 81 EE 8C 36 81 B4 81 EE 8C A2 85 06 5B
+54 48 45 4E 5D 00 4E 8C C2 81 EE 8C 40 81 54 80
+C2 81 92 8C 2A 80 7E 85 A2 85 05 0D 0A 6B 6F 20
+5C 85 BE 84 D6 84 B4 81 92 8C 84 8C 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C 8D 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 82 22 86 80 86
+6C 80 64 81 2A 80 1C 8D 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 82 22 86 80 86 6C 80 2A 80 50 8D
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 88
+06 4D 41 52 4B 45 52 00 B0 12 88 8B BA 40 84 12
+FC FF BA 40 4E 8D FE FF 9A 42 CE 21 00 00 28 83
+8A 48 02 00 A2 52 CC 21 18 42 B6 21 19 42 B8 21
+A8 49 FE FF 89 48 00 00 30 4D D8 8A 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 21 A2 52 CC 21 BE 40
+B8 81 00 00 2E 53 30 4D 2C 8C 84 45 4C 53 45 00
+A2 52 CC 21 1A 42 CC 21 BA 40 B4 81 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 85 84 54 48 45 4E 00
+9E 42 CC 21 00 00 3E 4F 30 4D 46 8C 85 42 45 47
+49 4E 30 40 80 83 DA 8D 85 55 4E 54 49 4C 39 40
+B8 81 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 8A 85 41 47 41 49 4E 39 40
+B4 81 EF 3F C2 8B 85 57 48 49 4C 45 87 12 A0 8D
+78 80 2A 80 F0 8A 86 52 45 50 45 41 54 00 87 12
+1E 8E E0 8D 2A 80 BA 8D 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 21 1E 42 CC 21 BE 40 C8 81 FE FF
+A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D 60 8D
+84 4C 4F 4F 50 00 39 40 EA 81 A2 52 CC 21 1A 42
+CC 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83
+00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA 83 85 2B 4C 4F 4F 50 39 40 D8 81 E5 3F
+70 8E 85 4C 45 41 56 45 1A 42 CC 21 BA 40 FA 81
+00 00 BA 40 B4 81 02 00 B2 50 06 00 CC 21 A2 53
+00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D B2 8E
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 8E 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 8C 34 80 10 00 34 80 00 00
+C8 81 34 80 00 00 46 88 EA 81 32 8F 80 83 34 80
+CE 21 44 80 F2 80 46 88 FA 80 18 8C 34 80 D0 21
+FA 80 2A 80 68 8A 05 46 4F 52 54 48 84 12 4C 8F
+B2 99 78 92 22 9B 0A 9D BE 9B A4 8E EC 9A 1A 9A
+38 9A 50 9D FA 9A 42 9A 00 00 AE 9B 92 8A 2E 9A
+00 00 18 8E 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 21 38 40 D2 21 B6 3F 0A 8B 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 21 38 40 D0 21
+A3 3F 0A 86 04 4F 4E 4C 59 00 82 43 D2 21 30 4D
+48 8E 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 21 E0 21 30 4D 5C 8F BA 8F CE 8F DE 8F 3A 4E
+82 4A CE 21 2E 4E 82 4E CC 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A 8F 09 50 57 52 5F 53 54 41 54 45 84 12 D6 8F
+5C 92 68 9D 36 8E 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 90 92 42 0C 18 22 90 EF 3F 12 90
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 21 20 90
+92 42 CC 21 22 90 30 4D 26 90 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 21 0E 18 92 42 CC 21 0C 18
+EC 3F 18 8F 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CE FF FA 23 B0 12 92 89 B2 40
+68 9D 0C 18 B2 40 5C 92 0E 18 CA 3F 9C 8D 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 85 06 0D
+1B 5B 37 6D 23 00 5C 85 6A 83 A2 85 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C 85 34 80
+30 FF 80 83 28 81 36 83 A2 85 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 89 74 90 04 57 41 52 4D 00
+30 40 A6 90 EC 8D 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A CC 01 B2 43 06 02 92 D3 04 02
+B2 40 FE FF 02 02 D2 D3 03 02 F2 43 22 02 F2 D3
+26 02 F2 D0 BF 00 47 02 F2 40 40 00 45 02 F2 40
+BF 00 43 02 F2 40 A5 00 A1 01 F2 40 20 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
+B2 40 BE 00 82 01 B2 40 DC 02 84 01 39 40 80 01
+82 43 88 01 92 D2 5E 01 08 18 A2 93 08 18 01 24
+49 07 38 40 59 14 18 83 FE 23 19 83 FA 23 3A 40
+12 91 39 40 CE FF 89 4A 00 00 29 53 FC 23 92 42
+02 18 E2 FF B2 40 18 00 0A 18 39 40 00 20 89 43
+00 00 29 53 39 90 FF 2F FA 2B 31 40 E0 20 3F 40
+80 20 37 40 00 80 36 40 BC 80 35 40 08 80 34 40
+14 80 B2 40 0A 00 E2 21 B2 43 B4 21 92 C3 30 01
+18 42 08 18 F2 B2 21 02 04 20 38 E3 18 53 82 48
+08 18 B2 40 81 00 80 05 92 43 86 05 B2 40 A1 00
+88 05 F2 D0 0C 00 2B 02 92 C3 80 05 92 D3 9A 05
+3D 40 2A 92 18 42 08 18 38 90 0A 00 2E 27 38 90
+16 00 2B 2F 28 93 04 23 F9 26 00 91 84 8F 09 41
+53 53 45 4D 42 4C 45 52 84 12 4C 8F 82 98 2E 99
+36 98 82 99 FC 97 B6 98 00 95 00 00 F2 97 A2 98
+54 98 92 98 10 96 00 00 00 00 94 99 80 8F 9E 90
+85 48 49 32 4C 4F 87 12 80 83 B4 8D 46 88 8A 8A
+8A 8F 38 92 2A 80 06 91 04 43 4F 44 45 00 B0 12
+88 8B A2 82 CC 21 87 12 7A 8B B4 81 70 92 00 00
+07 45 4E 44 43 4F 44 45 87 12 A4 8F 38 8B 2A 80
+2E 92 03 41 53 4D 92 42 E0 21 C0 21 B2 40 3C 92
+E0 21 E5 3F 90 92 06 45 4E 44 41 53 4D 00 92 42
+C0 21 E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+CC 21 BA 40 87 12 00 00 A2 53 CC 21 B2 43 BE 21
+30 40 A4 8F 00 00 05 4C 4F 32 48 49 1A 42 CC 21
+BA 40 B0 12 00 00 BA 40 2A 80 02 00 A2 52 CC 21
+ED 3F 38 40 C6 21 39 48 2A 48 09 5A 1A 52 CA 21
+09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
+CA 21 30 4D B0 12 2A 80 22 86 80 86 C2 81 38 93
+3A 87 B8 81 78 8A 5A 93 3A 93 29 4E 39 90 86 12
+02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
+30 41 39 90 84 12 01 20 2E 52 30 41 19 42 CC 21
+A2 53 CC 21 89 4E 00 00 3E 40 29 00 12 12 CA 21
+92 53 CA 21 B0 12 2A 80 22 86 3A 87 B8 81 8C 93
+82 93 21 53 3E 90 10 00 BB 2D 30 41 8E 93 B2 41
+CA 21 22 D3 30 41 87 12 32 82 02 93 9E 93 82 43
+C4 21 92 42 CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F
+FA 90 23 00 00 00 34 20 92 53 CA 21 B0 12 24 93
+0E 93 04 20 B2 40 00 03 C4 21 27 3C 1E 93 04 20
+B2 40 10 03 C4 21 21 3C 2E 93 04 20 B2 40 20 03
+C4 21 1B 3C 2E 92 04 20 B2 40 20 02 C4 21 15 3C
+3E 92 04 20 B2 40 30 02 C4 21 0F 3C 3E 93 04 20
+B2 40 30 03 C4 21 09 3C B2 40 30 00 C4 21 19 42
+CC 21 A2 53 CC 21 89 4E 00 00 3E 4F 3D 41 30 4D
+FA 90 26 00 00 00 08 20 B2 40 10 02 C4 21 92 53
+CA 21 30 12 0E 94 76 3F FA 90 40 00 00 00 1A 20
+B2 40 20 00 C4 21 92 53 CA 21 B0 12 6C 93 0E 20
+B2 50 10 00 C4 21 3E 40 2B 00 B0 12 6C 93 32 24
+92 92 C6 21 CA 21 02 24 92 53 CA 21 8E 10 82 5E
+C4 21 D3 3F B0 12 6C 93 F9 23 B2 50 10 00 C4 21
+3E 40 28 00 B0 12 24 93 30 12 5E 94 67 3F 87 12
+32 82 02 93 96 94 FE 90 26 00 00 00 3E 40 20 00
+04 20 B2 50 82 00 C4 21 C2 3F B0 12 6C 93 DF 23
+B2 50 80 00 C4 21 3E 40 28 00 B0 12 24 93 B0 12
+5C 93 D5 23 3D 40 78 8A 30 4D 40 84 04 52 45 54
+49 00 87 12 34 80 00 13 46 88 2A 80 34 80 2C 00
+96 93 8E 94 E6 94 2E 4E 1E D2 C4 21 19 42 C2 21
+92 3F E6 92 03 4D 4F 56 84 12 DC 94 00 40 F4 94
+05 4D 4F 56 2E 42 84 12 DC 94 40 40 00 00 03 41
+44 44 84 12 DC 94 00 50 0E 95 05 41 44 44 2E 42
+84 12 DC 94 40 50 1A 95 04 41 44 44 43 00 84 12
+DC 94 00 60 28 95 06 41 44 44 43 2E 42 00 84 12
+DC 94 40 60 CC 94 04 53 55 42 43 00 84 12 DC 94
+00 70 46 95 06 53 55 42 43 2E 42 00 84 12 DC 94
+40 70 54 95 03 53 55 42 84 12 DC 94 00 80 64 95
+05 53 55 42 2E 42 84 12 DC 94 40 80 C8 92 03 43
+4D 50 84 12 DC 94 00 90 7E 95 05 43 4D 50 2E 42
+84 12 DC 94 40 90 B6 92 04 44 41 44 44 00 84 12
+DC 94 00 A0 98 95 06 44 41 44 44 2E 42 00 84 12
+DC 94 40 A0 8A 95 03 42 49 54 84 12 DC 94 00 B0
+B6 95 05 42 49 54 2E 42 84 12 DC 94 40 B0 C2 95
+03 42 49 43 84 12 DC 94 00 C0 D0 95 05 42 49 43
+2E 42 84 12 DC 94 40 C0 DC 95 03 42 49 53 84 12
+DC 94 00 D0 EA 95 05 42 49 53 2E 42 84 12 DC 94
+40 D0 00 00 03 58 4F 52 84 12 DC 94 00 E0 04 96
+05 58 4F 52 2E 42 84 12 DC 94 40 E0 36 95 03 41
+4E 44 84 12 DC 94 00 F0 1E 96 05 41 4E 44 2E 42
+84 12 DC 94 40 F0 32 82 96 93 3C 96 1A 42 C4 21
+B2 F0 70 00 C4 21 8A 10 3A F0 0F 00 82 DA C4 21
+4A 3F 70 95 03 52 52 43 84 12 36 96 00 10 54 96
+05 52 52 43 2E 42 84 12 36 96 40 10 60 96 04 53
+57 50 42 00 84 12 36 96 80 10 6E 96 03 52 52 41
+84 12 36 96 00 11 7C 96 05 52 52 41 2E 42 84 12
+36 96 40 11 88 96 03 53 58 54 84 12 36 96 80 11
+00 00 04 50 55 53 48 00 84 12 36 96 00 12 A2 96
+06 50 55 53 48 2E 42 00 84 12 36 96 40 12 F6 95
+04 43 41 4C 4C 00 84 12 36 96 80 12 34 80 2C 00
+96 93 8E 94 D6 96 59 42 C4 21 5A 42 C5 21 82 4A
+C4 21 BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
+0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
+87 12 6A 83 A2 85 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 E2 89 B0 96 05 50 55 53 48 4D 84 12
+CC 96 00 15 18 97 04 50 4F 50 4D 00 84 12 CC 96
+00 17 32 82 02 93 38 97 82 43 C4 21 92 42 CC 21
+C2 21 A2 53 CC 21 92 53 CA 21 3E 40 2C 00 B0 12
+2A 80 22 86 3A 87 B8 81 78 8A 8E 94 5E 97 0A 4E
+3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 96 96
+04 52 52 43 4D 00 84 12 32 97 50 00 70 97 04 52
+52 41 4D 00 84 12 32 97 50 01 7E 97 04 52 4C 41
+4D 00 84 12 32 97 50 02 8C 97 04 52 52 55 4D 00
+84 12 32 97 50 03 85 12 00 3C 9A 97 03 53 3E 3D
+85 12 00 38 AC 97 02 53 3C 00 85 12 00 34 26 97
+03 30 3E 3D 85 12 00 30 C0 97 02 30 3C 00 85 12
+00 30 00 00 02 55 3C 00 85 12 00 2C D4 97 03 55
+3E 3D 85 12 00 28 CA 97 03 30 3C 3E 85 12 00 24
+E8 97 02 30 3D 00 85 12 00 20 4C 84 02 49 46 00
+1A 42 CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D
+DE 97 04 54 48 45 4E 00 1A 42 CC 21 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
+00 00 30 4D A6 95 04 45 4C 53 45 00 1A 42 CC 21
+BA 40 00 3C 00 00 A2 53 CC 21 2F 83 8F 4A 00 00
+E3 3F 12 98 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 CC 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 21 30 4D
+2A 96 05 41 47 41 49 4E 87 12 A6 97 5A 98 2A 80
+00 00 05 57 48 49 4C 45 87 12 00 98 78 80 2A 80
+B6 97 06 52 45 50 45 41 54 00 87 12 A6 97 5A 98
+18 98 2A 80 00 00 03 4A 4D 50 87 12 6A 8A A6 97
+5A 98 2A 80 3E B0 00 10 03 20 3E E0 00 04 30 4D
+3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
+00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 C4 98
+6A 8A 78 80 5A 98 2A 80 FA 98 3D 41 08 4E 3E 4F
+2A 48 0A 93 04 20 98 42 CC 21 00 00 30 4D 88 43
+00 00 A4 3F C0 96 03 42 57 31 84 12 F8 98 00 00
+16 99 03 42 57 32 84 12 F8 98 00 00 22 99 03 42
+57 33 84 12 F8 98 00 00 3A 99 3D 41 1A 42 CC 21
+28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 21 8E 4A
+00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
+57 31 84 12 38 99 00 00 5E 99 03 46 57 32 84 12
+38 99 00 00 6A 99 03 46 57 33 84 12 38 99 00 00
+76 99 04 47 4F 54 4F 00 87 12 A6 97 6A 8A 3C 88
+2A 80 E6 98 05 3F 47 4F 54 4F 87 12 C4 98 6A 8A
+3C 88 2A 80 38 8D 07 7B 54 4F 4F 4C 53 7D 30 4D
+A2 92 03 41 4E 44 3E FF 30 4D B4 8F 02 2E 53 00
+8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 20
+2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12
+34 80 3C 00 EE 84 6A 83 34 80 08 00 EE 84 34 80
+3E 00 EE 84 24 85 8A 80 8A 80 96 81 C2 81 06 9A
+62 80 62 80 2A 80 C8 81 00 82 F2 80 36 83 34 80
+02 00 D8 81 08 9A 2A 80 BC 99 03 2E 52 53 8F 4E
+FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F E0 86 01 3F
+2E 4E 30 40 36 83 40 90 03 50 41 44 85 12 E4 20
+FA 90 05 57 4F 52 44 53 87 12 9E 85 34 80 03 00
+3A 85 34 80 D0 21 F2 80 3C 9A 34 80 10 00 44 80
+20 81 E6 8E 34 80 00 00 44 80 34 80 10 00 44 80
+20 81 34 80 00 00 C8 81 44 80 00 82 3C 9A 20 81
+F2 80 AC 81 B8 81 96 9A 62 80 62 80 00 82 44 80
+3C 9A 20 81 F2 80 34 80 02 00 D8 81 78 9A 54 80
+B8 81 D8 9A 44 80 34 80 02 00 28 81 F2 80 9A 80
+3C 9A 20 81 FA 80 44 80 8E 88 34 80 7F 00 B6 99
+5C 85 08 81 34 80 0F 00 B6 99 34 80 10 00 78 80
+28 81 3A 85 B4 81 64 9A 62 80 2A 80 E0 8E 03 4D
+41 58 2E 9F 07 38 2F 53 30 4D DE 9A 03 4D 49 4E
+2E 9F F9 3B 3E 4F 30 4D F8 8D 03 55 2E 52 87 12
+B0 80 54 82 34 80 00 00 B4 82 E6 82 00 83 BC 80
+8A 80 28 81 34 80 00 00 E2 9A 3A 85 5C 85 2A 80
+C2 8F 04 44 55 4D 50 00 0D 12 12 12 E2 21 B2 40
+10 00 E2 21 2E 5F B0 12 2A 80 78 80 8A 80 8A 80
+36 83 34 80 01 00 28 81 36 83 34 80 FE FF B6 99
+C8 81 9E 85 00 82 34 80 07 00 FE 9A 24 85 00 82
+34 80 10 00 20 81 00 82 C8 81 00 82 08 81 34 80
+03 00 FE 9A EA 81 6A 9B 24 85 24 85 00 82 34 80
+10 00 20 81 00 82 C8 81 00 82 08 81 34 80 7E 00
+F0 9A 32 82 E2 9A EE 84 EA 81 88 9B 34 80 10 00
+D8 81 52 9B BC 80 3E 82 FA 80 2A 80 A6 99 0A 7B
+46 49 58 50 4F 49 4E 54 7D 00 30 4D 60 92 05 48
+4F 4C 44 53 39 4F 09 5E 18 42 B2 21 19 83 1E 83
+04 28 18 83 E8 49 00 00 F9 3F 82 48 B2 21 3E 4F
+30 4D 56 8F 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D
+E4 9B 02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F
+30 4D F2 9B 02 46 2F 00 1C 4F 02 00 0C EE 0B 43
+18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3
+39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00
+3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40
+20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67
+04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B
+09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44
+34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 30 4D 04 9C 03 46 23 53 29 4F 8F 4E 00 00
+2F 83 8F 49 00 00 2B 42 B2 90 0A 00 E2 21 01 20
+1B 53 0C 43 A2 4F C0 04 92 42 E2 21 C8 04 9F 42
+E4 04 00 00 1E 42 E6 04 3E 90 0A 00 02 28 3E 50
+07 00 3E 50 30 00 CC 4E 90 21 1C 53 0C 9B EA 2B
+0E 4B 8F 43 00 00 39 40 90 21 75 3F 86 9C 02 46
+2A 00 92 4F 04 00 D4 04 92 4F 02 00 D6 04 A2 4F
+E0 04 82 4E E2 04 2F 52 00 3C 00 3C 9F 42 E6 04
+00 00 1E 42 E8 04 30 4D DE 9C 02 46 2E 00 87 12
+54 82 44 80 B0 80 4C 81 8A 9C 34 80 2C 00 16 83
+E6 82 BC 80 24 83 00 83 5C 85 24 85 2A 80 5A 90
+03 53 3E 46 2F 83 8F 43 00 00 30 4D 30 9D 02 32
+40 00 2F 83 9F 4E 02 00 00 00 2E 4E 30 4D 3E 9D
+09 32 43 4F 4E 53 54 41 4E 54 87 12 00 8C 46 88
+46 88 18 8C 42 9D 2A 80
+@FFFE
+12 91
+q
index f308da1..71d41e8 100644 (file)
@@ -156,8 +156,9 @@ SD_CSDIR        .equ P2DIR
             MOV #0FFFCh,&PAOUT  ; all pins with pullup resistors and LED1/LED2 = output low
 
     .IFDEF TERMINAL4WIRES
-; RTS output is wired to the CTS input of UART2USB bridge 
+; RTS output must be wired to the CTS input of UART2USB bridge 
 ; configure RTS as output high to disable RX TERM during start FORTH
+; notice that this pin RTS may be permanently wired on SBWTCK (TEST) without disturbing SBW 2 wires programming
 HANDSHAKOUT .equ    P1OUT
 HANDSHAKIN  .equ    P1IN
 RTS         .equ    1           ; P1.0 bit position
@@ -166,7 +167,7 @@ RTS         .equ    1           ; P1.0 bit position
 
         .IFDEF TERMINAL5WIRES
 
-; CTS input is wired to the RTS output of UART2USB bridge 
+; CTS input must be wired to the RTS output of UART2USB bridge 
 ; configure CTS as input low
 CTS         .equ    2           ; P1.1 bit position
             BIC  #2,&PADIR      ; CTS input pull down resistor
@@ -244,26 +245,114 @@ NWAITS            = 1
 ; (no problem with MSP430FR5xxx families without FLL).
 ; ===================================================================
 
-    .IF FREQUENCY = 0.25
-
-            MOV #0D6h,&CSCTL0          ; preset DCO = 0xD6 (measured value @ 0x180 ; to measure, type 0x180 @ U.)
-
-            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
-; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
-;            MOV     #200Dh,&CSCTL2      ; Set FLLD=2 (DCOCLKCDIV=DCO/4),set FLLN=0Dh
-                                        ; fCOCLKDIV = 32768 x (13+1) = 0.459 MHz ; measured :  MHz
-;            MOV     #200Eh,&CSCTL2      ; Set FLLD=2 (DCOCLKCDIV=DCO/4),set FLLN=0Eh
-                                        ; fCOCLKDIV = 32768 x (14+1) = 0.491 MHz ; measured :  MHz
-            MOV     #200Fh,&CSCTL2      ; Set FLLD=2 (DCOCLKCDIV=DCO/4),set FLLN=0Fh
-                                        ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
-; =====================================
-            MOV     #1,X
-
-    .ELSEIF FREQUENCY = 0.5
-
-            MOV #0D6h,&CSCTL0          ; preset DCO = 0xD6 (measured value @ 0x180 ; to measure, type 0x180 @ U.)
-
-            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;    .IF FREQUENCY = 0.5
+;
+;            MOV #0D6h,&CSCTL0          ; preset DCO = 0xD6 (measured value @ 0x180 ; to measure, type 0x180 @ U.)
+;
+;            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #100Dh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Dh
+;                                        ; fCOCLKDIV = 32768 x (13+1) = 0.459 MHz ; measured :  MHz
+;;            MOV     #100Eh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Eh
+;                                        ; fCOCLKDIV = 32768 x (14+1) = 0.491 MHz ; measured :  MHz
+;            MOV     #100Fh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Fh
+;                                        ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
+;; =====================================
+;            MOV     #8,X
+;
+;    .ELSEIF FREQUENCY = 1
+;
+;            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
+;
+;            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #001Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Dh
+;                                        ; fCOCLKDIV = 32768 x (29+1) = 0.983 MHz ; measured : 0.989MHz
+;            MOV     #001Eh,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Eh
+;                                        ; fCOCLKDIV = 32768 x (30+1) = 1.015 MHz ; measured : 1.013MHz
+;;            MOV     #001Fh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Fh
+;                                        ; fCOCLKDIV = 32768 x (31+1) = 1.049 MHz ; measured : 1.046MHz
+;; =====================================
+;            MOV     #16,X
+;
+;    .ELSEIF FREQUENCY = 2
+;
+;            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
+;
+;            MOV     #0003h,&CSCTL1      ; Set 2MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #003Bh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Bh
+;                                        ; fCOCLKDIV = 32768 x (59+1) = 1.996 MHz ; measured :  MHz
+;;            MOV     #003Ch,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Ch
+;                                        ; fCOCLKDIV = 32768 x (60+1) = 1.998 MHz ; measured :  MHz
+;            MOV     #003Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Dh
+;                                        ; fCOCLKDIV = 32768 x (61+1) = 2.031 MHz ; measured :  MHz
+;; =====================================
+;            MOV     #32,X
+;
+;    .ELSEIF FREQUENCY = 4
+;
+;            MOV #00D2h,&CSCTL0          ; preset DCO = 0xD2 (measured value @ 0x180)
+;
+;            MOV     #0005h,&CSCTL1      ; Set 4MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #0078h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=78h
+;                                        ; fCOCLKDIV = 32768 x (120+1) = 3.965 MHz ; measured : 3.96MHz
+;
+;            MOV     #0079h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=79h
+;                                        ; fCOCLKDIV = 32768 x (121+1) = 3.997 MHz ; measured : 3.99MHz
+;
+;;            MOV     #007Ah,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=7Ah
+;                                        ; fCOCLKDIV = 32768 x (122+1) = 4.030 MHz ; measured : 4.020MHz
+;; =====================================
+;            MOV     #64,X
+;
+;    .ELSEIF FREQUENCY = 8
+;
+;
+;            MOV #00F3h,&CSCTL0          ; preset DCO = 0xF2 (measured value @ 0x180)
+;
+;            MOV     #0007h,&CSCTL1      ; Set 8MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #00F2h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F2h
+;                                        ; fCOCLKDIV = 32768 x (242+1) = 7.963 MHz ; measured : 7.943MHz
+;;            MOV     #00F3h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F3h
+;                                        ; fCOCLKDIV = 32768 x (243+1) = 7.995 MHz ; measured : 7.976MHz
+;            MOV     #00F4h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F4h
+;                                        ; fCOCLKDIV = 32768 x (244+1) = 8.028 MHz ; measured : 8.009MHz
+;
+;;            MOV     #00F5h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F5h
+;                                        ; fCOCLKDIV = 32768 x (245+1) = 8.061 MHz ; measured : 8.042MHz
+;
+;;            MOV     #00F8h,&CSCTL2      ; don't work with cp2102 (by low value)
+;;            MOV     #00FAh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=FAh
+;
+;; =====================================
+;            MOV     #128,X
+;
+;    .ELSEIF FREQUENCY = 16
+;
+;            MOV #0129h,&CSCTL0          ; preset DCO = 0x129 (measured value @ 0x180)
+;
+;            MOV     #000Bh,&CSCTL1      ; Set 16MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #01E6h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
+;                                        ; fCOCLKDIV = 32768 x 486+1) = 15.958 MHz ; measured : 15.92MHz
+;;            MOV     #01E7h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
+;                                        ; fCOCLKDIV = 32768 x 487+1) = 15.991 MHz ; measured : 15.95MHz
+;;            MOV     #01E8h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+;                                        ; fCOCLKDIV = 32768 x 488+1) = 16.023 MHz ; measured : 15.99MHz
+;            MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+;                                        ; fCOCLKDIV = 32768 x 489+1) = 16.056 MHz ; measured : 16.02MHz
+;; =====================================
+;            MOV     #256,X
+
+    .IF FREQUENCY = 0.5
+
+;            MOV     #058h,&CSCTL0       ; preset DCO = measured value @ 0x180 (88)
+;            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+            MOV     #1ED1h,&CSCTL0       ; preset MOD=31, DCO = measured value @ 0x180 (209)
+            MOV     #00B0h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #100Dh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Dh
                                         ; fCOCLKDIV = 32768 x (13+1) = 0.459 MHz ; measured :  MHz
@@ -272,13 +361,14 @@ NWAITS            = 1
             MOV     #100Fh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Fh
                                         ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
 ; =====================================
-            MOV     #2,X
+            MOV     #8,X
 
     .ELSEIF FREQUENCY = 1
 
-            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
-
-            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B1h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B0h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #001Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Dh
                                         ; fCOCLKDIV = 32768 x (29+1) = 0.983 MHz ; measured : 0.989MHz
@@ -287,28 +377,30 @@ NWAITS            = 1
 ;            MOV     #001Fh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Fh
                                         ; fCOCLKDIV = 32768 x (31+1) = 1.049 MHz ; measured : 1.046MHz
 ; =====================================
-            MOV     #4,X
+            MOV     #16,X
 
     .ELSEIF FREQUENCY = 2
 
-            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
-
-            MOV     #0003h,&CSCTL1      ; Set 2MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B3h,&CSCTL1      ; Set 2MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B2h,&CSCTL1      ; Set 2MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #003Bh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Bh
                                         ; fCOCLKDIV = 32768 x (59+1) = 1.996 MHz ; measured :  MHz
-;            MOV     #003Ch,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Ch
+            MOV     #003Ch,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Ch
                                         ; fCOCLKDIV = 32768 x (60+1) = 1.998 MHz ; measured :  MHz
-            MOV     #003Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Dh
+;            MOV     #003Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Dh
                                         ; fCOCLKDIV = 32768 x (61+1) = 2.031 MHz ; measured :  MHz
 ; =====================================
-            MOV     #8,X
+            MOV     #32,X
 
     .ELSEIF FREQUENCY = 4
 
-            MOV #00D2h,&CSCTL0          ; preset DCO = 0xD2 (measured value @ 0x180)
-
-            MOV     #0005h,&CSCTL1      ; Set 4MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B5h,&CSCTL1      ; Set 4MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B4h,&CSCTL1      ; Set 4MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #0078h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=78h
                                         ; fCOCLKDIV = 32768 x (120+1) = 3.965 MHz ; measured : 3.96MHz
@@ -319,14 +411,14 @@ NWAITS            = 1
 ;            MOV     #007Ah,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=7Ah
                                         ; fCOCLKDIV = 32768 x (122+1) = 4.030 MHz ; measured : 4.020MHz
 ; =====================================
-            MOV     #16,X
+            MOV     #64,X
 
     .ELSEIF FREQUENCY = 8
 
-
-            MOV #00F3h,&CSCTL0          ; preset DCO = 0xF2 (measured value @ 0x180)
-
-            MOV     #0007h,&CSCTL1      ; Set 8MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B7h,&CSCTL1      ; Set 8MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B6h,&CSCTL1      ; Set 8MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #00F2h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F2h
                                         ; fCOCLKDIV = 32768 x (242+1) = 7.963 MHz ; measured : 7.943MHz
@@ -342,27 +434,46 @@ NWAITS            = 1
 ;            MOV     #00FAh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=FAh
 
 ; =====================================
-            MOV     #32,X
+            MOV     #128,X
 
-    .ELSEIF FREQUENCY = 16
+    .ELSEIF FREQUENCY = 12
 
-            MOV #0129h,&CSCTL0          ; preset DCO = 0x129 (measured value @ 0x180)
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B9h,&CSCTL1      ; Set 12MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B8h,&CSCTL1      ; Set 12MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #016Ch,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
+                                        ; fCOCLKDIV = 32768 x 364+1) = 12.960 MHz ; measured : 11.xxxMHz
+;            MOV     #016Dh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
+                                        ; fCOCLKDIV = 32768 x 365+1) = 11.993 MHz ; measured : 11.xxxMHz
+            MOV     #016Eh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+                                        ; fCOCLKDIV = 32768 x 366+1) = 12.025 MHz ; measured : 12.xxxMHz
+;            MOV     #016Fh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+                                        ; fCOCLKDIV = 32768 x 367+1) = 12.058 MHz ; measured : 12.xxxMHz
+; =====================================
+            MOV     #192,X
+
+    .ELSEIF FREQUENCY = 16
 
-            MOV     #000Bh,&CSCTL1      ; Set 16MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00BBh,&CSCTL1      ; Set 16MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00BAh,&CSCTL1      ; Set 16MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #01E6h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
                                         ; fCOCLKDIV = 32768 x 486+1) = 15.958 MHz ; measured : 15.92MHz
 ;            MOV     #01E7h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
                                         ; fCOCLKDIV = 32768 x 487+1) = 15.991 MHz ; measured : 15.95MHz
-;            MOV     #01E8h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+            MOV     #01E8h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
                                         ; fCOCLKDIV = 32768 x 488+1) = 16.023 MHz ; measured : 15.99MHz
-            MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+;            MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
                                         ; fCOCLKDIV = 32768 x 489+1) = 16.056 MHz ; measured : 16.02MHz
 ; =====================================
-            MOV     #64,X
+            MOV     #256,X
 
     .ELSEIF
-    .error "bad frequency setting, only 0.5,1,2,4,8,16 MHz"
+    .error "bad frequency setting, only 0.5,1,2,4,8,12,16 MHz"
     .ENDIF
 
     .IFDEF LF_XTAL
@@ -373,18 +484,16 @@ NWAITS            = 1
 ;           MOV     #0100h,&CSCTL4      ; ACLOCK select REFO, MCLK & SMCLK select DCOCLKDIV (default value)
     .ENDIF
 
+            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV preserving a pending request for DEEP_RST
+            CMP #2,&SAVE_SYSRSTIV       ; POWER ON ?
+            JZ      ClockWaitX          ; yes
+            .word   0749h               ; no  RRUM #1,X --> wait anyway 250 ms because FLL lock time = 200 ms
+ClockWaitX  MOV     #5209,Y             ; wait 0.5s before starting after POR
 
-            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV with preserving a pending request for DEEP_RST
-            CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
-            JZ      ClockWaitX      ; yes : wait 800ms to stabilize power source
-            .word   0359h           ; no  : RRUM #1,X --> wait still 400 ms...
-                                    ;       ...because FLL lock time = 280 ms
-
-ClockWaitX  MOV     #-1,Y           ;
-ClockWaitY  SUB     #1,Y            ; 3 cycles loop
-            JNZ     ClockWaitY      ; 65535 = 196605 cycles delay = 200ms @ 1MHz
-            SUB     #1,X            ;
-            JNZ     ClockWaitX      ;
+ClockWaitY  SUB     #1,Y                ;1
+            JNZ     ClockWaitY          ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X                ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX          ; time to stabilize power source ( 500ms )
 
 ;WAITFLL     BIT #300h,&CSCTL7         ; wait FLL lock
 ;            JNZ WAITFLL
index f5d9933..0edb161 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 C8 80 3E 80 04 05 00 18 00 E6 DF A8 D6
-66 C8 78 C8 00 00 00 00
+10 00 5A C8 80 3E 80 04 05 00 18 00 5A E1 4E D6
+20 C8 32 C8 00 00 00 00
 @C400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 D2 C3 02 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 D2 D3 02 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 6E CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 6E CC 2A C4 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 5C C9 24 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+5C C9 24 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 32 C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 98 C8
+3B 40 62 C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 46 C8 4D 15
+A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 D2 C3 02 02
+30 41 B2 40 13 00 0E 05 D2 D3 02 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 C8 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+0C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 1C 05 FD 27 82 48 0E 05 30 4D 8E C8 2D 83
+92 B3 1C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 C7
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A C7 04 45 4D 49 54 00 30 40
+B8 C8 E8 C8 04 45 43 48 4F 00 B2 40 82 48 86 C8
+82 43 E4 21 30 4D 68 C7 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 C8 92 43 E4 21 30 4D 1E C7 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E C9 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 C9 EF 3F 48 C9 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 C7 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 C9 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 C9 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 C8 04 28 43 52 29 00 87 12 A2 C9
+02 0D 0A 00 5C C9 2A C4 9E C7 02 43 52 00 30 40
+8C C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A C9 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 C9 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 C9 82 53 22 00 87 12
+34 C4 A2 C9 46 CC D2 C9 34 C4 22 00 22 CA C0 C9
+F2 C9 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 C9 82 2E 22 00 87 12
+DE C9 34 C4 5C C9 46 CC 2A C4 00 00 04 57 4F 52
 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,342 +111,367 @@ F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C6 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 CB 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 CB 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C6 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C9 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-CC 21 A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 6C CC
-87 4C 49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42
-CC 21 A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 21 B2 4F C8 21 3E 4F 82 43
-CA 21 87 12 6E C6 42 CA DA CC 3D 40 E6 CC E0 22
-3E 4F 3D 41 30 4D E8 CC 0A 4E 3E 4F 3D 40 FE CC
-38 27 3D 40 D4 CC 1A E2 BE 21 B9 27 B3 23 00 CD
-3E 4F 3D 40 D4 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CF CD 3F 5C CC 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 C4 CC 3C CD B2 41 CA 21
-B2 41 C8 21 B2 41 C6 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-BE 21 82 43 08 18 B0 12 2A C4 C2 C9 05 0D 0A 6F
-6B 20 80 C9 5A C6 44 C4 64 C6 32 C8 48 C9 C4 CC
-DE C4 92 C5 C2 C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CE 34 C4 30 FF C6 C7 D2 C5 C2 C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CE 90 C6
-F2 C4 E0 C5 6A CD C2 C9 05 0D 0A 20 20 20 DC C5
-72 CD B2 40 FA D4 5E D5 B2 40 A0 C8 94 C8 B2 40
-04 C9 14 C9 B2 40 AC C9 C0 C9 B2 40 00 C8 26 C8
-82 43 6A DD 82 43 76 DD 82 43 82 DD 82 43 B2 DD
-82 43 BE DD 82 43 CA DD B2 40 0A 00 E2 21 30 41
-2A C8 05 41 42 4F 52 54 3F 40 80 20 A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C8 B0 12 CE CD A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 D2 C3 02 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 C2 C9 04 1B 5B 37
-6D 00 80 C9 34 C4 E4 21 F2 C4 54 C4 E0 C5 74 CE
-C2 C9 05 6C 69 6E 65 3A 80 C9 34 C4 01 00 28 C5
-7C C7 1E C9 80 C9 C2 C9 04 1B 5B 30 6D 00 80 C9
-70 D4 E0 C9 08 CE 02 CE 86 41 42 4F 52 54 22 00
-87 12 FE C9 34 C4 0E CE 6E CC 2A C4 9A CA 01 27
-87 12 6E C6 42 CA A0 CA E0 C5 AE CE 2A C4 08 CD
-96 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
-82 43 BE 21 30 4D B2 CE 01 5D B2 43 BE 21 30 4D
-BE CE 83 5B 27 5D 87 12 A0 CE 34 C4 34 C4 6E CC
-6E CC 2A C4 BE 4F 02 00 3E 4F 30 4D A6 C9 82 49
-53 00 87 12 90 C6 F2 C4 E0 C5 06 CF D6 CE 34 C4
-E4 CE 6E CC 2A C4 A0 CE E4 CE 2A C4 EE CE 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
-00 00 30 4D FA C9 87 52 45 43 55 52 53 45 19 42
-CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 4E CD
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C6 42 CA
-A0 CA 54 C4 E0 C5 AE CE 92 C5 E0 C5 6A CF 34 C4
-34 C4 6E CC 6E CC 34 C4 6E CC 6E CC 2A C4 82 9F
-BC 21 34 25 87 12 C2 C9 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CE 87 12 1E CA 6E C6
-42 CA 94 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49
-B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41
-30 41 3C CA 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CF BA 40 86 12 FC FF 05 3D B0 CC 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CF BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CF 06 43 52 45 41 54
-45 00 B0 12 8A CF BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CD 05 44 4F 45 53 3E 1A 42 BA 21 BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 D0 05 44
-45 46 45 52 B0 12 8A CF BA 40 30 40 FC FF BA 40
-2A D0 FE FF CF 3C D2 CE 01 3A B0 12 8A CF BA 40
-87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21
-30 4D 48 D0 81 3B 82 93 BE 21 24 27 87 12 34 C4
-2A C4 6E CC 6E CF C0 CE 2A C4 FA CF 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 D0 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE D0 86 5B
-45 4C 53 45 5D 00 87 12 34 C4 01 00 6E C6 42 CA
-B6 CC 44 C4 E0 C5 34 D1 8A C4 8A C4 C2 C9 04 5B
-49 46 5D 00 84 D0 EA C5 F2 D0 00 CB 2C C5 DC C5
-28 D1 8A C4 8A C4 C2 C9 06 5B 45 4C 53 45 5D 00
-84 D0 EA C5 16 D1 00 CB B8 D0 44 C4 E0 C5 12 D1
-2C C5 DC C5 28 D1 C2 C9 06 5B 54 48 45 4E 5D 00
-84 D0 EA C5 28 D1 B8 D0 54 C4 EA C5 30 D1 2A C4
-DC C5 CC D0 00 CB C2 C9 05 0D 0A 6B 6F 20 80 C9
-5A C6 44 C4 64 C6 32 C8 4A D1 82 43 CA 21 82 4E
-C6 21 B2 4F C8 21 3E 4F 3D 40 CC D0 30 4D BE D0
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 D1
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 86 C5 2A C4 70 D1 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C6 42 CA A0 CA 6C C4
-2A C4 A4 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CC 06 4D 41 52 4B 45 52 00 B0 12 8A CF
-BA 40 84 12 FC FF BA 40 A2 D1 FE FF 9A 42 CE 21
-00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
-19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0E CF
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
-CC 21 BE 40 E0 C5 00 00 2E 53 30 4D 2E D0 84 45
-4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C9 84 54
-48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 7C D0
-85 42 45 47 49 4E 30 40 C6 C7 2E D2 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 CC 21 1A 42 CC 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F C4 CF 85 57 48 49 4C 45
-87 12 F4 D1 78 C4 2A C4 26 CF 86 52 45 50 45 41
-54 00 87 12 72 D2 34 D2 2A C4 0E D2 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D B4 D1 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C8 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F C4 D2 85 4C 45 41 56 45 1A 42 CC 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 06 D3 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D2 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 D0 34 C4 10 00
-34 C4 00 00 F0 C5 34 C4 00 00 6E CC 12 C6 86 D3
-C6 C7 34 C4 CE 21 44 C4 F2 C4 6E CC FA C4 1A D0
-34 C4 D0 21 FA C4 2A C4 9E CE 05 46 4F 52 54 48
-84 12 A0 D3 EE D6 C4 D6 5C DF AA D3 AC D6 F8 D2
-26 DF 5E DE 94 D4 AE D4 34 DF 7C DE 46 C5 F2 DD
-C8 CE 72 DE 00 00 6C D2 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 21 38 40 D2 21 B6 3F 40 CF 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
-38 40 D0 21 A3 3F 2A CA 04 4F 4E 4C 59 00 82 43
-D2 21 30 4D 9C D2 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 21 E0 21 30 4D B0 D3 0E D4 22 D4
-32 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D3 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D4 A8 D6 E6 DF 8A D2 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D4 92 42 0C 18 76 D4
-EF 3F 66 D4 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 21 74 D4 92 42 CC 21 76 D4 30 4D 7A D4 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
-CC 21 0C 18 EC 3F 6C D3 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
-C2 CD B2 40 E6 DF 0C 18 B2 40 A8 D6 0E 18 CA 3F
-F0 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C9 06 0D 1B 5B 37 6D 23 00 80 C9 B0 C7 C2 C9
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C9 34 C4 30 FF C6 C7
-28 C5 7C C7 C2 C9 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CE C8 D4 04 57 41 52 4D 00 30 40 FA D4
-40 D2 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A CC 01 B2 43 06 02 B2 D0 03 00 04 02 B2 40
-FC FF 02 02 92 D3 02 02 F2 43 22 02 F2 D3 26 02
-F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
-B2 40 00 A5 60 01 B2 40 29 01 80 01 B2 40 0B 00
-82 01 B2 40 E9 01 84 01 39 40 40 00 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 6E D5 39 40 DA FF
-89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40
-18 00 0A 18 39 40 00 20 89 43 00 00 29 53 39 90
-FF 2F FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
-36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00
-E2 21 B2 43 B4 21 92 C3 30 01 18 42 08 18 F2 B0
-10 00 00 02 04 20 38 E3 18 53 82 48 08 18 B2 40
-81 00 00 05 B2 42 06 05 B2 40 A1 F7 08 05 F2 D0
-30 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40 76 D6
-18 42 08 18 38 90 0A 00 32 27 38 90 16 00 2F 2F
-28 93 08 23 FD 26 5C D5 D8 D3 09 41 53 53 45 4D
-42 4C 45 52 84 12 A0 D3 CE DC 7A DD 82 DC CE DD
-48 DC 02 DD 4C D9 00 00 3E DC EE DC A0 DC DE DC
-5C DA 00 00 00 00 E0 DD D4 D3 F2 D4 85 48 49 32
-4C 4F 87 12 C6 C7 08 D2 6E CC C0 CE DE D3 84 D6
-2A C4 62 D5 04 43 4F 44 45 00 B0 12 8A CF A2 82
-CC 21 87 12 5C D0 DC C5 BC D6 00 00 07 45 4E 44
-43 4F 44 45 87 12 F8 D3 6E CF 2A C4 7A D6 03 41
-53 4D 92 42 E0 21 C0 21 B2 40 88 D6 E0 21 E5 3F
-DC D6 06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21
-E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40
-87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40 F8 D3
-00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40 B0 12
-00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F 38 40
-C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A 03 24
-7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21 30 4D
-B0 12 2A C4 42 CA A0 CA EA C5 84 D7 62 CB E0 C5
-AE CE A6 D7 86 D7 29 4E 39 90 86 12 02 20 2E 53
-30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
-84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53 CC 21
-89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53 CA 21
-B0 12 2A C4 42 CA 62 CB E0 C5 D8 D7 CE D7 21 53
-3E 90 10 00 BB 2D 30 41 DA D7 B2 41 CA 21 22 D3
-30 41 87 12 6E C6 4E D7 EA D7 82 43 C4 21 92 42
-CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90 23 00
-00 00 34 20 92 53 CA 21 B0 12 70 D7 0E 93 04 20
-B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40 10 03
-C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21 1B 3C
-2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92 04 20
-B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40 30 03
-C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21 A2 53
-CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
-00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12
-5A D8 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
-C4 21 92 53 CA 21 B0 12 B8 D7 0E 20 B2 50 10 00
-C4 21 3E 40 2B 00 B0 12 B8 D7 32 24 92 92 C6 21
-CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21 D3 3F
-B0 12 B8 D7 F9 23 B2 50 10 00 C4 21 3E 40 28 00
-B0 12 70 D7 30 12 AA D8 67 3F 87 12 6E C6 4E D7
-E2 D8 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
-82 00 C4 21 C2 3F B0 12 B8 D7 DF 23 B2 50 80 00
-C4 21 3E 40 28 00 B0 12 70 D7 B0 12 A8 D7 D5 23
-3D 40 AE CE 30 4D 8C C8 04 52 45 54 49 00 87 12
-34 C4 00 13 6E CC 2A C4 34 C4 2C 00 E2 D7 DA D8
-32 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 32 D7
-03 4D 4F 56 84 12 28 D9 00 40 40 D9 05 4D 4F 56
-2E 42 84 12 28 D9 40 40 00 00 03 41 44 44 84 12
-28 D9 00 50 5A D9 05 41 44 44 2E 42 84 12 28 D9
-40 50 66 D9 04 41 44 44 43 00 84 12 28 D9 00 60
-74 D9 06 41 44 44 43 2E 42 00 84 12 28 D9 40 60
-18 D9 04 53 55 42 43 00 84 12 28 D9 00 70 92 D9
-06 53 55 42 43 2E 42 00 84 12 28 D9 40 70 A0 D9
-03 53 55 42 84 12 28 D9 00 80 B0 D9 05 53 55 42
-2E 42 84 12 28 D9 40 80 14 D7 03 43 4D 50 84 12
-28 D9 00 90 CA D9 05 43 4D 50 2E 42 84 12 28 D9
-40 90 02 D7 04 44 41 44 44 00 84 12 28 D9 00 A0
-E4 D9 06 44 41 44 44 2E 42 00 84 12 28 D9 40 A0
-D6 D9 03 42 49 54 84 12 28 D9 00 B0 02 DA 05 42
-49 54 2E 42 84 12 28 D9 40 B0 0E DA 03 42 49 43
-84 12 28 D9 00 C0 1C DA 05 42 49 43 2E 42 84 12
-28 D9 40 C0 28 DA 03 42 49 53 84 12 28 D9 00 D0
-36 DA 05 42 49 53 2E 42 84 12 28 D9 40 D0 00 00
-03 58 4F 52 84 12 28 D9 00 E0 50 DA 05 58 4F 52
-2E 42 84 12 28 D9 40 E0 82 D9 03 41 4E 44 84 12
-28 D9 00 F0 6A DA 05 41 4E 44 2E 42 84 12 28 D9
-40 F0 6E C6 E2 D7 88 DA 1A 42 C4 21 B2 F0 70 00
-C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F BC D9
-03 52 52 43 84 12 82 DA 00 10 A0 DA 05 52 52 43
-2E 42 84 12 82 DA 40 10 AC DA 04 53 57 50 42 00
-84 12 82 DA 80 10 BA DA 03 52 52 41 84 12 82 DA
-00 11 C8 DA 05 52 52 41 2E 42 84 12 82 DA 40 11
-D4 DA 03 53 58 54 84 12 82 DA 80 11 00 00 04 50
-55 53 48 00 84 12 82 DA 00 12 EE DA 06 50 55 53
-48 2E 42 00 84 12 82 DA 40 12 42 DA 04 43 41 4C
-4C 00 84 12 82 DA 80 12 34 C4 2C 00 E2 D7 DA D8
-22 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90
-00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
-10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C7
-C2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-14 CE FC DA 05 50 55 53 48 4D 84 12 18 DB 00 15
-64 DB 04 50 4F 50 4D 00 84 12 18 DB 00 17 6E C6
-4E D7 84 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53
-CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4 42 CA
-62 CB E0 C5 AE CE DA D8 AA DB 0A 4E 3E 4F 1A 83
-2A 92 CA 2F 8A 10 5A 06 6F 3F E2 DA 04 52 52 43
-4D 00 84 12 7E DB 50 00 BC DB 04 52 52 41 4D 00
-84 12 7E DB 50 01 CA DB 04 52 4C 41 4D 00 84 12
-7E DB 50 02 D8 DB 04 52 52 55 4D 00 84 12 7E DB
-50 03 85 12 00 3C E6 DB 03 53 3E 3D 85 12 00 38
-F8 DB 02 53 3C 00 85 12 00 34 72 DB 03 30 3E 3D
-85 12 00 30 0C DC 02 30 3C 00 85 12 00 30 00 00
-02 55 3C 00 85 12 00 2C 20 DC 03 55 3E 3D 85 12
-00 28 16 DC 03 30 3C 3E 85 12 00 24 34 DC 02 30
-3D 00 85 12 00 20 98 C8 02 49 46 00 1A 42 CC 21
-8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 2A DC 04 54
-48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
-F2 D9 04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C
-00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F 5E DC
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21
-2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 CC 21 30 4D 76 DA 05 41
-47 41 49 4E 87 12 F2 DB A6 DC 2A C4 00 00 05 57
-48 49 4C 45 87 12 4C DC 78 C4 2A C4 02 DC 06 52
-45 50 45 41 54 00 87 12 F2 DB A6 DC 64 DC 2A C4
-00 00 03 4A 4D 50 87 12 A0 CE F2 DB A6 DC 2A C4
-3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
-06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
-00 00 04 3F 4A 4D 50 00 87 12 10 DD A0 CE 78 C4
-A6 DC 2A C4 46 DD 3D 41 08 4E 3E 4F 2A 48 0A 93
-04 20 98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F
-0C DB 03 42 57 31 84 12 44 DD 00 00 62 DD 03 42
-57 32 84 12 44 DD 00 00 6E DD 03 42 57 33 84 12
-44 DD 00 00 86 DD 3D 41 1A 42 CC 21 28 4E 08 93
-08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00 3E 4F
-30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
-84 DD 00 00 AA DD 03 46 57 32 84 12 84 DD 00 00
-B6 DD 03 46 57 33 84 12 84 DD 00 00 C2 DD 04 47
-4F 54 4F 00 87 12 F2 DB A0 CE 64 CC 2A C4 32 DD
-05 3F 47 4F 54 4F 87 12 10 DD A0 CE 64 CC 2A C4
-8C D1 09 7B 55 54 49 4C 49 54 59 7D 30 4D 08 D4
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 C4 3C 00 12 C9 B0 C7 34 C4 08 00
-12 C9 34 C4 3E 00 12 C9 48 C9 8A C4 8A C4 C4 C5
-EA C5 4A DE 62 C4 62 C4 2A C4 F0 C5 28 C6 F2 C4
-7C C7 34 C4 02 00 00 C6 4C DE 2A C4 00 DE 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F
-08 CB 01 3F 2E 4E 30 40 7C C7 56 D5 05 57 4F 52
-44 53 87 12 BE C9 34 C4 03 00 5E C9 34 C4 D0 21
-F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 3A D3 34 C4
-00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00
-F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5 E0 C5
-D0 DE 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5 F2 C4
-34 C4 02 00 00 C6 B2 DE 54 C4 E0 C5 12 DF 44 C4
-34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5 FA C4
-44 C4 B6 CC 34 C4 7F 00 36 C5 80 C9 08 C5 34 C4
-0F 00 36 C5 34 C4 10 00 78 C4 28 C5 5E C9 DC C5
-9E DE 62 C4 2A C4 34 D3 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D 18 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 4C D2 03 55 2E 52 87 12 B0 C4 9A C6 34 C4
-00 00 FA C6 2C C7 46 C7 BC C4 8A C4 28 C5 34 C4
-00 00 1C DF 5E C9 80 C9 2A C4 16 D4 04 44 55 4D
-50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F
-B0 12 2A C4 78 C4 8A C4 8A C4 7C C7 34 C4 01 00
-28 C5 7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9 28 C6
-34 C4 07 00 38 DF 48 C9 28 C6 34 C4 10 00 20 C5
-28 C6 F0 C5 28 C6 08 C5 34 C4 03 00 38 DF 12 C6
-A4 DF 48 C9 48 C9 28 C6 34 C4 10 00 20 C5 28 C6
-F0 C5 28 C6 08 C5 34 C4 7E 00 2A DF 6E C6 1C DF
-12 C9 12 C6 C2 DF 34 C4 10 00 00 C6 8C DF BC C4
-84 C6 FA C4 2A C4
+8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 94 C5
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 21 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 21
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A CB 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C CB 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A C6 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 C8 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 C5 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E
+00 00 3E 4F 30 4D 44 CC 87 4C 49 54 45 52 41 4C
+82 93 BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40
+34 C4 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 C9 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 C8
+32 C6 22 CA A6 CC 3D 40 AE CC EA 22 50 3E B0 CC
+0A 4E 3E 4F 3D 40 C6 CC 40 27 3D 40 A0 CC 1A E2
+BE 21 C1 27 BB 23 C8 CC 3E 4F 3D 40 A0 CC C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 CF D5 3F 34 CC 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4
+9C CC 04 CD B2 41 CA 21 B2 41 C8 21 B2 41 C6 21
+3D 41 30 4D 9C C5 04 51 55 49 54 00 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 82 43 08 18 B0 12
+2A C4 A2 C9 05 0D 0A 6F 6B 20 5C C9 BE C8 24 C9
+9C CC DE C4 70 C5 A2 C9 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 CD 34 C4 30 FF 80 C7 AC C5
+A2 C9 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 CD
+4A C6 F2 C4 B8 C5 32 CD A2 C9 05 0D 0A 20 20 20
+B4 C5 3A CD E4 C7 05 41 42 4F 52 54 3F 40 80 20
+C5 3F B2 40 A6 D4 02 D5 B2 40 54 C8 48 C8 B2 40
+3C 21 2A C6 B2 40 B8 C8 F0 C8 B2 40 8C C9 A0 C9
+B2 40 BA C7 E0 C7 82 43 10 DD 82 43 1C DD 82 43
+28 DD 82 43 58 DD 82 43 64 DD 82 43 70 DD B2 40
+0A 00 E2 21 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 C8 B0 12 9E CD A2 B3 1C 05
+FD 27 B2 40 11 00 0E 05 D2 C3 02 02 92 C3 1C 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 1C 05 F3 23 87 12 A2 C9 04 1B 5B 37 6D 00
+5C C9 34 C4 E4 21 F2 C4 54 C4 B8 C5 3E CE A2 C9
+05 6C 69 6E 65 3A 5C C9 40 C5 36 C7 FA C8 5C C9
+A2 C9 04 1B 5B 30 6D 00 5C C9 1C D4 C0 C9 8C CD
+86 CD 86 41 42 4F 52 54 22 00 87 12 DE C9 34 C4
+D6 CD 46 CC 2A C4 7A CA 01 27 87 12 32 C6 22 CA
+80 CA B8 C5 78 CE 2A C4 D0 CC 50 C6 81 5C 92 42
+C6 21 CA 21 30 4D 00 00 81 5B 82 43 BE 21 30 4D
+7C CE 01 5D B2 43 BE 21 30 4D 88 CE 83 5B 27 5D
+87 12 6A CE 34 C4 34 C4 46 CC 46 CC 2A C4 BE 4F
+02 00 3E 4F 30 4D 86 C9 82 49 53 00 87 12 4A C6
+F2 C4 B8 C5 D0 CE A0 CE 34 C4 AE CE 46 CC 2A C4
+6A CE AE CE 2A C4 B8 CE 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 21 FA D0 80 00 00 00 30 4D DA C9
+87 52 45 43 55 52 53 45 19 42 CC 21 99 42 BA 21
+00 00 A2 53 CC 21 30 4D 16 CD 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 C6 22 CA 80 CA 54 C4 B8 C5
+78 CE 70 C5 B8 C5 34 CF 34 C4 34 C4 46 CC 46 CC
+34 C4 46 CC 46 CC 2A C4 82 9F BC 21 25 25 87 12
+A2 C9 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 CD 9C CE 81 3B 82 93 BE 21 90 27 87 12
+34 C4 2A C4 46 CC 38 CF 8A CE 2A C4 BA 40 87 12
+FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21 30 4D
+56 CF 01 3A 30 12 6C CF 87 12 FE C9 32 C6 22 CA
+92 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 21
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49 B8 21
+82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41 30 41
+1C CA 08 56 41 52 49 41 42 4C 45 00 B0 12 88 CF
+BA 40 86 12 FC FF DC 3C 88 CC 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 CF BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA CF 06 43 52 45 41 54 45 00
+B0 12 88 CF BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 CC 05 44 4F 45 53 3E 1A 42 BA 21 BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 D0 05 44 45 46
+45 52 B0 12 88 CF BA 40 30 40 FC FF BA 40 28 D0
+FE FF A6 3C F8 CF 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 CF 86 5B 54 48 45 4E 5D 00
+30 4D 78 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C4
+01 00 32 C6 22 CA 8E CC 44 C4 B8 C5 F6 D0 8A C4
+8A C4 A2 C9 04 5B 49 46 5D 00 4E D0 C2 C5 B8 D0
+7E C9 36 C5 B4 C5 EE D0 8A C4 8A C4 A2 C9 06 5B
+45 4C 53 45 5D 00 4E D0 C2 C5 DC D0 7E C9 40 C5
+44 C4 B8 C5 EE D0 36 C5 B4 C5 EE D0 A2 C9 06 5B
+54 48 45 4E 5D 00 4E D0 C2 C5 EE D0 40 C5 54 C4
+C2 C5 92 D0 2A C4 7E C9 A2 C9 05 0D 0A 6B 6F 20
+5C C9 BE C8 D6 C8 B4 C5 92 D0 84 D0 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C D1 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 C6 22 CA 80 CA
+6C C4 64 C5 2A C4 1C D1 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 C6 22 CA 80 CA 6C C4 2A C4 50 D1
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 CC
+06 4D 41 52 4B 45 52 00 B0 12 88 CF BA 40 84 12
+FC FF BA 40 4E D1 FE FF 9A 42 CE 21 00 00 28 83
+8A 48 02 00 A2 52 CC 21 18 42 B6 21 19 42 B8 21
+A8 49 FE FF 89 48 00 00 30 4D D8 CE 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 21 A2 52 CC 21 BE 40
+B8 C5 00 00 2E 53 30 4D 2C D0 84 45 4C 53 45 00
+A2 52 CC 21 1A 42 CC 21 BA 40 B4 C5 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 C9 84 54 48 45 4E 00
+9E 42 CC 21 00 00 3E 4F 30 4D 46 D0 85 42 45 47
+49 4E 30 40 80 C7 DA D1 85 55 4E 54 49 4C 39 40
+B8 C5 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 CE 85 41 47 41 49 4E 39 40
+B4 C5 EF 3F C2 CF 85 57 48 49 4C 45 87 12 A0 D1
+78 C4 2A C4 F0 CE 86 52 45 50 45 41 54 00 87 12
+1E D2 E0 D1 2A C4 BA D1 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 21 1E 42 CC 21 BE 40 C8 C5 FE FF
+A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D 60 D1
+84 4C 4F 4F 50 00 39 40 EA C5 A2 52 CC 21 1A 42
+CC 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83
+00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA C7 85 2B 4C 4F 4F 50 39 40 D8 C5 E5 3F
+70 D2 85 4C 45 41 56 45 1A 42 CC 21 BA 40 FA C5
+00 00 BA 40 B4 C5 02 00 B2 50 06 00 CC 21 A2 53
+00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D B2 D2
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 D2 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 D0 34 C4 10 00 34 C4 00 00
+C8 C5 34 C4 00 00 46 CC EA C5 32 D3 80 C7 34 C4
+CE 21 44 C4 F2 C4 46 CC FA C4 18 D0 34 C4 D0 21
+FA C4 2A C4 68 CE 05 46 4F 52 54 48 84 12 4C D3
+A4 DD 6A D6 14 DF FC E0 B0 DF A4 D2 DE DE 0C DE
+2A DE 42 E1 EC DE 34 DE 00 00 A0 DF 92 CE 20 DE
+00 00 18 D2 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 21 38 40 D2 21 B6 3F 0A CF 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 21 38 40 D0 21
+A3 3F 0A CA 04 4F 4E 4C 59 00 82 43 D2 21 30 4D
+48 D2 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 21 E0 21 30 4D 5C D3 BA D3 CE D3 DE D3 3A 4E
+82 4A CE 21 2E 4E 82 4E CC 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A D3 09 50 57 52 5F 53 54 41 54 45 84 12 D6 D3
+4E D6 5A E1 36 D2 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 D4 92 42 0C 18 22 D4 EF 3F 12 D4
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 21 20 D4
+92 42 CC 21 22 D4 30 4D 26 D4 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 21 0E 18 92 42 CC 21 0C 18
+EC 3F 18 D3 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 DA FF FA 23 B0 12 92 CD B2 40
+5A E1 0C 18 B2 40 4E D6 0E 18 CA 3F 9C D1 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 C9 06 0D
+1B 5B 37 6D 23 00 5C C9 6A C7 A2 C9 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C C9 34 C4
+30 FF 80 C7 28 C5 36 C7 A2 C9 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 CD 74 D4 04 57 41 52 4D 00
+30 40 A6 D4 EC D1 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A CC 01 B2 43 06 02 B2 D0 03 00
+04 02 B2 40 FC FF 02 02 92 D3 02 02 F2 43 22 02
+F2 D3 26 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
+B2 40 BA 00 82 01 B2 40 E8 01 84 01 39 40 00 01
+82 43 88 01 92 D2 5E 01 08 18 A2 93 08 18 01 24
+49 07 38 40 59 14 18 83 FE 23 19 83 FA 23 3A 40
+12 D5 39 40 DA FF 89 4A 00 00 29 53 FC 23 92 42
+02 18 E4 FF B2 40 18 00 0A 18 39 40 00 20 89 43
+00 00 29 53 39 90 FF 2F FA 2B 31 40 E0 20 3F 40
+80 20 37 40 00 C4 36 40 BC C4 35 40 08 C4 34 40
+14 C4 B2 40 0A 00 E2 21 B2 43 B4 21 92 C3 30 01
+18 42 08 18 F2 B0 10 00 00 02 04 20 38 E3 18 53
+82 48 08 18 B2 40 81 00 00 05 B2 42 06 05 B2 40
+A1 F7 08 05 F2 D0 30 00 0A 02 92 C3 00 05 92 D3
+1A 05 3D 40 1C D6 18 42 08 18 38 90 0A 00 35 27
+38 90 16 00 32 2F 28 93 0B 23 00 27 00 D5 84 D3
+09 41 53 53 45 4D 42 4C 45 52 84 12 4C D3 74 DC
+20 DD 28 DC 74 DD EE DB A8 DC F2 D8 00 00 E4 DB
+94 DC 46 DC 84 DC 02 DA 00 00 00 00 86 DD 80 D3
+9E D4 85 48 49 32 4C 4F 87 12 80 C7 B4 D1 46 CC
+8A CE 8A D3 2A D6 2A C4 06 D5 04 43 4F 44 45 00
+B0 12 88 CF A2 82 CC 21 87 12 7A CF B4 C5 62 D6
+00 00 07 45 4E 44 43 4F 44 45 87 12 A4 D3 38 CF
+2A C4 20 D6 03 41 53 4D 92 42 E0 21 C0 21 B2 40
+2E D6 E0 21 E5 3F 82 D6 06 45 4E 44 41 53 4D 00
+92 42 C0 21 E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E
+1A 42 CC 21 BA 40 87 12 00 00 A2 53 CC 21 B2 43
+BE 21 30 40 A4 D3 00 00 05 4C 4F 32 48 49 1A 42
+CC 21 BA 40 B0 12 00 00 BA 40 2A C4 02 00 A2 52
+CC 21 ED 3F 38 40 C6 21 39 48 2A 48 09 5A 1A 52
+CA 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
+82 4A CA 21 30 4D B0 12 2A C4 22 CA 80 CA C2 C5
+2A D7 3A CB B8 C5 78 CE 4C D7 2C D7 29 4E 39 90
+86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
+02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
+CC 21 A2 53 CC 21 89 4E 00 00 3E 40 29 00 12 12
+CA 21 92 53 CA 21 B0 12 2A C4 22 CA 3A CB B8 C5
+7E D7 74 D7 21 53 3E 90 10 00 BB 2D 30 41 80 D7
+B2 41 CA 21 22 D3 30 41 87 12 32 C6 F4 D6 90 D7
+82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21 0A 4E
+3E 4F FA 90 23 00 00 00 34 20 92 53 CA 21 B0 12
+16 D7 0E 93 04 20 B2 40 00 03 C4 21 27 3C 1E 93
+04 20 B2 40 10 03 C4 21 21 3C 2E 93 04 20 B2 40
+20 03 C4 21 1B 3C 2E 92 04 20 B2 40 20 02 C4 21
+15 3C 3E 92 04 20 B2 40 30 02 C4 21 0F 3C 3E 93
+04 20 B2 40 30 03 C4 21 09 3C B2 40 30 00 C4 21
+19 42 CC 21 A2 53 CC 21 89 4E 00 00 3E 4F 3D 41
+30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 C4 21
+92 53 CA 21 30 12 00 D8 76 3F FA 90 40 00 00 00
+1A 20 B2 40 20 00 C4 21 92 53 CA 21 B0 12 5E D7
+0E 20 B2 50 10 00 C4 21 3E 40 2B 00 B0 12 5E D7
+32 24 92 92 C6 21 CA 21 02 24 92 53 CA 21 8E 10
+82 5E C4 21 D3 3F B0 12 5E D7 F9 23 B2 50 10 00
+C4 21 3E 40 28 00 B0 12 16 D7 30 12 50 D8 67 3F
+87 12 32 C6 F4 D6 88 D8 FE 90 26 00 00 00 3E 40
+20 00 04 20 B2 50 82 00 C4 21 C2 3F B0 12 5E D7
+DF 23 B2 50 80 00 C4 21 3E 40 28 00 B0 12 16 D7
+B0 12 4E D7 D5 23 3D 40 78 CE 30 4D 40 C8 04 52
+45 54 49 00 87 12 34 C4 00 13 46 CC 2A C4 34 C4
+2C 00 88 D7 80 D8 D8 D8 2E 4E 1E D2 C4 21 19 42
+C2 21 92 3F D8 D6 03 4D 4F 56 84 12 CE D8 00 40
+E6 D8 05 4D 4F 56 2E 42 84 12 CE D8 40 40 00 00
+03 41 44 44 84 12 CE D8 00 50 00 D9 05 41 44 44
+2E 42 84 12 CE D8 40 50 0C D9 04 41 44 44 43 00
+84 12 CE D8 00 60 1A D9 06 41 44 44 43 2E 42 00
+84 12 CE D8 40 60 BE D8 04 53 55 42 43 00 84 12
+CE D8 00 70 38 D9 06 53 55 42 43 2E 42 00 84 12
+CE D8 40 70 46 D9 03 53 55 42 84 12 CE D8 00 80
+56 D9 05 53 55 42 2E 42 84 12 CE D8 40 80 BA D6
+03 43 4D 50 84 12 CE D8 00 90 70 D9 05 43 4D 50
+2E 42 84 12 CE D8 40 90 A8 D6 04 44 41 44 44 00
+84 12 CE D8 00 A0 8A D9 06 44 41 44 44 2E 42 00
+84 12 CE D8 40 A0 7C D9 03 42 49 54 84 12 CE D8
+00 B0 A8 D9 05 42 49 54 2E 42 84 12 CE D8 40 B0
+B4 D9 03 42 49 43 84 12 CE D8 00 C0 C2 D9 05 42
+49 43 2E 42 84 12 CE D8 40 C0 CE D9 03 42 49 53
+84 12 CE D8 00 D0 DC D9 05 42 49 53 2E 42 84 12
+CE D8 40 D0 00 00 03 58 4F 52 84 12 CE D8 00 E0
+F6 D9 05 58 4F 52 2E 42 84 12 CE D8 40 E0 28 D9
+03 41 4E 44 84 12 CE D8 00 F0 10 DA 05 41 4E 44
+2E 42 84 12 CE D8 40 F0 32 C6 88 D7 2E DA 1A 42
+C4 21 B2 F0 70 00 C4 21 8A 10 3A F0 0F 00 82 DA
+C4 21 4A 3F 62 D9 03 52 52 43 84 12 28 DA 00 10
+46 DA 05 52 52 43 2E 42 84 12 28 DA 40 10 52 DA
+04 53 57 50 42 00 84 12 28 DA 80 10 60 DA 03 52
+52 41 84 12 28 DA 00 11 6E DA 05 52 52 41 2E 42
+84 12 28 DA 40 11 7A DA 03 53 58 54 84 12 28 DA
+80 11 00 00 04 50 55 53 48 00 84 12 28 DA 00 12
+94 DA 06 50 55 53 48 2E 42 00 84 12 28 DA 40 12
+E8 D9 04 43 41 4C 4C 00 84 12 28 DA 80 12 34 C4
+2C 00 88 D7 80 D8 C8 DA 59 42 C4 21 5A 42 C5 21
+82 4A C4 21 BE 90 00 15 00 00 02 20 0A 89 02 3C
+09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
+0E 4A 87 12 6A C7 A2 C9 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 E2 CD A2 DA 05 50 55 53 48 4D
+84 12 BE DA 00 15 0A DB 04 50 4F 50 4D 00 84 12
+BE DA 00 17 32 C6 F4 D6 2A DB 82 43 C4 21 92 42
+CC 21 C2 21 A2 53 CC 21 92 53 CA 21 3E 40 2C 00
+B0 12 2A C4 22 CA 3A CB B8 C5 78 CE 80 D8 50 DB
+0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
+88 DA 04 52 52 43 4D 00 84 12 24 DB 50 00 62 DB
+04 52 52 41 4D 00 84 12 24 DB 50 01 70 DB 04 52
+4C 41 4D 00 84 12 24 DB 50 02 7E DB 04 52 52 55
+4D 00 84 12 24 DB 50 03 85 12 00 3C 8C DB 03 53
+3E 3D 85 12 00 38 9E DB 02 53 3C 00 85 12 00 34
+18 DB 03 30 3E 3D 85 12 00 30 B2 DB 02 30 3C 00
+85 12 00 30 00 00 02 55 3C 00 85 12 00 2C C6 DB
+03 55 3E 3D 85 12 00 28 BC DB 03 30 3C 3E 85 12
+00 24 DA DB 02 30 3D 00 85 12 00 20 4C C8 02 49
+46 00 1A 42 CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A
+30 4D D0 DB 04 54 48 45 4E 00 1A 42 CC 21 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
+88 DA 00 00 30 4D 98 D9 04 45 4C 53 45 00 1A 42
+CC 21 BA 40 00 3C 00 00 A2 53 CC 21 2F 83 8F 4A
+00 00 E3 3F 04 DC 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 CC 21 2A 83 0A 89 0A 11 3A 90 00 FE
+47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 21
+30 4D 1C DA 05 41 47 41 49 4E 87 12 98 DB 4C DC
+2A C4 00 00 05 57 48 49 4C 45 87 12 F2 DB 78 C4
+2A C4 A8 DB 06 52 45 50 45 41 54 00 87 12 98 DB
+4C DC 0A DC 2A C4 00 00 03 4A 4D 50 87 12 6A CE
+98 DB 4C DC 2A C4 3E B0 00 10 03 20 3E E0 00 04
+30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
+3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
+B6 DC 6A CE 78 C4 4C DC 2A C4 EC DC 3D 41 08 4E
+3E 4F 2A 48 0A 93 04 20 98 42 CC 21 00 00 30 4D
+88 43 00 00 A4 3F B2 DA 03 42 57 31 84 12 EA DC
+00 00 08 DD 03 42 57 32 84 12 EA DC 00 00 14 DD
+03 42 57 33 84 12 EA DC 00 00 2C DD 3D 41 1A 42
+CC 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 21
+8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
+03 46 57 31 84 12 2A DD 00 00 50 DD 03 46 57 32
+84 12 2A DD 00 00 5C DD 03 46 57 33 84 12 2A DD
+00 00 68 DD 04 47 4F 54 4F 00 87 12 98 DB 6A CE
+3C CC 2A C4 D8 DC 05 3F 47 4F 54 4F 87 12 B6 DC
+6A CE 3C CC 2A C4 38 D1 07 7B 54 4F 4F 4C 53 7D
+30 4D 94 D6 03 41 4E 44 3E FF 30 4D B4 D3 02 2E
+53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40
+80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11
+87 12 34 C4 3C 00 EE C8 6A C7 34 C4 08 00 EE C8
+34 C4 3E 00 EE C8 24 C9 8A C4 8A C4 96 C5 C2 C5
+F8 DD 62 C4 62 C4 2A C4 C8 C5 00 C6 F2 C4 36 C7
+34 C4 02 00 D8 C5 FA DD 2A C4 AE DD 03 2E 52 53
+8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F E0 CA
+01 3F 2E 4E 30 40 36 C7 40 D4 03 50 41 44 85 12
+E4 20 FA D4 05 57 4F 52 44 53 87 12 9E C9 34 C4
+03 00 3A C9 34 C4 D0 21 F2 C4 2E DE 34 C4 10 00
+44 C4 20 C5 E6 D2 34 C4 00 00 44 C4 34 C4 10 00
+44 C4 20 C5 34 C4 00 00 C8 C5 44 C4 00 C6 2E DE
+20 C5 F2 C4 AC C5 B8 C5 88 DE 62 C4 62 C4 00 C6
+44 C4 2E DE 20 C5 F2 C4 34 C4 02 00 D8 C5 6A DE
+54 C4 B8 C5 CA DE 44 C4 34 C4 02 00 28 C5 F2 C4
+9A C4 2E DE 20 C5 FA C4 44 C4 8E CC 34 C4 7F 00
+A8 DD 5C C9 08 C5 34 C4 0F 00 A8 DD 34 C4 10 00
+78 C4 28 C5 3A C9 B4 C5 56 DE 62 C4 2A C4 E0 D2
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D D0 DE 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D F8 D1 03 55 2E 52
+87 12 B0 C4 54 C6 34 C4 00 00 B4 C6 E6 C6 00 C7
+BC C4 8A C4 28 C5 34 C4 00 00 D4 DE 3A C9 5C C9
+2A C4 C2 D3 04 44 55 4D 50 00 0D 12 12 12 E2 21
+B2 40 10 00 E2 21 2E 5F B0 12 2A C4 78 C4 8A C4
+8A C4 36 C7 34 C4 01 00 28 C5 36 C7 34 C4 FE FF
+A8 DD C8 C5 9E C9 00 C6 34 C4 07 00 F0 DE 24 C9
+00 C6 34 C4 10 00 20 C5 00 C6 C8 C5 00 C6 08 C5
+34 C4 03 00 F0 DE EA C5 5C DF 24 C9 24 C9 00 C6
+34 C4 10 00 20 C5 00 C6 C8 C5 00 C6 08 C5 34 C4
+7E 00 E2 DE 32 C6 D4 DE EE C8 EA C5 7A DF 34 C4
+10 00 D8 C5 44 DF BC C4 3E C6 FA C4 2A C4 98 DD
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D 52 D6
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 B2 21 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 B2 21
+3E 4F 30 4D 56 D3 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D D6 DF 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D E4 DF 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D F6 DF 03 46 23 53 29 4F 8F 4E
+00 00 2F 83 8F 49 00 00 2B 42 B2 90 0A 00 E2 21
+01 20 1B 53 0C 43 A2 4F C0 04 92 42 E2 21 C8 04
+9F 42 E4 04 00 00 1E 42 E6 04 3E 90 0A 00 02 28
+3E 50 07 00 3E 50 30 00 CC 4E 90 21 1C 53 0C 9B
+EA 2B 0E 4B 8F 43 00 00 39 40 90 21 75 3F 78 E0
+02 46 2A 00 92 4F 04 00 D4 04 92 4F 02 00 D6 04
+A2 4F E0 04 82 4E E2 04 2F 52 00 3C 00 3C 9F 42
+E6 04 00 00 1E 42 E8 04 30 4D D0 E0 02 46 2E 00
+87 12 54 C6 44 C4 B0 C4 4C C5 7C E0 34 C4 2C 00
+16 C7 E6 C6 BC C4 24 C7 00 C7 5C C9 24 C9 2A C4
+5A D4 03 53 3E 46 2F 83 8F 43 00 00 30 4D 22 E1
+02 32 40 00 2F 83 9F 4E 02 00 00 00 2E 4E 30 4D
+30 E1 09 32 43 4F 4E 53 54 41 4E 54 87 12 00 D0
+46 CC 46 CC 18 D0 34 E1 2A C4
 @FFFE
-6E D5
+12 D5
 q
diff --git a/MSP_EXP430FR2433_16MHz_3Mbds.txt b/MSP_EXP430FR2433_16MHz_3Mbds.txt
deleted file mode 100644 (file)
index 74cf303..0000000
+++ /dev/null
@@ -1,454 +0,0 @@
-@1800
-10 00 A6 C8 80 3E 30 75 05 00 18 00 E8 DF AA D6
-66 C8 78 C8 00 00 00 00
-@C400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C4
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 C4 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 C4 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 C4 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E C4 02 3E 52 00
-0E 12 3E 4F 30 4D 96 C4 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 C4 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C C4 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 C4 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 D2 C3 02 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 D2 D3 02 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 6E CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 6E CC 2A C4 00 00 04 57 4F 52
-44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 21 82 4A CA 21 1E 42 CC 21
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 21 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C6 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 CB 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 CB 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C6 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C9 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-CC 21 A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 6C CC
-87 4C 49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42
-CC 21 A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 21 B2 4F C8 21 3E 4F 82 43
-CA 21 87 12 6E C6 42 CA DA CC 3D 40 E6 CC E0 22
-3E 4F 3D 41 30 4D E8 CC 0A 4E 3E 4F 3D 40 FE CC
-38 27 3D 40 D4 CC 1A E2 BE 21 B9 27 B3 23 00 CD
-3E 4F 3D 40 D4 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CF CD 3F 5C CC 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 C4 CC 3C CD B2 41 CA 21
-B2 41 C8 21 B2 41 C6 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-BE 21 82 43 08 18 B0 12 2A C4 C2 C9 05 0D 0A 6F
-6B 20 80 C9 5A C6 44 C4 64 C6 32 C8 48 C9 C4 CC
-DE C4 92 C5 C2 C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CE 34 C4 30 FF C6 C7 D2 C5 C2 C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CE 90 C6
-F2 C4 E0 C5 6A CD C2 C9 05 0D 0A 20 20 20 DC C5
-72 CD B2 40 FA D4 5E D5 B2 40 A0 C8 94 C8 B2 40
-04 C9 14 C9 B2 40 AC C9 C0 C9 B2 40 00 C8 26 C8
-82 43 6C DD 82 43 78 DD 82 43 84 DD 82 43 B4 DD
-82 43 C0 DD 82 43 CC DD B2 40 0A 00 E2 21 30 41
-2A C8 05 41 42 4F 52 54 3F 40 80 20 A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C8 B0 12 CE CD A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 D2 C3 02 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 C2 C9 04 1B 5B 37
-6D 00 80 C9 34 C4 E4 21 F2 C4 54 C4 E0 C5 74 CE
-C2 C9 05 6C 69 6E 65 3A 80 C9 34 C4 01 00 28 C5
-7C C7 1E C9 80 C9 C2 C9 04 1B 5B 30 6D 00 80 C9
-70 D4 E0 C9 08 CE 02 CE 86 41 42 4F 52 54 22 00
-87 12 FE C9 34 C4 0E CE 6E CC 2A C4 9A CA 01 27
-87 12 6E C6 42 CA A0 CA E0 C5 AE CE 2A C4 08 CD
-96 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
-82 43 BE 21 30 4D B2 CE 01 5D B2 43 BE 21 30 4D
-BE CE 83 5B 27 5D 87 12 A0 CE 34 C4 34 C4 6E CC
-6E CC 2A C4 BE 4F 02 00 3E 4F 30 4D A6 C9 82 49
-53 00 87 12 90 C6 F2 C4 E0 C5 06 CF D6 CE 34 C4
-E4 CE 6E CC 2A C4 A0 CE E4 CE 2A C4 EE CE 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
-00 00 30 4D FA C9 87 52 45 43 55 52 53 45 19 42
-CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 4E CD
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C6 42 CA
-A0 CA 54 C4 E0 C5 AE CE 92 C5 E0 C5 6A CF 34 C4
-34 C4 6E CC 6E CC 34 C4 6E CC 6E CC 2A C4 82 9F
-BC 21 34 25 87 12 C2 C9 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CE 87 12 1E CA 6E C6
-42 CA 94 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49
-B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41
-30 41 3C CA 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CF BA 40 86 12 FC FF 05 3D B0 CC 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CF BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CF 06 43 52 45 41 54
-45 00 B0 12 8A CF BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CD 05 44 4F 45 53 3E 1A 42 BA 21 BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 D0 05 44
-45 46 45 52 B0 12 8A CF BA 40 30 40 FC FF BA 40
-2A D0 FE FF CF 3C D2 CE 01 3A B0 12 8A CF BA 40
-87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21
-30 4D 48 D0 81 3B 82 93 BE 21 24 27 87 12 34 C4
-2A C4 6E CC 6E CF C0 CE 2A C4 FA CF 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 D0 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE D0 86 5B
-45 4C 53 45 5D 00 87 12 34 C4 01 00 6E C6 42 CA
-B6 CC 44 C4 E0 C5 34 D1 8A C4 8A C4 C2 C9 04 5B
-49 46 5D 00 84 D0 EA C5 F2 D0 00 CB 2C C5 DC C5
-28 D1 8A C4 8A C4 C2 C9 06 5B 45 4C 53 45 5D 00
-84 D0 EA C5 16 D1 00 CB B8 D0 44 C4 E0 C5 12 D1
-2C C5 DC C5 28 D1 C2 C9 06 5B 54 48 45 4E 5D 00
-84 D0 EA C5 28 D1 B8 D0 54 C4 EA C5 30 D1 2A C4
-DC C5 CC D0 00 CB C2 C9 05 0D 0A 6B 6F 20 80 C9
-5A C6 44 C4 64 C6 32 C8 4A D1 82 43 CA 21 82 4E
-C6 21 B2 4F C8 21 3E 4F 3D 40 CC D0 30 4D BE D0
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 D1
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 86 C5 2A C4 70 D1 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C6 42 CA A0 CA 6C C4
-2A C4 A4 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CC 06 4D 41 52 4B 45 52 00 B0 12 8A CF
-BA 40 84 12 FC FF BA 40 A2 D1 FE FF 9A 42 CE 21
-00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
-19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0E CF
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
-CC 21 BE 40 E0 C5 00 00 2E 53 30 4D 2E D0 84 45
-4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C9 84 54
-48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 7C D0
-85 42 45 47 49 4E 30 40 C6 C7 2E D2 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 CC 21 1A 42 CC 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F C4 CF 85 57 48 49 4C 45
-87 12 F4 D1 78 C4 2A C4 26 CF 86 52 45 50 45 41
-54 00 87 12 72 D2 34 D2 2A C4 0E D2 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D B4 D1 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C8 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F C4 D2 85 4C 45 41 56 45 1A 42 CC 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 06 D3 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D2 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 D0 34 C4 10 00
-34 C4 00 00 F0 C5 34 C4 00 00 6E CC 12 C6 86 D3
-C6 C7 34 C4 CE 21 44 C4 F2 C4 6E CC FA C4 1A D0
-34 C4 D0 21 FA C4 2A C4 9E CE 05 46 4F 52 54 48
-84 12 A0 D3 F0 D6 C6 D6 5E DF AA D3 AE D6 F8 D2
-28 DF 60 DE 94 D4 AE D4 36 DF 7E DE 46 C5 F4 DD
-C8 CE 74 DE 00 00 6C D2 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 21 38 40 D2 21 B6 3F 40 CF 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
-38 40 D0 21 A3 3F 2A CA 04 4F 4E 4C 59 00 82 43
-D2 21 30 4D 9C D2 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 21 E0 21 30 4D B0 D3 0E D4 22 D4
-32 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D3 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D4 AA D6 E8 DF 8A D2 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D4 92 42 0C 18 76 D4
-EF 3F 66 D4 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 21 74 D4 92 42 CC 21 76 D4 30 4D 7A D4 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
-CC 21 0C 18 EC 3F 6C D3 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
-C2 CD B2 40 E8 DF 0C 18 B2 40 AA D6 0E 18 CA 3F
-F0 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C9 06 0D 1B 5B 37 6D 23 00 80 C9 B0 C7 C2 C9
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C9 34 C4 30 FF C6 C7
-28 C5 7C C7 C2 C9 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CE C8 D4 04 57 41 52 4D 00 30 40 FA D4
-40 D2 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A CC 01 B2 43 06 02 B2 D0 03 00 04 02 B2 40
-FC FF 02 02 92 D3 02 02 F2 43 22 02 F2 D3 26 02
-F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
-B2 40 00 A5 60 01 B2 40 29 01 80 01 B2 40 0B 00
-82 01 B2 40 E9 01 84 01 39 40 40 00 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 6E D5 39 40 DA FF
-89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40
-18 00 0A 18 39 40 00 20 89 43 00 00 29 53 39 90
-FF 2F FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
-36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00
-E2 21 B2 43 B4 21 92 C3 30 01 18 42 08 18 F2 B0
-10 00 00 02 04 20 38 E3 18 53 82 48 08 18 B2 40
-81 00 00 05 B2 40 05 00 06 05 B2 40 00 49 08 05
-F2 D0 30 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40
-78 D6 18 42 08 18 38 90 0A 00 31 27 38 90 16 00
-2E 2F 28 93 07 23 FC 26 5C D5 D8 D3 09 41 53 53
-45 4D 42 4C 45 52 84 12 A0 D3 D0 DC 7C DD 84 DC
-D0 DD 4A DC 04 DD 4E D9 00 00 40 DC F0 DC A2 DC
-E0 DC 5E DA 00 00 00 00 E2 DD D4 D3 F2 D4 85 48
-49 32 4C 4F 87 12 C6 C7 08 D2 6E CC C0 CE DE D3
-86 D6 2A C4 62 D5 04 43 4F 44 45 00 B0 12 8A CF
-A2 82 CC 21 87 12 5C D0 DC C5 BE D6 00 00 07 45
-4E 44 43 4F 44 45 87 12 F8 D3 6E CF 2A C4 7C D6
-03 41 53 4D 92 42 E0 21 C0 21 B2 40 8A D6 E0 21
-E5 3F DE D6 06 45 4E 44 41 53 4D 00 92 42 C0 21
-E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21
-BA 40 87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40
-F8 D3 00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40
-B0 12 00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F
-38 40 C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21
-30 4D B0 12 2A C4 42 CA A0 CA EA C5 86 D7 62 CB
-E0 C5 AE CE A8 D7 88 D7 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53
-CC 21 89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53
-CA 21 B0 12 2A C4 42 CA 62 CB E0 C5 DA D7 D0 D7
-21 53 3E 90 10 00 BB 2D 30 41 DC D7 B2 41 CA 21
-22 D3 30 41 87 12 6E C6 50 D7 EC D7 82 43 C4 21
-92 42 CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 CA 21 B0 12 72 D7 0E 93
-04 20 B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40
-10 03 C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21
-1B 3C 2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92
-04 20 B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40
-30 03 C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21
-A2 53 CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21
-30 12 5C D8 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 C4 21 92 53 CA 21 B0 12 BA D7 0E 20 B2 50
-10 00 C4 21 3E 40 2B 00 B0 12 BA D7 32 24 92 92
-C6 21 CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21
-D3 3F B0 12 BA D7 F9 23 B2 50 10 00 C4 21 3E 40
-28 00 B0 12 72 D7 30 12 AC D8 67 3F 87 12 6E C6
-50 D7 E4 D8 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 C4 21 C2 3F B0 12 BA D7 DF 23 B2 50
-80 00 C4 21 3E 40 28 00 B0 12 72 D7 B0 12 AA D7
-D5 23 3D 40 AE CE 30 4D 8C C8 04 52 45 54 49 00
-87 12 34 C4 00 13 6E CC 2A C4 34 C4 2C 00 E4 D7
-DC D8 34 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F
-34 D7 03 4D 4F 56 84 12 2A D9 00 40 42 D9 05 4D
-4F 56 2E 42 84 12 2A D9 40 40 00 00 03 41 44 44
-84 12 2A D9 00 50 5C D9 05 41 44 44 2E 42 84 12
-2A D9 40 50 68 D9 04 41 44 44 43 00 84 12 2A D9
-00 60 76 D9 06 41 44 44 43 2E 42 00 84 12 2A D9
-40 60 1A D9 04 53 55 42 43 00 84 12 2A D9 00 70
-94 D9 06 53 55 42 43 2E 42 00 84 12 2A D9 40 70
-A2 D9 03 53 55 42 84 12 2A D9 00 80 B2 D9 05 53
-55 42 2E 42 84 12 2A D9 40 80 16 D7 03 43 4D 50
-84 12 2A D9 00 90 CC D9 05 43 4D 50 2E 42 84 12
-2A D9 40 90 04 D7 04 44 41 44 44 00 84 12 2A D9
-00 A0 E6 D9 06 44 41 44 44 2E 42 00 84 12 2A D9
-40 A0 D8 D9 03 42 49 54 84 12 2A D9 00 B0 04 DA
-05 42 49 54 2E 42 84 12 2A D9 40 B0 10 DA 03 42
-49 43 84 12 2A D9 00 C0 1E DA 05 42 49 43 2E 42
-84 12 2A D9 40 C0 2A DA 03 42 49 53 84 12 2A D9
-00 D0 38 DA 05 42 49 53 2E 42 84 12 2A D9 40 D0
-00 00 03 58 4F 52 84 12 2A D9 00 E0 52 DA 05 58
-4F 52 2E 42 84 12 2A D9 40 E0 84 D9 03 41 4E 44
-84 12 2A D9 00 F0 6C DA 05 41 4E 44 2E 42 84 12
-2A D9 40 F0 6E C6 E4 D7 8A DA 1A 42 C4 21 B2 F0
-70 00 C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F
-BE D9 03 52 52 43 84 12 84 DA 00 10 A2 DA 05 52
-52 43 2E 42 84 12 84 DA 40 10 AE DA 04 53 57 50
-42 00 84 12 84 DA 80 10 BC DA 03 52 52 41 84 12
-84 DA 00 11 CA DA 05 52 52 41 2E 42 84 12 84 DA
-40 11 D6 DA 03 53 58 54 84 12 84 DA 80 11 00 00
-04 50 55 53 48 00 84 12 84 DA 00 12 F0 DA 06 50
-55 53 48 2E 42 00 84 12 84 DA 40 12 44 DA 04 43
-41 4C 4C 00 84 12 84 DA 80 12 34 C4 2C 00 E4 D7
-DC D8 24 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-B0 C7 C2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 14 CE FE DA 05 50 55 53 48 4D 84 12 1A DB
-00 15 66 DB 04 50 4F 50 4D 00 84 12 1A DB 00 17
-6E C6 50 D7 86 DB 82 43 C4 21 92 42 CC 21 C2 21
-A2 53 CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4
-42 CA 62 CB E0 C5 AE CE DC D8 AC DB 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F E4 DA 04 52
-52 43 4D 00 84 12 80 DB 50 00 BE DB 04 52 52 41
-4D 00 84 12 80 DB 50 01 CC DB 04 52 4C 41 4D 00
-84 12 80 DB 50 02 DA DB 04 52 52 55 4D 00 84 12
-80 DB 50 03 85 12 00 3C E8 DB 03 53 3E 3D 85 12
-00 38 FA DB 02 53 3C 00 85 12 00 34 74 DB 03 30
-3E 3D 85 12 00 30 0E DC 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 22 DC 03 55 3E 3D
-85 12 00 28 18 DC 03 30 3C 3E 85 12 00 24 36 DC
-02 30 3D 00 85 12 00 20 98 C8 02 49 46 00 1A 42
-CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 2C DC
-04 54 48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D F4 D9 04 45 4C 53 45 00 1A 42 CC 21 BA 40
-00 3C 00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F
-60 DC 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-CC 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 CC 21 30 4D 78 DA
-05 41 47 41 49 4E 87 12 F4 DB A8 DC 2A C4 00 00
-05 57 48 49 4C 45 87 12 4E DC 78 C4 2A C4 04 DC
-06 52 45 50 45 41 54 00 87 12 F4 DB A8 DC 66 DC
-2A C4 00 00 03 4A 4D 50 87 12 A0 CE F4 DB A8 DC
-2A C4 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 12 DD A0 CE
-78 C4 A8 DC 2A C4 48 DD 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 CC 21 00 00 30 4D 88 43 00 00
-A4 3F 0E DB 03 42 57 31 84 12 46 DD 00 00 64 DD
-03 42 57 32 84 12 46 DD 00 00 70 DD 03 42 57 33
-84 12 46 DD 00 00 88 DD 3D 41 1A 42 CC 21 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 86 DD 00 00 AC DD 03 46 57 32 84 12 86 DD
-00 00 B8 DD 03 46 57 33 84 12 86 DD 00 00 C4 DD
-04 47 4F 54 4F 00 87 12 F4 DB A0 CE 64 CC 2A C4
-34 DD 05 3F 47 4F 54 4F 87 12 12 DD A0 CE 64 CC
-2A C4 8C D1 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-08 D4 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 C4 3C 00 12 C9 B0 C7 34 C4
-08 00 12 C9 34 C4 3E 00 12 C9 48 C9 8A C4 8A C4
-C4 C5 EA C5 4C DE 62 C4 62 C4 2A C4 F0 C5 28 C6
-F2 C4 7C C7 34 C4 02 00 00 C6 4E DE 2A C4 02 DE
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20
-D3 3F 08 CB 01 3F 2E 4E 30 40 7C C7 56 D5 05 57
-4F 52 44 53 87 12 BE C9 34 C4 03 00 5E C9 34 C4
-D0 21 F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 3A D3
-34 C4 00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4
-00 00 F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5
-E0 C5 D2 DE 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5
-F2 C4 34 C4 02 00 00 C6 B4 DE 54 C4 E0 C5 14 DF
-44 C4 34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5
-FA C4 44 C4 B6 CC 34 C4 7F 00 36 C5 80 C9 08 C5
-34 C4 0F 00 36 C5 34 C4 10 00 78 C4 28 C5 5E C9
-DC C5 A0 DE 62 C4 2A C4 34 D3 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 1A DF 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 4C D2 03 55 2E 52 87 12 B0 C4 9A C6
-34 C4 00 00 FA C6 2C C7 46 C7 BC C4 8A C4 28 C5
-34 C4 00 00 1E DF 5E C9 80 C9 2A C4 16 D4 04 44
-55 4D 50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21
-2E 5F B0 12 2A C4 78 C4 8A C4 8A C4 7C C7 34 C4
-01 00 28 C5 7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9
-28 C6 34 C4 07 00 3A DF 48 C9 28 C6 34 C4 10 00
-20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4 03 00 3A DF
-12 C6 A6 DF 48 C9 48 C9 28 C6 34 C4 10 00 20 C5
-28 C6 F0 C5 28 C6 08 C5 34 C4 7E 00 2C DF 6E C6
-1E DF 12 C9 12 C6 C4 DF 34 C4 10 00 00 C6 8E DF
-BC C4 84 C6 FA C4 2A C4
-@FFFE
-6E D5
-q
diff --git a/MSP_EXP430FR2433_16MHz_5Mbds.txt b/MSP_EXP430FR2433_16MHz_5Mbds.txt
new file mode 100644 (file)
index 0000000..edb5f0b
--- /dev/null
@@ -0,0 +1,476 @@
+@1800
+10 00 54 C8 80 3E 50 C3 05 00 18 00 50 E1 44 D6
+20 C8 2C C8 00 00 00 00
+@C400
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C4
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 C4 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 C4 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 C4 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E C4 02 3E 52 00
+0E 12 3E 4F 30 4D 96 C4 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 C4 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C C4 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 C4 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 50 C9 18 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+50 C9 18 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 2C C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 8C C8
+3B 40 5C C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 40 C8 4D 15
+B2 40 11 00 0E 05 D2 C3 02 02 30 41 B2 40 13 00
+0E 05 D2 D3 02 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E C8 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 0E 05 30 4D
+82 C8 2D 83 92 B3 1C 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 21 02 24 92 53 E4 21 3E 8F 3D 41
+30 4D B4 C7 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 21 00 00 AF 4F 02 00 91 3F 82 43 CA 21 82 4E
+C6 21 B2 4F C8 21 3E 4F 30 4D 4A C7 04 45 4D 49
+54 00 30 40 AC C8 DC C8 04 45 43 48 4F 00 B2 40
+82 48 7A C8 82 43 E4 21 30 4D 68 C7 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A C8 92 43 E4 21 30 4D
+1E C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 C9 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A C9 EF 3F 3C C9 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 C7 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 C9 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 C9 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 C8 04 28 43 52 29 00
+87 12 96 C9 02 0D 0A 00 50 C9 2A C4 9E C7 02 43
+52 00 30 40 80 C9 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E C9 07 43 41 50
+53 5F 4F 4E B2 43 B4 21 30 4D AC C9 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 21 30 4D 26 C9 82 53
+22 00 87 12 34 C4 96 C9 3A CC C6 C9 34 C4 22 00
+16 CA B4 C9 E6 C9 3D 41 6E 4E 1E 83 82 5E CC 21
+3E 4F 92 B3 CC 21 A2 63 CC 21 30 4D FC C8 82 2E
+22 00 87 12 D2 C9 34 C4 50 C9 3A CC 2A C4 00 00
+04 57 4F 52 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 21 F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 21 82 4A CA 21
+1E 42 CC 21 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 C4 34 40 14 C4
+30 4D 94 C5 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 E2 21 32 C0 00 02 2D 15 09 43 08 43 3D 40
+7E CB 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F 80 CB
+0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90
+2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00
+23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 6A C6 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D E8 C8 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42 CC 21 A2 53
+CC 21 8A 4E 00 00 3E 4F 30 4D 38 CC 87 4C 49 54
+45 52 41 4C 82 93 BE 21 0F 24 1A 42 CC 21 A2 52
+CC 21 BA 40 34 C4 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D BC C9 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+87 12 CA C8 32 C6 16 CA 9A CC 3D 40 A2 CC EA 22
+50 3E A4 CC 0A 4E 3E 4F 3D 40 BA CC 40 27 3D 40
+94 CC 1A E2 BE 21 C1 27 BB 23 BC CC 3E 4F 3D 40
+94 CC C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 46 CF D5 3F 28 CC 08 45 56 41 4C 55
+41 54 45 00 39 40 C6 21 3C 49 3B 49 3A 49 3D 15
+B0 12 2A C4 90 CC F8 CC B2 41 CA 21 B2 41 C8 21
+B2 41 C6 21 3D 41 30 4D 9C C5 04 51 55 49 54 00
+31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21 82 43
+08 18 B0 12 2A C4 96 C9 05 0D 0A 6F 6B 20 50 C9
+B2 C8 18 C9 90 CC DE C4 70 C5 96 C9 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 CA CD 34 C4 30 FF
+80 C7 AC C5 96 C9 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 CA CD 4A C6 F2 C4 B8 C5 26 CD 96 C9 05 0D
+0A 20 20 20 B4 C5 2E CD E4 C7 05 41 42 4F 52 54
+3F 40 80 20 C5 3F B2 40 9A D4 F6 D4 B2 40 4E C8
+42 C8 B2 40 3C 21 2A C6 B2 40 AC C8 E4 C8 B2 40
+80 C9 94 C9 B2 40 BA C7 E0 C7 82 43 06 DD 82 43
+12 DD 82 43 1E DD 82 43 4E DD 82 43 5A DD 82 43
+66 DD B2 40 0A 00 E2 21 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 7A C8 B0 12 92 CD
+A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 D2 C3 02 02
+92 C3 1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 1C 05 F3 23 87 12 96 C9 04 1B
+5B 37 6D 00 50 C9 34 C4 E4 21 F2 C4 54 C4 B8 C5
+32 CE 96 C9 05 6C 69 6E 65 3A 50 C9 40 C5 36 C7
+EE C8 50 C9 96 C9 04 1B 5B 30 6D 00 50 C9 10 D4
+B4 C9 80 CD 7A CD 86 41 42 4F 52 54 22 00 87 12
+D2 C9 34 C4 CA CD 3A CC 2A C4 6E CA 01 27 87 12
+32 C6 16 CA 74 CA B8 C5 6C CE 2A C4 C4 CC 50 C6
+81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B 82 43
+BE 21 30 4D 70 CE 01 5D B2 43 BE 21 30 4D 7C CE
+83 5B 27 5D 87 12 5E CE 34 C4 34 C4 3A CC 3A CC
+2A C4 BE 4F 02 00 3E 4F 30 4D 7A C9 82 49 53 00
+87 12 4A C6 F2 C4 B8 C5 C4 CE 94 CE 34 C4 A2 CE
+3A CC 2A C4 5E CE A2 CE 2A C4 AC CE 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00 00 00
+30 4D CE C9 87 52 45 43 55 52 53 45 19 42 CC 21
+99 42 BA 21 00 00 A2 53 CC 21 30 4D 0A CD 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 C6 16 CA 74 CA
+54 C4 B8 C5 6C CE 70 C5 B8 C5 28 CF 34 C4 34 C4
+3A CC 3A CC 34 C4 3A CC 3A CC 2A C4 82 9F BC 21
+25 25 87 12 96 C9 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 D6 CD 90 CE 81 3B 82 93 BE 21
+90 27 87 12 34 C4 2A C4 3A CC 2C CF 7E CE 2A C4
+BA 40 87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F
+BC 21 30 4D 4A CF 01 3A 30 12 60 CF 87 12 F2 C9
+32 C6 16 CA 86 CF 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21
+82 49 B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F
+3D 41 30 41 10 CA 08 56 41 52 49 41 42 4C 45 00
+B0 12 7C CF BA 40 86 12 FC FF DC 3C 7C CC 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 7C CF BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C CE CF 06 43 52 45
+41 54 45 00 B0 12 7C CF BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C DA CC 05 44 4F 45 53 3E 1A 42 BA 21
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 06 D0
+05 44 45 46 45 52 B0 12 7C CF BA 40 30 40 FC FF
+BA 40 1C D0 FE FF A6 3C EC CF 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 76 CF 86 5B 54 48
+45 4E 5D 00 30 4D 6C D0 86 5B 45 4C 53 45 5D 00
+87 12 34 C4 01 00 32 C6 16 CA 82 CC 44 C4 B8 C5
+EA D0 8A C4 8A C4 96 C9 04 5B 49 46 5D 00 42 D0
+C2 C5 AC D0 72 C9 36 C5 B4 C5 E2 D0 8A C4 8A C4
+96 C9 06 5B 45 4C 53 45 5D 00 42 D0 C2 C5 D0 D0
+72 C9 40 C5 44 C4 B8 C5 E2 D0 36 C5 B4 C5 E2 D0
+96 C9 06 5B 54 48 45 4E 5D 00 42 D0 C2 C5 E2 D0
+40 C5 54 C4 C2 C5 86 D0 2A C4 72 C9 96 C9 05 0D
+0A 6B 6F 20 50 C9 B2 C8 CA C8 B4 C5 86 D0 78 D0
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 00 D1
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 C6
+16 CA 74 CA 6C C4 64 C5 2A C4 10 D1 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 C6 16 CA 74 CA 6C C4
+2A C4 44 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D 4C CC 06 4D 41 52 4B 45 52 00 B0 12 7C CF
+BA 40 84 12 FC FF BA 40 42 D1 FE FF 9A 42 CE 21
+00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
+19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D CC CE
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
+CC 21 BE 40 B8 C5 00 00 2E 53 30 4D 20 D0 84 45
+4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 B4 C5
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 4A C9 84 54
+48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 3A D0
+85 42 45 47 49 4E 30 40 80 C7 CE D1 85 55 4E 54
+49 4C 39 40 B8 C5 A2 52 CC 21 1A 42 CC 21 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D 46 CE 85 41 47 41
+49 4E 39 40 B4 C5 EF 3F B6 CF 85 57 48 49 4C 45
+87 12 94 D1 78 C4 2A C4 E4 CE 86 52 45 50 45 41
+54 00 87 12 12 D2 D4 D1 2A C4 AE D1 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
+C8 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
+30 4D 54 D1 84 4C 4F 4F 50 00 39 40 EA C5 A2 52
+CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
+00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA C7 85 2B 4C 4F 4F 50 39 40
+D8 C5 E5 3F 64 D2 85 4C 45 41 56 45 1A 42 CC 21
+BA 40 FA C5 00 00 BA 40 B4 C5 02 00 B2 50 06 00
+CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
+30 4D A6 D2 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D 1A D2 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 F4 CF 34 C4 10 00
+34 C4 00 00 C8 C5 34 C4 00 00 3A CC EA C5 26 D3
+80 C7 34 C4 CE 21 44 C4 F2 C4 3A CC FA C4 0C D0
+34 C4 D0 21 FA C4 2A C4 5C CE 05 46 4F 52 54 48
+84 12 40 D3 9A DD 60 D6 0A DF F2 E0 A6 DF 98 D2
+D4 DE 02 DE 20 DE 38 E1 E2 DE 2A DE 00 00 96 DF
+86 CE 16 DE 00 00 0C D2 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 21 38 40 D2 21 B6 3F FE CE 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
+38 40 D0 21 A3 3F FE C9 04 4F 4E 4C 59 00 82 43
+D2 21 30 4D 3C D2 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 21 E0 21 30 4D 50 D3 AE D3 C2 D3
+D2 D3 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 8E D3 09 50 57 52 5F 53 54 41 54 45
+84 12 CA D3 44 D6 50 E1 2A D2 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 14 D4 92 42 0C 18 16 D4
+EF 3F 06 D4 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 21 14 D4 92 42 CC 21 16 D4 30 4D 1A D4 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
+CC 21 0C 18 EC 3F 0C D3 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
+86 CD B2 40 50 E1 0C 18 B2 40 44 D6 0E 18 CA 3F
+90 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+96 C9 06 0D 1B 5B 37 6D 23 00 50 C9 6A C7 96 C9
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+50 C9 34 C4 30 FF 80 C7 28 C5 36 C7 96 C9 0B 62
+79 74 65 73 20 66 72 65 65 20 DC CD 68 D4 04 57
+41 52 4D 00 30 40 9A D4 E0 D1 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 43 06 02
+B2 D0 03 00 04 02 B2 40 FC FF 02 02 92 D3 02 02
+F2 43 22 02 F2 D3 26 02 F2 40 A5 00 A1 01 F2 40
+10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40
+FF 1E 80 01 B2 40 BA 00 82 01 B2 40 E8 01 84 01
+39 40 00 01 82 43 88 01 92 D2 5E 01 08 18 A2 93
+08 18 01 24 49 07 38 40 59 14 18 83 FE 23 19 83
+FA 23 3A 40 06 D5 39 40 DA FF 89 4A 00 00 29 53
+FC 23 92 42 02 18 E4 FF B2 40 18 00 0A 18 39 40
+00 20 89 43 00 00 29 53 39 90 FF 2F FA 2B 31 40
+E0 20 3F 40 80 20 37 40 00 C4 36 40 BC C4 35 40
+08 C4 34 40 14 C4 B2 40 0A 00 E2 21 B2 43 B4 21
+92 C3 30 01 18 42 08 18 F2 B0 10 00 00 02 04 20
+38 E3 18 53 82 48 08 18 B2 40 81 00 00 05 B2 40
+03 00 06 05 B2 40 00 21 08 05 F2 D0 30 00 0A 02
+92 C3 00 05 92 D3 1A 05 3D 40 12 D6 18 42 08 18
+38 90 0A 00 34 27 38 90 16 00 31 2F 28 93 0A 23
+FF 26 F4 D4 78 D3 09 41 53 53 45 4D 42 4C 45 52
+84 12 40 D3 6A DC 16 DD 1E DC 6A DD E4 DB 9E DC
+E8 D8 00 00 DA DB 8A DC 3C DC 7A DC F8 D9 00 00
+00 00 7C DD 74 D3 92 D4 85 48 49 32 4C 4F 87 12
+80 C7 A8 D1 3A CC 7E CE 7E D3 20 D6 2A C4 FA D4
+04 43 4F 44 45 00 B0 12 7C CF A2 82 CC 21 87 12
+6E CF B4 C5 58 D6 00 00 07 45 4E 44 43 4F 44 45
+87 12 98 D3 2C CF 2A C4 16 D6 03 41 53 4D 92 42
+E0 21 C0 21 B2 40 24 D6 E0 21 E5 3F 78 D6 06 45
+4E 44 41 53 4D 00 92 42 C0 21 E0 21 E9 3F 00 00
+05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40 87 12 00 00
+A2 53 CC 21 B2 43 BE 21 30 40 98 D3 00 00 05 4C
+4F 32 48 49 1A 42 CC 21 BA 40 B0 12 00 00 BA 40
+2A C4 02 00 A2 52 CC 21 ED 3F 38 40 C6 21 39 48
+2A 48 09 5A 1A 52 CA 21 09 9A 03 24 7E 9A FC 27
+1A 83 0E 4A 2A 88 82 4A CA 21 30 4D B0 12 2A C4
+16 CA 74 CA C2 C5 20 D7 2E CB B8 C5 6C CE 42 D7
+22 D7 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
+85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
+2E 52 30 41 19 42 CC 21 A2 53 CC 21 89 4E 00 00
+3E 40 29 00 12 12 CA 21 92 53 CA 21 B0 12 2A C4
+16 CA 2E CB B8 C5 74 D7 6A D7 21 53 3E 90 10 00
+BB 2D 30 41 76 D7 B2 41 CA 21 22 D3 30 41 87 12
+32 C6 EA D6 86 D7 82 43 C4 21 92 42 CC 21 C2 21
+A2 53 CC 21 0A 4E 3E 4F FA 90 23 00 00 00 34 20
+92 53 CA 21 B0 12 0C D7 0E 93 04 20 B2 40 00 03
+C4 21 27 3C 1E 93 04 20 B2 40 10 03 C4 21 21 3C
+2E 93 04 20 B2 40 20 03 C4 21 1B 3C 2E 92 04 20
+B2 40 20 02 C4 21 15 3C 3E 92 04 20 B2 40 30 02
+C4 21 0F 3C 3E 93 04 20 B2 40 30 03 C4 21 09 3C
+B2 40 30 00 C4 21 19 42 CC 21 A2 53 CC 21 89 4E
+00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
+B2 40 10 02 C4 21 92 53 CA 21 30 12 F6 D7 76 3F
+FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 21 92 53
+CA 21 B0 12 54 D7 0E 20 B2 50 10 00 C4 21 3E 40
+2B 00 B0 12 54 D7 32 24 92 92 C6 21 CA 21 02 24
+92 53 CA 21 8E 10 82 5E C4 21 D3 3F B0 12 54 D7
+F9 23 B2 50 10 00 C4 21 3E 40 28 00 B0 12 0C D7
+30 12 46 D8 67 3F 87 12 32 C6 EA D6 7E D8 FE 90
+26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 21
+C2 3F B0 12 54 D7 DF 23 B2 50 80 00 C4 21 3E 40
+28 00 B0 12 0C D7 B0 12 44 D7 D5 23 3D 40 6C CE
+30 4D 3A C8 04 52 45 54 49 00 87 12 34 C4 00 13
+3A CC 2A C4 34 C4 2C 00 7E D7 76 D8 CE D8 2E 4E
+1E D2 C4 21 19 42 C2 21 92 3F CE D6 03 4D 4F 56
+84 12 C4 D8 00 40 DC D8 05 4D 4F 56 2E 42 84 12
+C4 D8 40 40 00 00 03 41 44 44 84 12 C4 D8 00 50
+F6 D8 05 41 44 44 2E 42 84 12 C4 D8 40 50 02 D9
+04 41 44 44 43 00 84 12 C4 D8 00 60 10 D9 06 41
+44 44 43 2E 42 00 84 12 C4 D8 40 60 B4 D8 04 53
+55 42 43 00 84 12 C4 D8 00 70 2E D9 06 53 55 42
+43 2E 42 00 84 12 C4 D8 40 70 3C D9 03 53 55 42
+84 12 C4 D8 00 80 4C D9 05 53 55 42 2E 42 84 12
+C4 D8 40 80 B0 D6 03 43 4D 50 84 12 C4 D8 00 90
+66 D9 05 43 4D 50 2E 42 84 12 C4 D8 40 90 9E D6
+04 44 41 44 44 00 84 12 C4 D8 00 A0 80 D9 06 44
+41 44 44 2E 42 00 84 12 C4 D8 40 A0 72 D9 03 42
+49 54 84 12 C4 D8 00 B0 9E D9 05 42 49 54 2E 42
+84 12 C4 D8 40 B0 AA D9 03 42 49 43 84 12 C4 D8
+00 C0 B8 D9 05 42 49 43 2E 42 84 12 C4 D8 40 C0
+C4 D9 03 42 49 53 84 12 C4 D8 00 D0 D2 D9 05 42
+49 53 2E 42 84 12 C4 D8 40 D0 00 00 03 58 4F 52
+84 12 C4 D8 00 E0 EC D9 05 58 4F 52 2E 42 84 12
+C4 D8 40 E0 1E D9 03 41 4E 44 84 12 C4 D8 00 F0
+06 DA 05 41 4E 44 2E 42 84 12 C4 D8 40 F0 32 C6
+7E D7 24 DA 1A 42 C4 21 B2 F0 70 00 C4 21 8A 10
+3A F0 0F 00 82 DA C4 21 4A 3F 58 D9 03 52 52 43
+84 12 1E DA 00 10 3C DA 05 52 52 43 2E 42 84 12
+1E DA 40 10 48 DA 04 53 57 50 42 00 84 12 1E DA
+80 10 56 DA 03 52 52 41 84 12 1E DA 00 11 64 DA
+05 52 52 41 2E 42 84 12 1E DA 40 11 70 DA 03 53
+58 54 84 12 1E DA 80 11 00 00 04 50 55 53 48 00
+84 12 1E DA 00 12 8A DA 06 50 55 53 48 2E 42 00
+84 12 1E DA 40 12 DE D9 04 43 41 4C 4C 00 84 12
+1E DA 80 12 34 C4 2C 00 7E D7 76 D8 BE DA 59 42
+C4 21 5A 42 C5 21 82 4A C4 21 BE 90 00 15 00 00
+02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
+5A 0E A8 3F 1A 53 0E 4A 87 12 6A C7 96 C9 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 D6 CD 98 DA
+05 50 55 53 48 4D 84 12 B4 DA 00 15 00 DB 04 50
+4F 50 4D 00 84 12 B4 DA 00 17 32 C6 EA D6 20 DB
+82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21 92 53
+CA 21 3E 40 2C 00 B0 12 2A C4 16 CA 2E CB B8 C5
+6C CE 76 D8 46 DB 0A 4E 3E 4F 1A 83 2A 92 CA 2F
+8A 10 5A 06 6F 3F 7E DA 04 52 52 43 4D 00 84 12
+1A DB 50 00 58 DB 04 52 52 41 4D 00 84 12 1A DB
+50 01 66 DB 04 52 4C 41 4D 00 84 12 1A DB 50 02
+74 DB 04 52 52 55 4D 00 84 12 1A DB 50 03 85 12
+00 3C 82 DB 03 53 3E 3D 85 12 00 38 94 DB 02 53
+3C 00 85 12 00 34 0E DB 03 30 3E 3D 85 12 00 30
+A8 DB 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
+85 12 00 2C BC DB 03 55 3E 3D 85 12 00 28 B2 DB
+03 30 3C 3E 85 12 00 24 D0 DB 02 30 3D 00 85 12
+00 20 46 C8 02 49 46 00 1A 42 CC 21 8A 4E 00 00
+A2 53 CC 21 0E 4A 30 4D C6 DB 04 54 48 45 4E 00
+1A 42 CC 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
+3A 90 00 02 68 2F 88 DA 00 00 30 4D 8E D9 04 45
+4C 53 45 00 1A 42 CC 21 BA 40 00 3C 00 00 A2 53
+CC 21 2F 83 8F 4A 00 00 E3 3F FA DB 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21 2A 83 0A 89
+0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 CC 21 30 4D 12 DA 05 41 47 41 49 4E
+87 12 8E DB 42 DC 2A C4 00 00 05 57 48 49 4C 45
+87 12 E8 DB 78 C4 2A C4 9E DB 06 52 45 50 45 41
+54 00 87 12 8E DB 42 DC 00 DC 2A C4 00 00 03 4A
+4D 50 87 12 5E CE 8E DB 42 DC 2A C4 3E B0 00 10
+03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
+3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
+4A 4D 50 00 87 12 AC DC 5E CE 78 C4 42 DC 2A C4
+E2 DC 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
+CC 21 00 00 30 4D 88 43 00 00 A4 3F A8 DA 03 42
+57 31 84 12 E0 DC 00 00 FE DC 03 42 57 32 84 12
+E0 DC 00 00 0A DD 03 42 57 33 84 12 E0 DC 00 00
+22 DD 3D 41 1A 42 CC 21 28 4E 08 93 08 20 BA 4F
+00 00 A2 53 CC 21 8E 4A 00 00 3E 4F 30 4D 8E 43
+00 00 61 3F 00 00 03 46 57 31 84 12 20 DD 00 00
+46 DD 03 46 57 32 84 12 20 DD 00 00 52 DD 03 46
+57 33 84 12 20 DD 00 00 5E DD 04 47 4F 54 4F 00
+87 12 8E DB 5E CE 30 CC 2A C4 CE DC 05 3F 47 4F
+54 4F 87 12 AC DC 5E CE 30 CC 2A C4 2C D1 07 7B
+54 4F 4F 4C 53 7D 30 4D 8A D6 03 41 4E 44 3E FF
+30 4D A8 D3 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
+8F 4E FA FF 3E 40 80 20 2E 83 8F 4E FC FF 3F 80
+06 00 2E 8F 0E 11 87 12 34 C4 3C 00 E2 C8 6A C7
+34 C4 08 00 E2 C8 34 C4 3E 00 E2 C8 18 C9 8A C4
+8A C4 96 C5 C2 C5 EE DD 62 C4 62 C4 2A C4 C8 C5
+00 C6 F2 C4 36 C7 34 C4 02 00 D8 C5 F0 DD 2A C4
+A4 DD 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
+E0 20 D3 3F D4 CA 01 3F 2E 4E 30 40 36 C7 34 D4
+03 50 41 44 85 12 E4 20 EE D4 05 57 4F 52 44 53
+87 12 92 C9 34 C4 03 00 2E C9 34 C4 D0 21 F2 C4
+24 DE 34 C4 10 00 44 C4 20 C5 DA D2 34 C4 00 00
+44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00 C8 C5
+44 C4 00 C6 24 DE 20 C5 F2 C4 AC C5 B8 C5 7E DE
+62 C4 62 C4 00 C6 44 C4 24 DE 20 C5 F2 C4 34 C4
+02 00 D8 C5 60 DE 54 C4 B8 C5 C0 DE 44 C4 34 C4
+02 00 28 C5 F2 C4 9A C4 24 DE 20 C5 FA C4 44 C4
+82 CC 34 C4 7F 00 9E DD 50 C9 08 C5 34 C4 0F 00
+9E DD 34 C4 10 00 78 C4 28 C5 2E C9 B4 C5 4C DE
+62 C4 2A C4 D4 D2 03 4D 41 58 2E 9F 07 38 2F 53
+30 4D C6 DE 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D
+EC D1 03 55 2E 52 87 12 B0 C4 54 C6 34 C4 00 00
+B4 C6 E6 C6 00 C7 BC C4 8A C4 28 C5 34 C4 00 00
+CA DE 2E C9 50 C9 2A C4 B6 D3 04 44 55 4D 50 00
+0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F B0 12
+2A C4 78 C4 8A C4 8A C4 36 C7 34 C4 01 00 28 C5
+36 C7 34 C4 FE FF 9E DD C8 C5 92 C9 00 C6 34 C4
+07 00 E6 DE 18 C9 00 C6 34 C4 10 00 20 C5 00 C6
+C8 C5 00 C6 08 C5 34 C4 03 00 E6 DE EA C5 52 DF
+18 C9 18 C9 00 C6 34 C4 10 00 20 C5 00 C6 C8 C5
+00 C6 08 C5 34 C4 7E 00 D8 DE 32 C6 CA DE E2 C8
+EA C5 70 DF 34 C4 10 00 D8 C5 3A DF BC C4 3E C6
+FA C4 2A C4 8E DD 0A 7B 46 49 58 50 4F 49 4E 54
+7D 00 30 4D 48 D6 05 48 4F 4C 44 53 39 4F 09 5E
+18 42 B2 21 19 83 1E 83 04 28 18 83 E8 49 00 00
+F9 3F 82 48 B2 21 3E 4F 30 4D 4A D3 02 46 2B 00
+BF 5F 02 00 3E 6F 30 4D CC DF 02 46 2D 00 BF 8F
+02 00 8F 7E 00 00 3E 4F 30 4D DA DF 02 46 2F 00
+1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F 02 00
+39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63 3E B0
+40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20 09 96
+02 28 09 86 0A 7E 07 67 04 64 15 83 09 30 0B 5B
+08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F
+2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 30 4D EC DF 03 46
+23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00 2B 42
+B2 90 0A 00 E2 21 01 20 1B 53 0C 43 A2 4F C0 04
+92 42 E2 21 C8 04 9F 42 E4 04 00 00 1E 42 E6 04
+3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E
+90 21 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40
+90 21 75 3F 6E E0 02 46 2A 00 92 4F 04 00 D4 04
+92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52
+00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D
+C6 E0 02 46 2E 00 87 12 54 C6 44 C4 B0 C4 4C C5
+72 E0 34 C4 2C 00 16 C7 E6 C6 BC C4 24 C7 00 C7
+50 C9 18 C9 2A C4 4E D4 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 18 E1 02 32 40 00 2F 83 9F 4E 02 00
+00 00 2E 4E 30 4D 26 E1 09 32 43 4F 4E 53 54 41
+4E 54 87 12 F4 CF 3A CC 3A CC 0C D0 2A E1 2A C4
+@FFFE
+06 D5
+q
index c59131d..8deb432 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 C8 80 3E 00 24 05 00 18 00 E8 DF AA D6
-66 C8 78 C8 00 00 00 00
+10 00 5A C8 80 3E 00 24 05 00 18 00 5C E1 50 D6
+20 C8 32 C8 00 00 00 00
 @C400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 D2 C3 02 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 D2 D3 02 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 6E CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 6E CC 2A C4 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 5C C9 24 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+5C C9 24 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 32 C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 98 C8
+3B 40 62 C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 46 C8 4D 15
+A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 D2 C3 02 02
+30 41 B2 40 13 00 0E 05 D2 D3 02 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 C8 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+0C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 1C 05 FD 27 82 48 0E 05 30 4D 8E C8 2D 83
+92 B3 1C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 C7
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A C7 04 45 4D 49 54 00 30 40
+B8 C8 E8 C8 04 45 43 48 4F 00 B2 40 82 48 86 C8
+82 43 E4 21 30 4D 68 C7 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 C8 92 43 E4 21 30 4D 1E C7 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E C9 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 C9 EF 3F 48 C9 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 C7 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 C9 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 C9 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 C8 04 28 43 52 29 00 87 12 A2 C9
+02 0D 0A 00 5C C9 2A C4 9E C7 02 43 52 00 30 40
+8C C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A C9 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 C9 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 C9 82 53 22 00 87 12
+34 C4 A2 C9 46 CC D2 C9 34 C4 22 00 22 CA C0 C9
+F2 C9 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 C9 82 2E 22 00 87 12
+DE C9 34 C4 5C C9 46 CC 2A C4 00 00 04 57 4F 52
 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,342 +111,367 @@ F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C6 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 21 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 CB 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 CB 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C6 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C9 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-CC 21 A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 6C CC
-87 4C 49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42
-CC 21 A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 21 B2 4F C8 21 3E 4F 82 43
-CA 21 87 12 6E C6 42 CA DA CC 3D 40 E6 CC E0 22
-3E 4F 3D 41 30 4D E8 CC 0A 4E 3E 4F 3D 40 FE CC
-38 27 3D 40 D4 CC 1A E2 BE 21 B9 27 B3 23 00 CD
-3E 4F 3D 40 D4 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CF CD 3F 5C CC 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 C4 CC 3C CD B2 41 CA 21
-B2 41 C8 21 B2 41 C6 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-BE 21 82 43 08 18 B0 12 2A C4 C2 C9 05 0D 0A 6F
-6B 20 80 C9 5A C6 44 C4 64 C6 32 C8 48 C9 C4 CC
-DE C4 92 C5 C2 C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CE 34 C4 30 FF C6 C7 D2 C5 C2 C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CE 90 C6
-F2 C4 E0 C5 6A CD C2 C9 05 0D 0A 20 20 20 DC C5
-72 CD B2 40 FA D4 5E D5 B2 40 A0 C8 94 C8 B2 40
-04 C9 14 C9 B2 40 AC C9 C0 C9 B2 40 00 C8 26 C8
-82 43 6C DD 82 43 78 DD 82 43 84 DD 82 43 B4 DD
-82 43 C0 DD 82 43 CC DD B2 40 0A 00 E2 21 30 41
-2A C8 05 41 42 4F 52 54 3F 40 80 20 A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C8 B0 12 CE CD A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 D2 C3 02 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 C2 C9 04 1B 5B 37
-6D 00 80 C9 34 C4 E4 21 F2 C4 54 C4 E0 C5 74 CE
-C2 C9 05 6C 69 6E 65 3A 80 C9 34 C4 01 00 28 C5
-7C C7 1E C9 80 C9 C2 C9 04 1B 5B 30 6D 00 80 C9
-70 D4 E0 C9 08 CE 02 CE 86 41 42 4F 52 54 22 00
-87 12 FE C9 34 C4 0E CE 6E CC 2A C4 9A CA 01 27
-87 12 6E C6 42 CA A0 CA E0 C5 AE CE 2A C4 08 CD
-96 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
-82 43 BE 21 30 4D B2 CE 01 5D B2 43 BE 21 30 4D
-BE CE 83 5B 27 5D 87 12 A0 CE 34 C4 34 C4 6E CC
-6E CC 2A C4 BE 4F 02 00 3E 4F 30 4D A6 C9 82 49
-53 00 87 12 90 C6 F2 C4 E0 C5 06 CF D6 CE 34 C4
-E4 CE 6E CC 2A C4 A0 CE E4 CE 2A C4 EE CE 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
-00 00 30 4D FA C9 87 52 45 43 55 52 53 45 19 42
-CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 4E CD
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C6 42 CA
-A0 CA 54 C4 E0 C5 AE CE 92 C5 E0 C5 6A CF 34 C4
-34 C4 6E CC 6E CC 34 C4 6E CC 6E CC 2A C4 82 9F
-BC 21 34 25 87 12 C2 C9 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CE 87 12 1E CA 6E C6
-42 CA 94 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 21 6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49
-B8 21 82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41
-30 41 3C CA 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CF BA 40 86 12 FC FF 05 3D B0 CC 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CF BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CF 06 43 52 45 41 54
-45 00 B0 12 8A CF BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CD 05 44 4F 45 53 3E 1A 42 BA 21 BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 D0 05 44
-45 46 45 52 B0 12 8A CF BA 40 30 40 FC FF BA 40
-2A D0 FE FF CF 3C D2 CE 01 3A B0 12 8A CF BA 40
-87 12 FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21
-30 4D 48 D0 81 3B 82 93 BE 21 24 27 87 12 34 C4
-2A C4 6E CC 6E CF C0 CE 2A C4 FA CF 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 D0 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE D0 86 5B
-45 4C 53 45 5D 00 87 12 34 C4 01 00 6E C6 42 CA
-B6 CC 44 C4 E0 C5 34 D1 8A C4 8A C4 C2 C9 04 5B
-49 46 5D 00 84 D0 EA C5 F2 D0 00 CB 2C C5 DC C5
-28 D1 8A C4 8A C4 C2 C9 06 5B 45 4C 53 45 5D 00
-84 D0 EA C5 16 D1 00 CB B8 D0 44 C4 E0 C5 12 D1
-2C C5 DC C5 28 D1 C2 C9 06 5B 54 48 45 4E 5D 00
-84 D0 EA C5 28 D1 B8 D0 54 C4 EA C5 30 D1 2A C4
-DC C5 CC D0 00 CB C2 C9 05 0D 0A 6B 6F 20 80 C9
-5A C6 44 C4 64 C6 32 C8 4A D1 82 43 CA 21 82 4E
-C6 21 B2 4F C8 21 3E 4F 3D 40 CC D0 30 4D BE D0
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 D1
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 86 C5 2A C4 70 D1 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C6 42 CA A0 CA 6C C4
-2A C4 A4 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CC 06 4D 41 52 4B 45 52 00 B0 12 8A CF
-BA 40 84 12 FC FF BA 40 A2 D1 FE FF 9A 42 CE 21
-00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42 B6 21
-19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D 0E CF
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21 A2 52
-CC 21 BE 40 E0 C5 00 00 2E 53 30 4D 2E D0 84 45
-4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C9 84 54
-48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D 7C D0
-85 42 45 47 49 4E 30 40 C6 C7 2E D2 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 CC 21 1A 42 CC 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F C4 CF 85 57 48 49 4C 45
-87 12 F4 D1 78 C4 2A C4 26 CF 86 52 45 50 45 41
-54 00 87 12 72 D2 34 D2 2A C4 0E D2 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D B4 D1 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C8 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F C4 D2 85 4C 45 41 56 45 1A 42 CC 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 06 D3 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D2 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 D0 34 C4 10 00
-34 C4 00 00 F0 C5 34 C4 00 00 6E CC 12 C6 86 D3
-C6 C7 34 C4 CE 21 44 C4 F2 C4 6E CC FA C4 1A D0
-34 C4 D0 21 FA C4 2A C4 9E CE 05 46 4F 52 54 48
-84 12 A0 D3 F0 D6 C6 D6 5E DF AA D3 AE D6 F8 D2
-28 DF 60 DE 94 D4 AE D4 36 DF 7E DE 46 C5 F4 DD
-C8 CE 74 DE 00 00 6C D2 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 21 38 40 D2 21 B6 3F 40 CF 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 21
-38 40 D0 21 A3 3F 2A CA 04 4F 4E 4C 59 00 82 43
-D2 21 30 4D 9C D2 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 21 E0 21 30 4D B0 D3 0E D4 22 D4
-32 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D3 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D4 AA D6 E8 DF 8A D2 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D4 92 42 0C 18 76 D4
-EF 3F 66 D4 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 21 74 D4 92 42 CC 21 76 D4 30 4D 7A D4 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18 92 42
-CC 21 0C 18 EC 3F 6C D3 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12
-C2 CD B2 40 E8 DF 0C 18 B2 40 AA D6 0E 18 CA 3F
-F0 D1 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C9 06 0D 1B 5B 37 6D 23 00 80 C9 B0 C7 C2 C9
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C9 34 C4 30 FF C6 C7
-28 C5 7C C7 C2 C9 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CE C8 D4 04 57 41 52 4D 00 30 40 FA D4
-40 D2 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A CC 01 B2 43 06 02 B2 D0 03 00 04 02 B2 40
-FC FF 02 02 92 D3 02 02 F2 43 22 02 F2 D3 26 02
-F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
-B2 40 00 A5 60 01 B2 40 29 01 80 01 B2 40 0B 00
-82 01 B2 40 E9 01 84 01 39 40 40 00 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 6E D5 39 40 DA FF
-89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40
-18 00 0A 18 39 40 00 20 89 43 00 00 29 53 39 90
-FF 2F FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
-36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00
-E2 21 B2 43 B4 21 92 C3 30 01 18 42 08 18 F2 B0
-10 00 00 02 04 20 38 E3 18 53 82 48 08 18 B2 40
-81 00 00 05 B2 40 11 00 06 05 B2 40 00 4A 08 05
-F2 D0 30 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40
-78 D6 18 42 08 18 38 90 0A 00 31 27 38 90 16 00
-2E 2F 28 93 07 23 FC 26 5C D5 D8 D3 09 41 53 53
-45 4D 42 4C 45 52 84 12 A0 D3 D0 DC 7C DD 84 DC
-D0 DD 4A DC 04 DD 4E D9 00 00 40 DC F0 DC A2 DC
-E0 DC 5E DA 00 00 00 00 E2 DD D4 D3 F2 D4 85 48
-49 32 4C 4F 87 12 C6 C7 08 D2 6E CC C0 CE DE D3
-86 D6 2A C4 62 D5 04 43 4F 44 45 00 B0 12 8A CF
-A2 82 CC 21 87 12 5C D0 DC C5 BE D6 00 00 07 45
-4E 44 43 4F 44 45 87 12 F8 D3 6E CF 2A C4 7C D6
-03 41 53 4D 92 42 E0 21 C0 21 B2 40 8A D6 E0 21
-E5 3F DE D6 06 45 4E 44 41 53 4D 00 92 42 C0 21
-E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21
-BA 40 87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40
-F8 D3 00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40
-B0 12 00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F
-38 40 C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21
-30 4D B0 12 2A C4 42 CA A0 CA EA C5 86 D7 62 CB
-E0 C5 AE CE A8 D7 88 D7 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53
-CC 21 89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53
-CA 21 B0 12 2A C4 42 CA 62 CB E0 C5 DA D7 D0 D7
-21 53 3E 90 10 00 BB 2D 30 41 DC D7 B2 41 CA 21
-22 D3 30 41 87 12 6E C6 50 D7 EC D7 82 43 C4 21
-92 42 CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 CA 21 B0 12 72 D7 0E 93
-04 20 B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40
-10 03 C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21
-1B 3C 2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92
-04 20 B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40
-30 03 C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21
-A2 53 CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21
-30 12 5C D8 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 C4 21 92 53 CA 21 B0 12 BA D7 0E 20 B2 50
-10 00 C4 21 3E 40 2B 00 B0 12 BA D7 32 24 92 92
-C6 21 CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21
-D3 3F B0 12 BA D7 F9 23 B2 50 10 00 C4 21 3E 40
-28 00 B0 12 72 D7 30 12 AC D8 67 3F 87 12 6E C6
-50 D7 E4 D8 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 C4 21 C2 3F B0 12 BA D7 DF 23 B2 50
-80 00 C4 21 3E 40 28 00 B0 12 72 D7 B0 12 AA D7
-D5 23 3D 40 AE CE 30 4D 8C C8 04 52 45 54 49 00
-87 12 34 C4 00 13 6E CC 2A C4 34 C4 2C 00 E4 D7
-DC D8 34 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F
-34 D7 03 4D 4F 56 84 12 2A D9 00 40 42 D9 05 4D
-4F 56 2E 42 84 12 2A D9 40 40 00 00 03 41 44 44
-84 12 2A D9 00 50 5C D9 05 41 44 44 2E 42 84 12
-2A D9 40 50 68 D9 04 41 44 44 43 00 84 12 2A D9
-00 60 76 D9 06 41 44 44 43 2E 42 00 84 12 2A D9
-40 60 1A D9 04 53 55 42 43 00 84 12 2A D9 00 70
-94 D9 06 53 55 42 43 2E 42 00 84 12 2A D9 40 70
-A2 D9 03 53 55 42 84 12 2A D9 00 80 B2 D9 05 53
-55 42 2E 42 84 12 2A D9 40 80 16 D7 03 43 4D 50
-84 12 2A D9 00 90 CC D9 05 43 4D 50 2E 42 84 12
-2A D9 40 90 04 D7 04 44 41 44 44 00 84 12 2A D9
-00 A0 E6 D9 06 44 41 44 44 2E 42 00 84 12 2A D9
-40 A0 D8 D9 03 42 49 54 84 12 2A D9 00 B0 04 DA
-05 42 49 54 2E 42 84 12 2A D9 40 B0 10 DA 03 42
-49 43 84 12 2A D9 00 C0 1E DA 05 42 49 43 2E 42
-84 12 2A D9 40 C0 2A DA 03 42 49 53 84 12 2A D9
-00 D0 38 DA 05 42 49 53 2E 42 84 12 2A D9 40 D0
-00 00 03 58 4F 52 84 12 2A D9 00 E0 52 DA 05 58
-4F 52 2E 42 84 12 2A D9 40 E0 84 D9 03 41 4E 44
-84 12 2A D9 00 F0 6C DA 05 41 4E 44 2E 42 84 12
-2A D9 40 F0 6E C6 E4 D7 8A DA 1A 42 C4 21 B2 F0
-70 00 C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F
-BE D9 03 52 52 43 84 12 84 DA 00 10 A2 DA 05 52
-52 43 2E 42 84 12 84 DA 40 10 AE DA 04 53 57 50
-42 00 84 12 84 DA 80 10 BC DA 03 52 52 41 84 12
-84 DA 00 11 CA DA 05 52 52 41 2E 42 84 12 84 DA
-40 11 D6 DA 03 53 58 54 84 12 84 DA 80 11 00 00
-04 50 55 53 48 00 84 12 84 DA 00 12 F0 DA 06 50
-55 53 48 2E 42 00 84 12 84 DA 40 12 44 DA 04 43
-41 4C 4C 00 84 12 84 DA 80 12 34 C4 2C 00 E4 D7
-DC D8 24 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-B0 C7 C2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 14 CE FE DA 05 50 55 53 48 4D 84 12 1A DB
-00 15 66 DB 04 50 4F 50 4D 00 84 12 1A DB 00 17
-6E C6 50 D7 86 DB 82 43 C4 21 92 42 CC 21 C2 21
-A2 53 CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4
-42 CA 62 CB E0 C5 AE CE DC D8 AC DB 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F E4 DA 04 52
-52 43 4D 00 84 12 80 DB 50 00 BE DB 04 52 52 41
-4D 00 84 12 80 DB 50 01 CC DB 04 52 4C 41 4D 00
-84 12 80 DB 50 02 DA DB 04 52 52 55 4D 00 84 12
-80 DB 50 03 85 12 00 3C E8 DB 03 53 3E 3D 85 12
-00 38 FA DB 02 53 3C 00 85 12 00 34 74 DB 03 30
-3E 3D 85 12 00 30 0E DC 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 22 DC 03 55 3E 3D
-85 12 00 28 18 DC 03 30 3C 3E 85 12 00 24 36 DC
-02 30 3D 00 85 12 00 20 98 C8 02 49 46 00 1A 42
-CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 2C DC
-04 54 48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D F4 D9 04 45 4C 53 45 00 1A 42 CC 21 BA 40
-00 3C 00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F
-60 DC 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-CC 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 CC 21 30 4D 78 DA
-05 41 47 41 49 4E 87 12 F4 DB A8 DC 2A C4 00 00
-05 57 48 49 4C 45 87 12 4E DC 78 C4 2A C4 04 DC
-06 52 45 50 45 41 54 00 87 12 F4 DB A8 DC 66 DC
-2A C4 00 00 03 4A 4D 50 87 12 A0 CE F4 DB A8 DC
-2A C4 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 12 DD A0 CE
-78 C4 A8 DC 2A C4 48 DD 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 CC 21 00 00 30 4D 88 43 00 00
-A4 3F 0E DB 03 42 57 31 84 12 46 DD 00 00 64 DD
-03 42 57 32 84 12 46 DD 00 00 70 DD 03 42 57 33
-84 12 46 DD 00 00 88 DD 3D 41 1A 42 CC 21 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 86 DD 00 00 AC DD 03 46 57 32 84 12 86 DD
-00 00 B8 DD 03 46 57 33 84 12 86 DD 00 00 C4 DD
-04 47 4F 54 4F 00 87 12 F4 DB A0 CE 64 CC 2A C4
-34 DD 05 3F 47 4F 54 4F 87 12 12 DD A0 CE 64 CC
-2A C4 8C D1 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-08 D4 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 C4 3C 00 12 C9 B0 C7 34 C4
-08 00 12 C9 34 C4 3E 00 12 C9 48 C9 8A C4 8A C4
-C4 C5 EA C5 4C DE 62 C4 62 C4 2A C4 F0 C5 28 C6
-F2 C4 7C C7 34 C4 02 00 00 C6 4E DE 2A C4 02 DE
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20
-D3 3F 08 CB 01 3F 2E 4E 30 40 7C C7 56 D5 05 57
-4F 52 44 53 87 12 BE C9 34 C4 03 00 5E C9 34 C4
-D0 21 F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 3A D3
-34 C4 00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4
-00 00 F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5
-E0 C5 D2 DE 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5
-F2 C4 34 C4 02 00 00 C6 B4 DE 54 C4 E0 C5 14 DF
-44 C4 34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5
-FA C4 44 C4 B6 CC 34 C4 7F 00 36 C5 80 C9 08 C5
-34 C4 0F 00 36 C5 34 C4 10 00 78 C4 28 C5 5E C9
-DC C5 A0 DE 62 C4 2A C4 34 D3 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 1A DF 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 4C D2 03 55 2E 52 87 12 B0 C4 9A C6
-34 C4 00 00 FA C6 2C C7 46 C7 BC C4 8A C4 28 C5
-34 C4 00 00 1E DF 5E C9 80 C9 2A C4 16 D4 04 44
-55 4D 50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21
-2E 5F B0 12 2A C4 78 C4 8A C4 8A C4 7C C7 34 C4
-01 00 28 C5 7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9
-28 C6 34 C4 07 00 3A DF 48 C9 28 C6 34 C4 10 00
-20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4 03 00 3A DF
-12 C6 A6 DF 48 C9 48 C9 28 C6 34 C4 10 00 20 C5
-28 C6 F0 C5 28 C6 08 C5 34 C4 7E 00 2C DF 6E C6
-1E DF 12 C9 12 C6 C4 DF 34 C4 10 00 00 C6 8E DF
-BC C4 84 C6 FA C4 2A C4
+8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 94 C5
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 21 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 21
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A CB 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C CB 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A C6 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 C8 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 C5 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E
+00 00 3E 4F 30 4D 44 CC 87 4C 49 54 45 52 41 4C
+82 93 BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40
+34 C4 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 C9 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 C8
+32 C6 22 CA A6 CC 3D 40 AE CC EA 22 50 3E B0 CC
+0A 4E 3E 4F 3D 40 C6 CC 40 27 3D 40 A0 CC 1A E2
+BE 21 C1 27 BB 23 C8 CC 3E 4F 3D 40 A0 CC C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 CF D5 3F 34 CC 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4
+9C CC 04 CD B2 41 CA 21 B2 41 C8 21 B2 41 C6 21
+3D 41 30 4D 9C C5 04 51 55 49 54 00 31 40 E0 20
+B2 40 00 20 00 20 82 43 BE 21 82 43 08 18 B0 12
+2A C4 A2 C9 05 0D 0A 6F 6B 20 5C C9 BE C8 24 C9
+9C CC DE C4 70 C5 A2 C9 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 CD 34 C4 30 FF 80 C7 AC C5
+A2 C9 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 CD
+4A C6 F2 C4 B8 C5 32 CD A2 C9 05 0D 0A 20 20 20
+B4 C5 3A CD E4 C7 05 41 42 4F 52 54 3F 40 80 20
+C5 3F B2 40 A6 D4 02 D5 B2 40 54 C8 48 C8 B2 40
+3C 21 2A C6 B2 40 B8 C8 F0 C8 B2 40 8C C9 A0 C9
+B2 40 BA C7 E0 C7 82 43 12 DD 82 43 1E DD 82 43
+2A DD 82 43 5A DD 82 43 66 DD 82 43 72 DD B2 40
+0A 00 E2 21 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 C8 B0 12 9E CD A2 B3 1C 05
+FD 27 B2 40 11 00 0E 05 D2 C3 02 02 92 C3 1C 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 1C 05 F3 23 87 12 A2 C9 04 1B 5B 37 6D 00
+5C C9 34 C4 E4 21 F2 C4 54 C4 B8 C5 3E CE A2 C9
+05 6C 69 6E 65 3A 5C C9 40 C5 36 C7 FA C8 5C C9
+A2 C9 04 1B 5B 30 6D 00 5C C9 1C D4 C0 C9 8C CD
+86 CD 86 41 42 4F 52 54 22 00 87 12 DE C9 34 C4
+D6 CD 46 CC 2A C4 7A CA 01 27 87 12 32 C6 22 CA
+80 CA B8 C5 78 CE 2A C4 D0 CC 50 C6 81 5C 92 42
+C6 21 CA 21 30 4D 00 00 81 5B 82 43 BE 21 30 4D
+7C CE 01 5D B2 43 BE 21 30 4D 88 CE 83 5B 27 5D
+87 12 6A CE 34 C4 34 C4 46 CC 46 CC 2A C4 BE 4F
+02 00 3E 4F 30 4D 86 C9 82 49 53 00 87 12 4A C6
+F2 C4 B8 C5 D0 CE A0 CE 34 C4 AE CE 46 CC 2A C4
+6A CE AE CE 2A C4 B8 CE 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 21 FA D0 80 00 00 00 30 4D DA C9
+87 52 45 43 55 52 53 45 19 42 CC 21 99 42 BA 21
+00 00 A2 53 CC 21 30 4D 16 CD 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 C6 22 CA 80 CA 54 C4 B8 C5
+78 CE 70 C5 B8 C5 34 CF 34 C4 34 C4 46 CC 46 CC
+34 C4 46 CC 46 CC 2A C4 82 9F BC 21 25 25 87 12
+A2 C9 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 CD 9C CE 81 3B 82 93 BE 21 90 27 87 12
+34 C4 2A C4 46 CC 38 CF 8A CE 2A C4 BA 40 87 12
+FC FF A2 83 CC 21 B2 43 BE 21 82 4F BC 21 30 4D
+56 CF 01 3A 30 12 6C CF 87 12 FE C9 32 C6 22 CA
+92 CF 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 21
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 21 82 49 B8 21
+82 4A BA 21 2A 52 82 4A CC 21 3E 4F 3D 41 30 41
+1C CA 08 56 41 52 49 41 42 4C 45 00 B0 12 88 CF
+BA 40 86 12 FC FF DC 3C 88 CC 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 CF BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA CF 06 43 52 45 41 54 45 00
+B0 12 88 CF BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 CC 05 44 4F 45 53 3E 1A 42 BA 21 BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 D0 05 44 45 46
+45 52 B0 12 88 CF BA 40 30 40 FC FF BA 40 28 D0
+FE FF A6 3C F8 CF 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 CF 86 5B 54 48 45 4E 5D 00
+30 4D 78 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C4
+01 00 32 C6 22 CA 8E CC 44 C4 B8 C5 F6 D0 8A C4
+8A C4 A2 C9 04 5B 49 46 5D 00 4E D0 C2 C5 B8 D0
+7E C9 36 C5 B4 C5 EE D0 8A C4 8A C4 A2 C9 06 5B
+45 4C 53 45 5D 00 4E D0 C2 C5 DC D0 7E C9 40 C5
+44 C4 B8 C5 EE D0 36 C5 B4 C5 EE D0 A2 C9 06 5B
+54 48 45 4E 5D 00 4E D0 C2 C5 EE D0 40 C5 54 C4
+C2 C5 92 D0 2A C4 7E C9 A2 C9 05 0D 0A 6B 6F 20
+5C C9 BE C8 D6 C8 B4 C5 92 D0 84 D0 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C D1 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 C6 22 CA 80 CA
+6C C4 64 C5 2A C4 1C D1 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 C6 22 CA 80 CA 6C C4 2A C4 50 D1
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 CC
+06 4D 41 52 4B 45 52 00 B0 12 88 CF BA 40 84 12
+FC FF BA 40 4E D1 FE FF 9A 42 CE 21 00 00 28 83
+8A 48 02 00 A2 52 CC 21 18 42 B6 21 19 42 B8 21
+A8 49 FE FF 89 48 00 00 30 4D D8 CE 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 21 A2 52 CC 21 BE 40
+B8 C5 00 00 2E 53 30 4D 2C D0 84 45 4C 53 45 00
+A2 52 CC 21 1A 42 CC 21 BA 40 B4 C5 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 C9 84 54 48 45 4E 00
+9E 42 CC 21 00 00 3E 4F 30 4D 46 D0 85 42 45 47
+49 4E 30 40 80 C7 DA D1 85 55 4E 54 49 4C 39 40
+B8 C5 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 CE 85 41 47 41 49 4E 39 40
+B4 C5 EF 3F C2 CF 85 57 48 49 4C 45 87 12 A0 D1
+78 C4 2A C4 F0 CE 86 52 45 50 45 41 54 00 87 12
+1E D2 E0 D1 2A C4 BA D1 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 21 1E 42 CC 21 BE 40 C8 C5 FE FF
+A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D 60 D1
+84 4C 4F 4F 50 00 39 40 EA C5 A2 52 CC 21 1A 42
+CC 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83
+00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA C7 85 2B 4C 4F 4F 50 39 40 D8 C5 E5 3F
+70 D2 85 4C 45 41 56 45 1A 42 CC 21 BA 40 FA C5
+00 00 BA 40 B4 C5 02 00 B2 50 06 00 CC 21 A2 53
+00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D B2 D2
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 D2 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 D0 34 C4 10 00 34 C4 00 00
+C8 C5 34 C4 00 00 46 CC EA C5 32 D3 80 C7 34 C4
+CE 21 44 C4 F2 C4 46 CC FA C4 18 D0 34 C4 D0 21
+FA C4 2A C4 68 CE 05 46 4F 52 54 48 84 12 4C D3
+A6 DD 6C D6 16 DF FE E0 B2 DF A4 D2 E0 DE 0E DE
+2C DE 44 E1 EE DE 36 DE 00 00 A2 DF 92 CE 22 DE
+00 00 18 D2 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 21 38 40 D2 21 B6 3F 0A CF 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 21 38 40 D0 21
+A3 3F 0A CA 04 4F 4E 4C 59 00 82 43 D2 21 30 4D
+48 D2 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 21 E0 21 30 4D 5C D3 BA D3 CE D3 DE D3 3A 4E
+82 4A CE 21 2E 4E 82 4E CC 21 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A D3 09 50 57 52 5F 53 54 41 54 45 84 12 D6 D3
+50 D6 5C E1 36 D2 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 D4 92 42 0C 18 22 D4 EF 3F 12 D4
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 21 20 D4
+92 42 CC 21 22 D4 30 4D 26 D4 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 21 0E 18 92 42 CC 21 0C 18
+EC 3F 18 D3 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 DA FF FA 23 B0 12 92 CD B2 40
+5C E1 0C 18 B2 40 50 D6 0E 18 CA 3F 9C D1 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 C9 06 0D
+1B 5B 37 6D 23 00 5C C9 6A C7 A2 C9 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C C9 34 C4
+30 FF 80 C7 28 C5 36 C7 A2 C9 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 CD 74 D4 04 57 41 52 4D 00
+30 40 A6 D4 EC D1 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A CC 01 B2 43 06 02 B2 D0 03 00
+04 02 B2 40 FC FF 02 02 92 D3 02 02 F2 43 22 02
+F2 D3 26 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01
+B2 40 BA 00 82 01 B2 40 E8 01 84 01 39 40 00 01
+82 43 88 01 92 D2 5E 01 08 18 A2 93 08 18 01 24
+49 07 38 40 59 14 18 83 FE 23 19 83 FA 23 3A 40
+12 D5 39 40 DA FF 89 4A 00 00 29 53 FC 23 92 42
+02 18 E4 FF B2 40 18 00 0A 18 39 40 00 20 89 43
+00 00 29 53 39 90 FF 2F FA 2B 31 40 E0 20 3F 40
+80 20 37 40 00 C4 36 40 BC C4 35 40 08 C4 34 40
+14 C4 B2 40 0A 00 E2 21 B2 43 B4 21 92 C3 30 01
+18 42 08 18 F2 B0 10 00 00 02 04 20 38 E3 18 53
+82 48 08 18 B2 40 81 00 00 05 B2 40 11 00 06 05
+B2 40 00 4A 08 05 F2 D0 30 00 0A 02 92 C3 00 05
+92 D3 1A 05 3D 40 1E D6 18 42 08 18 38 90 0A 00
+34 27 38 90 16 00 31 2F 28 93 0A 23 FF 26 00 D5
+84 D3 09 41 53 53 45 4D 42 4C 45 52 84 12 4C D3
+76 DC 22 DD 2A DC 76 DD F0 DB AA DC F4 D8 00 00
+E6 DB 96 DC 48 DC 86 DC 04 DA 00 00 00 00 88 DD
+80 D3 9E D4 85 48 49 32 4C 4F 87 12 80 C7 B4 D1
+46 CC 8A CE 8A D3 2C D6 2A C4 06 D5 04 43 4F 44
+45 00 B0 12 88 CF A2 82 CC 21 87 12 7A CF B4 C5
+64 D6 00 00 07 45 4E 44 43 4F 44 45 87 12 A4 D3
+38 CF 2A C4 22 D6 03 41 53 4D 92 42 E0 21 C0 21
+B2 40 30 D6 E0 21 E5 3F 84 D6 06 45 4E 44 41 53
+4D 00 92 42 C0 21 E0 21 E9 3F 00 00 05 43 4F 4C
+4F 4E 1A 42 CC 21 BA 40 87 12 00 00 A2 53 CC 21
+B2 43 BE 21 30 40 A4 D3 00 00 05 4C 4F 32 48 49
+1A 42 CC 21 BA 40 B0 12 00 00 BA 40 2A C4 02 00
+A2 52 CC 21 ED 3F 38 40 C6 21 39 48 2A 48 09 5A
+1A 52 CA 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
+2A 88 82 4A CA 21 30 4D B0 12 2A C4 22 CA 80 CA
+C2 C5 2C D7 3A CB B8 C5 78 CE 4E D7 2E D7 29 4E
+39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
+1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
+19 42 CC 21 A2 53 CC 21 89 4E 00 00 3E 40 29 00
+12 12 CA 21 92 53 CA 21 B0 12 2A C4 22 CA 3A CB
+B8 C5 80 D7 76 D7 21 53 3E 90 10 00 BB 2D 30 41
+82 D7 B2 41 CA 21 22 D3 30 41 87 12 32 C6 F6 D6
+92 D7 82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21
+0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 CA 21
+B0 12 18 D7 0E 93 04 20 B2 40 00 03 C4 21 27 3C
+1E 93 04 20 B2 40 10 03 C4 21 21 3C 2E 93 04 20
+B2 40 20 03 C4 21 1B 3C 2E 92 04 20 B2 40 20 02
+C4 21 15 3C 3E 92 04 20 B2 40 30 02 C4 21 0F 3C
+3E 93 04 20 B2 40 30 03 C4 21 09 3C B2 40 30 00
+C4 21 19 42 CC 21 A2 53 CC 21 89 4E 00 00 3E 4F
+3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
+C4 21 92 53 CA 21 30 12 02 D8 76 3F FA 90 40 00
+00 00 1A 20 B2 40 20 00 C4 21 92 53 CA 21 B0 12
+60 D7 0E 20 B2 50 10 00 C4 21 3E 40 2B 00 B0 12
+60 D7 32 24 92 92 C6 21 CA 21 02 24 92 53 CA 21
+8E 10 82 5E C4 21 D3 3F B0 12 60 D7 F9 23 B2 50
+10 00 C4 21 3E 40 28 00 B0 12 18 D7 30 12 52 D8
+67 3F 87 12 32 C6 F6 D6 8A D8 FE 90 26 00 00 00
+3E 40 20 00 04 20 B2 50 82 00 C4 21 C2 3F B0 12
+60 D7 DF 23 B2 50 80 00 C4 21 3E 40 28 00 B0 12
+18 D7 B0 12 50 D7 D5 23 3D 40 78 CE 30 4D 40 C8
+04 52 45 54 49 00 87 12 34 C4 00 13 46 CC 2A C4
+34 C4 2C 00 8A D7 82 D8 DA D8 2E 4E 1E D2 C4 21
+19 42 C2 21 92 3F DA D6 03 4D 4F 56 84 12 D0 D8
+00 40 E8 D8 05 4D 4F 56 2E 42 84 12 D0 D8 40 40
+00 00 03 41 44 44 84 12 D0 D8 00 50 02 D9 05 41
+44 44 2E 42 84 12 D0 D8 40 50 0E D9 04 41 44 44
+43 00 84 12 D0 D8 00 60 1C D9 06 41 44 44 43 2E
+42 00 84 12 D0 D8 40 60 C0 D8 04 53 55 42 43 00
+84 12 D0 D8 00 70 3A D9 06 53 55 42 43 2E 42 00
+84 12 D0 D8 40 70 48 D9 03 53 55 42 84 12 D0 D8
+00 80 58 D9 05 53 55 42 2E 42 84 12 D0 D8 40 80
+BC D6 03 43 4D 50 84 12 D0 D8 00 90 72 D9 05 43
+4D 50 2E 42 84 12 D0 D8 40 90 AA D6 04 44 41 44
+44 00 84 12 D0 D8 00 A0 8C D9 06 44 41 44 44 2E
+42 00 84 12 D0 D8 40 A0 7E D9 03 42 49 54 84 12
+D0 D8 00 B0 AA D9 05 42 49 54 2E 42 84 12 D0 D8
+40 B0 B6 D9 03 42 49 43 84 12 D0 D8 00 C0 C4 D9
+05 42 49 43 2E 42 84 12 D0 D8 40 C0 D0 D9 03 42
+49 53 84 12 D0 D8 00 D0 DE D9 05 42 49 53 2E 42
+84 12 D0 D8 40 D0 00 00 03 58 4F 52 84 12 D0 D8
+00 E0 F8 D9 05 58 4F 52 2E 42 84 12 D0 D8 40 E0
+2A D9 03 41 4E 44 84 12 D0 D8 00 F0 12 DA 05 41
+4E 44 2E 42 84 12 D0 D8 40 F0 32 C6 8A D7 30 DA
+1A 42 C4 21 B2 F0 70 00 C4 21 8A 10 3A F0 0F 00
+82 DA C4 21 4A 3F 64 D9 03 52 52 43 84 12 2A DA
+00 10 48 DA 05 52 52 43 2E 42 84 12 2A DA 40 10
+54 DA 04 53 57 50 42 00 84 12 2A DA 80 10 62 DA
+03 52 52 41 84 12 2A DA 00 11 70 DA 05 52 52 41
+2E 42 84 12 2A DA 40 11 7C DA 03 53 58 54 84 12
+2A DA 80 11 00 00 04 50 55 53 48 00 84 12 2A DA
+00 12 96 DA 06 50 55 53 48 2E 42 00 84 12 2A DA
+40 12 EA D9 04 43 41 4C 4C 00 84 12 2A DA 80 12
+34 C4 2C 00 8A D7 82 D8 CA DA 59 42 C4 21 5A 42
+C5 21 82 4A C4 21 BE 90 00 15 00 00 02 20 0A 89
+02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
+1A 53 0E 4A 87 12 6A C7 A2 C9 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 E2 CD A4 DA 05 50 55 53
+48 4D 84 12 C0 DA 00 15 0C DB 04 50 4F 50 4D 00
+84 12 C0 DA 00 17 32 C6 F6 D6 2C DB 82 43 C4 21
+92 42 CC 21 C2 21 A2 53 CC 21 92 53 CA 21 3E 40
+2C 00 B0 12 2A C4 22 CA 3A CB B8 C5 78 CE 82 D8
+52 DB 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
+6F 3F 8A DA 04 52 52 43 4D 00 84 12 26 DB 50 00
+64 DB 04 52 52 41 4D 00 84 12 26 DB 50 01 72 DB
+04 52 4C 41 4D 00 84 12 26 DB 50 02 80 DB 04 52
+52 55 4D 00 84 12 26 DB 50 03 85 12 00 3C 8E DB
+03 53 3E 3D 85 12 00 38 A0 DB 02 53 3C 00 85 12
+00 34 1A DB 03 30 3E 3D 85 12 00 30 B4 DB 02 30
+3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
+C8 DB 03 55 3E 3D 85 12 00 28 BE DB 03 30 3C 3E
+85 12 00 24 DC DB 02 30 3D 00 85 12 00 20 4C C8
+02 49 46 00 1A 42 CC 21 8A 4E 00 00 A2 53 CC 21
+0E 4A 30 4D D2 DB 04 54 48 45 4E 00 1A 42 CC 21
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+68 2F 88 DA 00 00 30 4D 9A D9 04 45 4C 53 45 00
+1A 42 CC 21 BA 40 00 3C 00 00 A2 53 CC 21 2F 83
+8F 4A 00 00 E3 3F 06 DC 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 CC 21 2A 83 0A 89 0A 11 3A 90
+00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+CC 21 30 4D 1E DA 05 41 47 41 49 4E 87 12 9A DB
+4E DC 2A C4 00 00 05 57 48 49 4C 45 87 12 F4 DB
+78 C4 2A C4 AA DB 06 52 45 50 45 41 54 00 87 12
+9A DB 4E DC 0C DC 2A C4 00 00 03 4A 4D 50 87 12
+6A CE 9A DB 4E DC 2A C4 3E B0 00 10 03 20 3E E0
+00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
+30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
+87 12 B8 DC 6A CE 78 C4 4E DC 2A C4 EE DC 3D 41
+08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 21 00 00
+30 4D 88 43 00 00 A4 3F B4 DA 03 42 57 31 84 12
+EC DC 00 00 0A DD 03 42 57 32 84 12 EC DC 00 00
+16 DD 03 42 57 33 84 12 EC DC 00 00 2E DD 3D 41
+1A 42 CC 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53
+CC 21 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
+00 00 03 46 57 31 84 12 2C DD 00 00 52 DD 03 46
+57 32 84 12 2C DD 00 00 5E DD 03 46 57 33 84 12
+2C DD 00 00 6A DD 04 47 4F 54 4F 00 87 12 9A DB
+6A CE 3C CC 2A C4 DA DC 05 3F 47 4F 54 4F 87 12
+B8 DC 6A CE 3C CC 2A C4 38 D1 07 7B 54 4F 4F 4C
+53 7D 30 4D 96 D6 03 41 4E 44 3E FF 30 4D B4 D3
+02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
+3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
+0E 11 87 12 34 C4 3C 00 EE C8 6A C7 34 C4 08 00
+EE C8 34 C4 3E 00 EE C8 24 C9 8A C4 8A C4 96 C5
+C2 C5 FA DD 62 C4 62 C4 2A C4 C8 C5 00 C6 F2 C4
+36 C7 34 C4 02 00 D8 C5 FC DD 2A C4 B0 DD 03 2E
+52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F
+E0 CA 01 3F 2E 4E 30 40 36 C7 40 D4 03 50 41 44
+85 12 E4 20 FA D4 05 57 4F 52 44 53 87 12 9E C9
+34 C4 03 00 3A C9 34 C4 D0 21 F2 C4 30 DE 34 C4
+10 00 44 C4 20 C5 E6 D2 34 C4 00 00 44 C4 34 C4
+10 00 44 C4 20 C5 34 C4 00 00 C8 C5 44 C4 00 C6
+30 DE 20 C5 F2 C4 AC C5 B8 C5 8A DE 62 C4 62 C4
+00 C6 44 C4 30 DE 20 C5 F2 C4 34 C4 02 00 D8 C5
+6C DE 54 C4 B8 C5 CC DE 44 C4 34 C4 02 00 28 C5
+F2 C4 9A C4 30 DE 20 C5 FA C4 44 C4 8E CC 34 C4
+7F 00 AA DD 5C C9 08 C5 34 C4 0F 00 AA DD 34 C4
+10 00 78 C4 28 C5 3A C9 B4 C5 58 DE 62 C4 2A C4
+E0 D2 03 4D 41 58 2E 9F 07 38 2F 53 30 4D D2 DE
+03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D F8 D1 03 55
+2E 52 87 12 B0 C4 54 C6 34 C4 00 00 B4 C6 E6 C6
+00 C7 BC C4 8A C4 28 C5 34 C4 00 00 D6 DE 3A C9
+5C C9 2A C4 C2 D3 04 44 55 4D 50 00 0D 12 12 12
+E2 21 B2 40 10 00 E2 21 2E 5F B0 12 2A C4 78 C4
+8A C4 8A C4 36 C7 34 C4 01 00 28 C5 36 C7 34 C4
+FE FF AA DD C8 C5 9E C9 00 C6 34 C4 07 00 F2 DE
+24 C9 00 C6 34 C4 10 00 20 C5 00 C6 C8 C5 00 C6
+08 C5 34 C4 03 00 F2 DE EA C5 5E DF 24 C9 24 C9
+00 C6 34 C4 10 00 20 C5 00 C6 C8 C5 00 C6 08 C5
+34 C4 7E 00 E4 DE 32 C6 D6 DE EE C8 EA C5 7C DF
+34 C4 10 00 D8 C5 46 DF BC C4 3E C6 FA C4 2A C4
+9A DD 0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D
+54 D6 05 48 4F 4C 44 53 39 4F 09 5E 18 42 B2 21
+19 83 1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48
+B2 21 3E 4F 30 4D 56 D3 02 46 2B 00 BF 5F 02 00
+3E 6F 30 4D D8 DF 02 46 2D 00 BF 8F 02 00 8F 7E
+00 00 3E 4F 30 4D E6 DF 02 46 2F 00 1C 4F 02 00
+0C EE 0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F
+04 24 38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43
+26 4F 35 40 20 00 0A 9E 01 20 09 96 02 28 09 86
+0A 7E 07 67 04 64 15 83 09 30 0B 5B 08 68 09 69
+0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47
+00 00 0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 30 4D F8 DF 03 46 23 53 29 4F
+8F 4E 00 00 2F 83 8F 49 00 00 2B 42 B2 90 0A 00
+E2 21 01 20 1B 53 0C 43 A2 4F C0 04 92 42 E2 21
+C8 04 9F 42 E4 04 00 00 1E 42 E6 04 3E 90 0A 00
+02 28 3E 50 07 00 3E 50 30 00 CC 4E 90 21 1C 53
+0C 9B EA 2B 0E 4B 8F 43 00 00 39 40 90 21 75 3F
+7A E0 02 46 2A 00 92 4F 04 00 D4 04 92 4F 02 00
+D6 04 A2 4F E0 04 82 4E E2 04 2F 52 00 3C 00 3C
+9F 42 E6 04 00 00 1E 42 E8 04 30 4D D2 E0 02 46
+2E 00 87 12 54 C6 44 C4 B0 C4 4C C5 7E E0 34 C4
+2C 00 16 C7 E6 C6 BC C4 24 C7 00 C7 5C C9 24 C9
+2A C4 5A D4 03 53 3E 46 2F 83 8F 43 00 00 30 4D
+24 E1 02 32 40 00 2F 83 9F 4E 02 00 00 00 2E 4E
+30 4D 32 E1 09 32 43 4F 4E 53 54 41 4E 54 87 12
+00 D0 46 CC 46 CC 18 D0 36 E1 2A C4
 @FFFE
-6E D5
+12 D5
 q
index ef5b42c..32abbf7 100644 (file)
@@ -317,11 +317,137 @@ SD_CSDIR    .equ P8DIR
 ; (no problem with MSP430FR5xxx families without FLL).
 ; ===================================================================
 
-    .IF     FREQUENCY = 0.5
-
-            MOV #0D6h,&CSCTL0          ; preset DCO = 0xD6 (measured value @ 0x180 ; to measure, type 0x180 @ U.)
-
-            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;    .IF FREQUENCY = 0.5
+;
+;            MOV #0D6h,&CSCTL0          ; preset DCO = 0xD6 (measured value @ 0x180 ; to measure, type 0x180 @ U.)
+;
+;            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #100Dh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Dh
+;                                        ; fCOCLKDIV = 32768 x (13+1) = 0.459 MHz ; measured :  MHz
+;;            MOV     #100Eh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Eh
+;                                        ; fCOCLKDIV = 32768 x (14+1) = 0.491 MHz ; measured :  MHz
+;            MOV     #100Fh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Fh
+;                                        ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
+;; =====================================
+;            MOV     #8,X
+;
+;    .ELSEIF FREQUENCY = 1
+;
+;            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
+;
+;            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #001Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Dh
+;                                        ; fCOCLKDIV = 32768 x (29+1) = 0.983 MHz ; measured : 0.989MHz
+;            MOV     #001Eh,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Eh
+;                                        ; fCOCLKDIV = 32768 x (30+1) = 1.015 MHz ; measured : 1.013MHz
+;;            MOV     #001Fh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Fh
+;                                        ; fCOCLKDIV = 32768 x (31+1) = 1.049 MHz ; measured : 1.046MHz
+;; =====================================
+;            MOV     #16,X
+;
+;    .ELSEIF FREQUENCY = 2
+;
+;            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
+;
+;            MOV     #0003h,&CSCTL1      ; Set 2MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #003Bh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Bh
+;                                        ; fCOCLKDIV = 32768 x (59+1) = 1.996 MHz ; measured :  MHz
+;            MOV     #003Ch,&CSCTL2         ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Ch
+;                                        ; fCOCLKDIV = 32768 x (60+1) = 1.998 MHz ; measured :  MHz
+;;            MOV     #003Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Dh
+;                                        ; fCOCLKDIV = 32768 x (61+1) = 2.031 MHz ; measured :  MHz
+;; =====================================
+;            MOV     #32,X
+;
+;    .ELSEIF FREQUENCY = 4
+;
+;            MOV #00D2h,&CSCTL0          ; preset DCO = 0xD2 (measured value @ 0x180)
+;
+;            MOV     #0005h,&CSCTL1      ; Set 4MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #0078h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=78h
+;                                        ; fCOCLKDIV = 32768 x (120+1) = 3.965 MHz ; measured : 3.96MHz
+;
+;            MOV     #0079h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=79h
+;                                        ; fCOCLKDIV = 32768 x (121+1) = 3.997 MHz ; measured : 3.99MHz
+;
+;;            MOV     #007Ah,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=7Ah
+;                                        ; fCOCLKDIV = 32768 x (122+1) = 4.030 MHz ; measured : 4.020MHz
+;; =====================================
+;            MOV     #64,X
+;
+;    .ELSEIF FREQUENCY = 8
+;
+;            MOV #00F2h,&CSCTL0          ; preset DCO = 0xF2 (measured value @ 0x180)
+;
+;            MOV     #0007h,&CSCTL1      ; Set 8MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #00F2h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F2h
+;                                        ; fCOCLKDIV = 32768 x (242+1) = 7.963 MHz ; measured : 7.943MHz
+;;            MOV     #00F3h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F3h
+;                                        ; fCOCLKDIV = 32768 x (243+1) = 7.995 MHz ; measured : 7.976MHz
+;            MOV     #00F4h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F4h
+;                                        ; fCOCLKDIV = 32768 x (244+1) = 8.028 MHz ; measured : 8.009MHz
+;
+;;            MOV     #00F5h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F5h
+;                                        ; fCOCLKDIV = 32768 x (245+1) = 8.061 MHz ; measured : 8.042MHz
+;                                        ; works with cp2102 and pl2303TA
+;; =====================================
+;            MOV     #128,X
+;
+;    .ELSEIF FREQUENCY = 16
+;
+;            MOV #0129h,&CSCTL0          ; preset DCO = 0x129 (measured value @ 0x180)
+;
+;            MOV     #000Bh,&CSCTL1      ; Set 16MHZ DCORSEL,disable DCOFTRIM,Modulation
+;; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;;            MOV     #01E6h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
+;                                        ; fCOCLKDIV = 32768 x 486+1) = 15.958 MHz ; measured : 15.92MHz
+;;            MOV     #01E7h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
+;                                        ; fCOCLKDIV = 32768 x 487+1) = 15.991 MHz ; measured : 15.95MHz
+;;            MOV     #01E8h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+;                                        ; fCOCLKDIV = 32768 x 488+1) = 16.023 MHz ; measured : 15.99MHz
+;            MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+;                                        ; fCOCLKDIV = 32768 x 489+1) = 16.056 MHz ; measured : 16.02MHz
+;;            MOV     #01EAh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+;                                        ; fCOCLKDIV = 32768 x 490+1) = 16.089 MHz ; measured : 16.02MHz
+;; =====================================
+;            MOV     #256,X
+;
+;    .ELSEIF
+;    .error "bad frequency setting, only 0.5,1,2,4,8,16 MHz"
+;    .ENDIF
+;
+;    .IFDEF LF_XTAL
+;; LFXIN : P4.1, LFXOUT : P4.2
+;            MOV  #0600h,&PBSEL0         ; SEL0 for only P4.1,P4.2
+;;           MOV     #0000h,&CSCTL3      ; FLL select XT1, FLLREFDIV=0 (default value)
+;            MOV     #0000h,&CSCTL4      ; ACLOCK select XT1, MCLK & SMCLK select DCOCLKDIV
+;    .ELSE
+;            MOV     #0010h,&CSCTL3      ; FLL select REFCLOCK, FLLREFDIV=0
+;;           MOV     #0100h,&CSCTL4      ; ACLOCK select REFO, MCLK & SMCLK select DCOCLKDIV (default value)
+;    .ENDIF
+;
+;            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV preserving a pending request for DEEP_RST
+;;            CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
+;;            JZ      ClockWaitX      ; yes
+;;            .word   0759h           ; no  RRUM #2,X --> wait only 125 ms
+;ClockWaitX  MOV     #5209,Y         ; wait 0.5s before starting after POR
+;                                    ;       ...because FLL lock time = 280 ms
+;ClockWaitY  SUB     #1,Y            ;1
+;            JNZ     ClockWaitY      ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+;            SUB     #1,X            ; x 32 @ 1 MHZ = 500ms
+;            JNZ     ClockWaitX      ; time to stabilize power source ( 500ms )
+
+    .IF FREQUENCY = 0.5
+
+;            MOV     #058h,&CSCTL0       ; preset DCO = measured value @ 0x180 (88)
+;            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+            MOV     #1ED1h,&CSCTL0       ; preset MOD=31, DCO = measured value @ 0x180 (209)
+            MOV     #00B0h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #100Dh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Dh
                                         ; fCOCLKDIV = 32768 x (13+1) = 0.459 MHz ; measured :  MHz
@@ -330,13 +456,14 @@ SD_CSDIR    .equ P8DIR
             MOV     #100Fh,&CSCTL2      ; Set FLLD=1 (DCOCLKCDIV=DCO/2),set FLLN=0Fh
                                         ; fCOCLKDIV = 32768 x (15+1) = 0.524 MHz ; measured :  MHz
 ; =====================================
-            MOV     #2,X
+            MOV     #8,X
 
     .ELSEIF FREQUENCY = 1
 
-            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
-
-            MOV     #0001h,&CSCTL1      ; Set 1MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B1h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B0h,&CSCTL1      ; Set 1MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #001Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Dh
                                         ; fCOCLKDIV = 32768 x (29+1) = 0.983 MHz ; measured : 0.989MHz
@@ -345,13 +472,14 @@ SD_CSDIR    .equ P8DIR
 ;            MOV     #001Fh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1Fh
                                         ; fCOCLKDIV = 32768 x (31+1) = 1.049 MHz ; measured : 1.046MHz
 ; =====================================
-            MOV     #4,X
+            MOV     #16,X
 
     .ELSEIF FREQUENCY = 2
 
-            MOV #00B4h,&CSCTL0          ; preset DCO = 0xB4 (measured value @ 0x180 ; to measure, type HEX 0x180 ?)
-
-            MOV     #0003h,&CSCTL1      ; Set 2MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B3h,&CSCTL1      ; Set 2MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B2h,&CSCTL1      ; Set 2MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #003Bh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Bh
                                         ; fCOCLKDIV = 32768 x (59+1) = 1.996 MHz ; measured :  MHz
@@ -360,13 +488,14 @@ SD_CSDIR    .equ P8DIR
 ;            MOV     #003Dh,&CSCTL2        ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=3Dh
                                         ; fCOCLKDIV = 32768 x (61+1) = 2.031 MHz ; measured :  MHz
 ; =====================================
-            MOV     #8,X
+            MOV     #32,X
 
     .ELSEIF FREQUENCY = 4
 
-            MOV #00D2h,&CSCTL0          ; preset DCO = 0xD2 (measured value @ 0x180)
-
-            MOV     #0005h,&CSCTL1      ; Set 4MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B5h,&CSCTL1      ; Set 4MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B4h,&CSCTL1      ; Set 4MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #0078h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=78h
                                         ; fCOCLKDIV = 32768 x (120+1) = 3.965 MHz ; measured : 3.96MHz
@@ -377,13 +506,14 @@ SD_CSDIR    .equ P8DIR
 ;            MOV     #007Ah,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=7Ah
                                         ; fCOCLKDIV = 32768 x (122+1) = 4.030 MHz ; measured : 4.020MHz
 ; =====================================
-            MOV     #16,X
+            MOV     #64,X
 
     .ELSEIF FREQUENCY = 8
 
-            MOV #00F2h,&CSCTL0          ; preset DCO = 0xF2 (measured value @ 0x180)
-
-            MOV     #0007h,&CSCTL1      ; Set 8MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B7h,&CSCTL1      ; Set 8MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B6h,&CSCTL1      ; Set 8MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #00F2h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F2h
                                         ; fCOCLKDIV = 32768 x (242+1) = 7.963 MHz ; measured : 7.943MHz
@@ -394,55 +524,71 @@ SD_CSDIR    .equ P8DIR
 
 ;            MOV     #00F5h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=F5h
                                         ; fCOCLKDIV = 32768 x (245+1) = 8.061 MHz ; measured : 8.042MHz
-                                        ; works with cp2102 and pl2303TA
+
+;            MOV     #00F8h,&CSCTL2      ; don't work with cp2102 (by low value)
+;            MOV     #00FAh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=FAh
+
 ; =====================================
-            MOV     #32,X
+            MOV     #128,X
 
-    .ELSEIF FREQUENCY = 16
+    .ELSEIF FREQUENCY = 12
 
-            MOV #0129h,&CSCTL0          ; preset DCO = 0x129 (measured value @ 0x180)
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00B9h,&CSCTL1      ; Set 12MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00B8h,&CSCTL1      ; Set 12MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
+; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
+;            MOV     #016Ch,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
+                                        ; fCOCLKDIV = 32768 x 364+1) = 12.960 MHz ; measured : 11.xxxMHz
+;            MOV     #016Dh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
+                                        ; fCOCLKDIV = 32768 x 365+1) = 11.993 MHz ; measured : 11.xxxMHz
+            MOV     #016Eh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+                                        ; fCOCLKDIV = 32768 x 366+1) = 12.025 MHz ; measured : 12.xxxMHz
+;            MOV     #016Fh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+                                        ; fCOCLKDIV = 32768 x 367+1) = 12.058 MHz ; measured : 12.xxxMHz
+; =====================================
+            MOV     #192,X
+
+    .ELSEIF FREQUENCY = 16
 
-            MOV     #000Bh,&CSCTL1      ; Set 16MHZ DCORSEL,disable DCOFTRIM,Modulation
+;            MOV     #100h,&CSCTL0       ; preset DCO = 256 
+;            MOV     #00BBh,&CSCTL1      ; Set 16MHZ DCORSEL,enable DCOFTRIM=3h ,disable Modulation
+            MOV     #1EFFh,&CSCTL0       ; preset MOD=31, DCO=255  
+            MOV     #00BAh,&CSCTL1      ; Set 16MHZ DCORSEL,enable DCOFTRIM=3h ,enable Modulation to reduce EMI
 ; ===================================== ;  fCOCLKDIV = REFO x (FLLN+1)
 ;            MOV     #01E6h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E6h
                                         ; fCOCLKDIV = 32768 x 486+1) = 15.958 MHz ; measured : 15.92MHz
 ;            MOV     #01E7h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E7h
                                         ; fCOCLKDIV = 32768 x 487+1) = 15.991 MHz ; measured : 15.95MHz
-;            MOV     #01E8h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
+            MOV     #01E8h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E8h
                                         ; fCOCLKDIV = 32768 x 488+1) = 16.023 MHz ; measured : 15.99MHz
-            MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
+;            MOV     #01E9h,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
                                         ; fCOCLKDIV = 32768 x 489+1) = 16.056 MHz ; measured : 16.02MHz
-;            MOV     #01EAh,&CSCTL2      ; Set FLLD=0 (DCOCLKCDIV=DCO),set FLLN=1E9h
-                                        ; fCOCLKDIV = 32768 x 490+1) = 16.089 MHz ; measured : 16.02MHz
 ; =====================================
-            MOV     #64,X
+            MOV     #256,X
 
     .ELSEIF
-    .error "bad frequency setting, only 0.5,1,2,4,8,16 MHz"
+    .error "bad frequency setting, only 0.5,1,2,4,8,12,16 MHz"
     .ENDIF
 
     .IFDEF LF_XTAL
-; LFXIN : P4.1, LFXOUT : P4.2
-            MOV  #0600h,&PBSEL0         ; SEL0 for only P4.1,P4.2
 ;           MOV     #0000h,&CSCTL3      ; FLL select XT1, FLLREFDIV=0 (default value)
             MOV     #0000h,&CSCTL4      ; ACLOCK select XT1, MCLK & SMCLK select DCOCLKDIV
     .ELSE
-            MOV     #0010h,&CSCTL3      ; FLL select REFCLOCK, FLLREFDIV=0
+            BIS     #0010h,&CSCTL3      ; FLL select REFCLOCK
 ;           MOV     #0100h,&CSCTL4      ; ACLOCK select REFO, MCLK & SMCLK select DCOCLKDIV (default value)
     .ENDIF
 
+            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV preserving a pending request for DEEP_RST
+            CMP #2,&SAVE_SYSRSTIV       ; POWER ON ?
+            JZ      ClockWaitX          ; yes
+            .word   0749h               ; no  RRUM #1,X --> wait anyway 250 ms because FLL lock time = 200 ms
+ClockWaitX  MOV     #5209,Y             ; wait 0.5s before starting after POR
 
-            BIS &SYSRSTIV,&SAVE_SYSRSTIV; store volatile SYSRSTIV with preserving a pending request for DEEP_RST
-            CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
-            JZ      ClockWaitX      ; yes : wait 800ms to stabilize power source
-            .word   0359h           ; no  : RRUM #1,X --> wait still 400 ms...
-                                    ;       ...because FLL lock time = 280 ms
-
-ClockWaitX  MOV     #-1,Y           ;
-ClockWaitY  SUB     #1,Y            ; 3 cycles loop
-            JNZ     ClockWaitY      ; 65535 = 196605 cycles delay = 200ms @ 1MHz
-            SUB     #1,X            ;
-            JNZ     ClockWaitX      ;
+ClockWaitY  SUB     #1,Y                ;1
+            JNZ     ClockWaitY          ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X                ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX          ; time to stabilize power source ( 500ms )
 
 ;WAITFLL     BIT #300h,&CSCTL7         ; wait FLL lock
 ;            JNZ WAITFLL
index a1c4b02..8407408 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 C8 80 3E 80 04 05 00 18 00 44 E0 06 D7
-66 C8 78 C8 00 00 00 00
+10 00 5A C8 80 3E 80 04 05 00 18 00 1C E2 A6 D6
+20 C8 32 C8 00 00 00 00
 @C400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 F2 C2 03 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 F2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 B4 CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 B4 CC 2A C4 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 5C C9 24 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+5C C9 24 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 32 C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 98 C8
+3B 40 62 C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 46 C8 4D 15
+A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 F2 C2 03 02
+30 41 B2 40 13 00 0E 05 F2 D2 03 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 C8 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+0C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 1C 05 FD 27 82 48 0E 05 30 4D 8E C8 2D 83
+92 B3 1C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 C7
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A C7 04 45 4D 49 54 00 30 40
+B8 C8 E8 C8 04 45 43 48 4F 00 B2 40 82 48 86 C8
+82 43 E4 21 30 4D 68 C7 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 C8 92 43 E4 21 30 4D 1E C7 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E C9 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 C9 EF 3F 48 C9 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 C7 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 C9 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 C9 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 C8 04 28 43 52 29 00 87 12 A2 C9
+02 0D 0A 00 5C C9 2A C4 9E C7 02 43 52 00 30 40
+8C C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A C9 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 C9 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 C9 82 53 22 00 87 12
+34 C4 A2 C9 8C CC D2 C9 34 C4 22 00 22 CA C0 C9
+F2 C9 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 C9 82 2E 22 00 87 12
+DE C9 34 C4 5C C9 8C CC 2A C4 00 00 04 57 4F 52
 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,187 +111,183 @@ F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 7A C9 03 55 4D 2A 2C 4F 0B 43
-09 43 08 43 1A 43 0E BA 02 24 09 5C 08 6B 0C 5C
-0B 6B 0A 5A F8 2B 8F 49 00 00 0E 48 30 4D 74 C6
-07 3E 4E 55 4D 42 45 52 2C 4F 0B 4E 69 4C 79 80
-30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
-20 28 19 92 E2 21 1D 2C 4D 15 1C 4F 02 00 1E 42
-E2 21 3D 40 68 CB D3 3F 6A CB 81 49 02 00 1C 4F
-04 00 1E 42 E2 21 3D 40 7C CB C9 3F 7E CB 39 51
-3E 61 8F 49 04 00 8F 4E 02 00 2B 17 1C 53 1B 83
-D5 23 8F 4C 00 00 0E 4B 30 4D 0C 43 1B 42 E2 21
-32 C0 00 02 2D 15 3D 40 F4 CB 3F 82 8F 4E 06 00
-8F 43 04 00 8F 43 02 00 0C 4E 7B 4C 69 4C 79 80
-2C 00 13 2C 59 83 A2 43 E2 21 79 52 09 24 B2 52
-E2 21 69 53 05 24 B2 40 10 00 E2 21 59 83 AE 23
-1C 53 1B 83 69 4C 79 80 2C 00 59 93 A7 23 B1 43
-02 00 CC 3F F6 CB 0E 93 34 24 32 B0 00 02 31 20
-32 D0 00 02 FC 90 2E 00 00 00 02 20 2D 83 BE 3F
-FC 90 2C 00 00 00 25 20 0A 4B 1B 42 E2 21 09 43
-8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
-79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
-09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 B0 C6
-2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
-4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50 06 00
-0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
-3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
-02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
-18 C9 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
-26 C5 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E 00 00
-3E 4F 30 4D B2 CC 87 4C 49 54 45 52 41 4C 82 93
-BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40 34 C4
-00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
-F1 23 30 4D E8 C9 05 43 4F 55 4E 54 2F 83 1E 53
-8F 4E 00 00 5E 4E FF FF 30 4D 82 4E C6 21 B2 4F
-C8 21 3E 4F 82 43 CA 21 87 12 6E C6 42 CA 20 CD
-3D 40 2C CD BD 22 3E 4F 3D 41 30 4D 2E CD 0A 4E
-3E 4F 3D 40 44 CD 31 27 3D 40 1A CD 1A E2 BE 21
-B9 27 B3 23 46 CD 3E 4F 3D 40 1A CD C0 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 CE CF
-CD 3F A2 CC 08 45 56 41 4C 55 41 54 45 00 39 40
-C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4 0A CD
-82 CD B2 41 CA 21 B2 41 C8 21 B2 41 C6 21 3D 41
-30 4D 4C C6 04 51 55 49 54 00 31 40 E0 20 B2 40
-00 20 00 20 82 43 BE 21 82 43 08 18 B0 12 2A C4
-C2 C9 05 0D 0A 6F 6B 20 80 C9 5A C6 44 C4 64 C6
-32 C8 48 C9 0A CD DE C4 92 C5 C2 C9 0D 73 74 61
-63 6B 20 65 6D 70 74 79 21 20 54 CE 34 C4 30 FF
-C6 C7 D2 C5 C2 C9 0B 46 52 41 4D 20 66 75 6C 6C
-21 20 54 CE 90 C6 F2 C4 E0 C5 B0 CD C2 C9 05 0D
-0A 20 20 20 DC C5 B8 CD B2 40 40 D5 A4 D5 B2 40
-A0 C8 94 C8 B2 40 04 C9 14 C9 B2 40 AC C9 C0 C9
-B2 40 00 C8 26 C8 82 43 C8 DD 82 43 D4 DD 82 43
-E0 DD 82 43 10 DE 82 43 1C DE 82 43 28 DE B2 40
-0A 00 E2 21 30 41 2A C8 05 41 42 4F 52 54 3F 40
-80 20 A3 3F 8F 93 02 00 52 26 B2 40 82 48 D2 C8
-B0 12 14 CE A2 B3 1C 05 FD 27 B2 40 11 00 0E 05
-F2 C2 03 02 92 C3 1C 05 38 40 A0 AA 39 42 03 43
-19 83 FD 23 18 83 FA 23 92 B3 1C 05 F3 23 87 12
-C2 C9 04 1B 5B 37 6D 00 80 C9 34 C4 E4 21 F2 C4
-54 C4 E0 C5 BA CE C2 C9 05 6C 69 6E 65 3A 80 C9
-34 C4 01 00 28 C5 7C C7 1E C9 80 C9 C2 C9 04 1B
-5B 30 6D 00 80 C9 B6 D4 E0 C9 4E CE 48 CE 86 41
-42 4F 52 54 22 00 87 12 FE C9 34 C4 54 CE B4 CC
-2A C4 9A CA 01 27 87 12 6E C6 42 CA A0 CA E0 C5
-F4 CE 2A C4 4E CD 96 C6 81 5C 92 42 C6 21 CA 21
-30 4D 00 00 81 5B 82 43 BE 21 30 4D F8 CE 01 5D
-B2 43 BE 21 30 4D 04 CF 83 5B 27 5D 87 12 E6 CE
-34 C4 34 C4 B4 CC B4 CC 2A C4 BE 4F 02 00 3E 4F
-30 4D A6 C9 82 49 53 00 87 12 90 C6 F2 C4 E0 C5
-4C CF 1C CF 34 C4 2A CF B4 CC 2A C4 E6 CE 2A CF
-2A C4 34 CF 09 49 4D 4D 45 44 49 41 54 45 1A 42
-B6 21 FA D0 80 00 00 00 30 4D FA C9 87 52 45 43
-55 52 53 45 19 42 CC 21 99 42 BA 21 00 00 A2 53
-CC 21 30 4D 94 CD 88 50 4F 53 54 50 4F 4E 45 00
-87 12 6E C6 42 CA A0 CA 54 C4 E0 C5 F4 CE 92 C5
-E0 C5 B0 CF 34 C4 34 C4 B4 CC B4 CC 34 C4 B4 CC
-B4 CC 2A C4 82 9F BC 21 34 25 87 12 C2 C9 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 5A CE
-87 12 1E CA 6E C6 42 CA DA CF 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 E0 21 6E 4E 3E F0 1E 00 09 5E
-82 48 B6 21 82 49 B8 21 82 4A BA 21 2A 52 82 4A
-CC 21 3E 4F 3D 41 30 41 3C CA 08 56 41 52 49 41
-42 4C 45 00 B0 12 D0 CF BA 40 86 12 FC FF 05 3D
-F6 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12 D0 CF
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F F6 3C 22 D0
-06 43 52 45 41 54 45 00 B0 12 D0 CF BA 40 85 12
-FC FF 8A 4A FE FF E9 3C 64 CD 05 44 4F 45 53 3E
-1A 42 BA 21 BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 5A D0 05 44 45 46 45 52 B0 12 D0 CF BA 40
-30 40 FC FF BA 40 70 D0 FE FF CF 3C 18 CF 01 3A
-B0 12 D0 CF BA 40 87 12 FC FF A2 83 CC 21 B2 43
-BE 21 82 4F BC 21 30 4D 8E D0 81 3B 82 93 BE 21
-24 27 87 12 34 C4 2A C4 B4 CC B4 CF 06 CF 2A C4
-40 D0 07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F
-39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30
-19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43
-30 4D AA D0 86 5B 54 48 45 4E 5D 00 30 4D 1E 83
-30 4D F4 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C4
-01 00 6E C6 42 CA FC CC 44 C4 E0 C5 7A D1 8A C4
-8A C4 C2 C9 04 5B 49 46 5D 00 CA D0 EA C5 38 D1
-00 CB 2C C5 DC C5 6E D1 8A C4 8A C4 C2 C9 06 5B
-45 4C 53 45 5D 00 CA D0 EA C5 5C D1 00 CB FE D0
-44 C4 E0 C5 58 D1 2C C5 DC C5 6E D1 C2 C9 06 5B
-54 48 45 4E 5D 00 CA D0 EA C5 6E D1 FE D0 54 C4
-EA C5 76 D1 2A C4 DC C5 12 D1 00 CB C2 C9 05 0D
-0A 6B 6F 20 80 C9 5A C6 44 C4 64 C6 32 C8 90 D1
-82 43 CA 21 82 4E C6 21 B2 4F C8 21 3E 4F 3D 40
-12 D1 30 4D 04 D1 84 5B 49 46 5D 00 0E 93 3E 4F
-AD 27 30 4D A6 D1 8B 5B 55 4E 44 45 46 49 4E 45
-44 5D 87 12 6E C6 42 CA A0 CA 6C C4 86 C5 2A C4
-B6 D1 89 5B 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 2A C4 EA D1 3D 41 B2 4E 0E 18
-A2 4E 0C 18 3E 4F 69 3D C6 CC 06 4D 41 52 4B 45
-52 00 B0 12 D0 CF BA 40 84 12 FC FF BA 40 E8 D1
-FE FF 9A 42 CE 21 00 00 28 83 8A 48 02 00 A2 52
-CC 21 18 42 B6 21 19 42 B8 21 A8 49 FE FF 89 48
-00 00 30 4D 54 CF 82 49 46 00 2F 83 8F 4E 00 00
-1E 42 CC 21 A2 52 CC 21 BE 40 E0 C5 00 00 2E 53
-30 4D 74 D0 84 45 4C 53 45 00 A2 52 CC 21 1A 42
-CC 21 BA 40 DC C5 FC FF 8E 4A 00 00 2A 83 0E 4A
-30 4D 08 CB 84 54 48 45 4E 00 9E 42 CC 21 00 00
-3E 4F 30 4D C2 D0 85 42 45 47 49 4E 30 40 C6 C7
-74 D2 85 55 4E 54 49 4C 39 40 E0 C5 A2 52 CC 21
-1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D
-CE CE 85 41 47 41 49 4E 39 40 DC C5 EF 3F 0A D0
-85 57 48 49 4C 45 87 12 3A D2 78 C4 2A C4 6C CF
-86 52 45 50 45 41 54 00 87 12 B8 D2 7A D2 2A C4
-54 D2 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 CC 21
-1E 42 CC 21 BE 40 F0 C5 FE FF A2 53 00 20 1A 42
-00 20 8A 43 00 00 30 4D FA D1 84 4C 4F 4F 50 00
-39 40 12 C6 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF
-8A 4E FE FF 1E 42 00 20 A2 83 00 20 2E 4E 0E 93
-03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D 20 C8 85 2B
-4C 4F 4F 50 39 40 00 C6 E5 3F 0A D3 85 4C 45 41
-56 45 1A 42 CC 21 BA 40 22 C6 00 00 BA 40 DC C5
-02 00 B2 50 06 00 CC 21 A2 53 00 20 2A 52 19 42
-00 20 89 4A 00 00 30 4D 4C D3 04 4D 4F 56 45 00
-0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24
-06 2C F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A
-09 5A 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-C0 D2 0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12
-48 D0 34 C4 10 00 34 C4 00 00 F0 C5 34 C4 00 00
-B4 CC 12 C6 CC D3 C6 C7 34 C4 CE 21 44 C4 F2 C4
-B4 CC FA C4 60 D0 34 C4 D0 21 FA C4 2A C4 E4 CE
-05 46 4F 52 54 48 84 12 E6 D3 4C D7 22 D7 BA DF
-F0 D3 0A D7 3E D3 84 DF BC DE DA D4 F4 D4 92 DF
-DA DE 46 C5 50 DE 0E CF D0 DE 00 00 B2 D2 04 41
-4C 53 4F 00 3A 40 0E 00 39 40 D0 21 38 40 D2 21
-B6 3F 86 CF 08 50 52 45 56 49 4F 55 53 00 3A 40
-0E 00 39 40 D2 21 38 40 D0 21 A3 3F 2A CA 04 4F
-4E 4C 59 00 82 43 D2 21 30 4D E2 D2 0B 44 45 46
-49 4E 49 54 49 4F 4E 53 92 42 D0 21 E0 21 30 4D
-F6 D3 54 D4 68 D4 78 D4 3A 4E 82 4A CE 21 2E 4E
-82 4E CC 21 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D 34 D4 09 50 57 52
-5F 53 54 41 54 45 84 12 70 D4 06 D7 44 E0 D0 D2
-09 52 53 54 5F 53 54 41 54 45 92 42 0E 18 BA D4
-92 42 0C 18 BC D4 EF 3F AC D4 08 50 57 52 5F 48
-45 52 45 00 92 42 CE 21 BA D4 92 42 CC 21 BC D4
-30 4D C0 D4 08 52 53 54 5F 48 45 52 45 00 92 42
-CE 21 0E 18 92 42 CC 21 0C 18 EC 3F B2 D3 04 57
-49 50 45 00 39 40 80 FF B9 43 00 00 29 53 39 90
-E2 FF FA 23 B0 12 08 CE B2 40 44 E0 0C 18 B2 40
-06 D7 0E 18 CA 3F 36 D2 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 C2 C9 06 0D 1B 5B 37 6D 23 00
-80 C9 B0 C7 C2 C9 27 20 46 61 73 74 46 6F 72 74
-68 20 56 32 30 33 20 31 36 4D 48 7A 20 28 43 29
-20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 80 C9
-34 C4 30 FF C6 C7 28 C5 7C C7 C2 C9 0B 62 79 74
-65 73 20 66 72 65 65 20 60 CE 0E D5 04 57 41 52
-4D 00 30 40 40 D5 86 D2 04 43 4F 4C 44 00 B2 40
-04 A5 20 01 B2 40 88 5A CC 01 B2 D0 00 08 04 02
-B2 D3 06 02 B2 43 02 02 B2 40 00 01 24 02 B2 40
-FF FE 22 02 B2 D0 FF FE 26 02 B2 43 42 02 B2 D3
-46 02 B2 43 62 02 B2 D3 66 02 F2 40 A5 00 A1 01
-F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
-B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40 E9 01
-84 01 39 40 40 00 B2 40 00 06 2A 02 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 B4 D5 39 40 E2 FF
+8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 56 C9
+03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43 0E BA
+02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A F8 2B 8F 49
+00 00 0E 48 30 4D 94 C5 07 3E 4E 55 4D 42 45 52
+2C 4F 0B 4E 69 4C 79 80 30 00 79 90 0A 00 05 28
+79 80 07 00 79 90 0A 00 20 28 19 92 E2 21 1D 2C
+4D 15 1C 4F 02 00 1E 42 E2 21 3D 40 40 CB D3 3F
+42 CB 81 49 02 00 1C 4F 04 00 1E 42 E2 21 3D 40
+54 CB C9 3F 56 CB 39 51 3E 61 8F 49 04 00 8F 4E
+02 00 2B 17 1C 53 1B 83 D5 23 8F 4C 00 00 0E 4B
+30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 3D 40
+CC CB 3F 82 8F 4E 06 00 8F 43 04 00 8F 43 02 00
+0C 4E 7B 4C 69 4C 79 80 2C 00 13 2C 59 83 A2 43
+E2 21 79 52 09 24 B2 52 E2 21 69 53 05 24 B2 40
+10 00 E2 21 59 83 AE 23 1C 53 1B 83 69 4C 79 80
+2C 00 59 93 A7 23 B1 43 02 00 CC 3F CE CB 0E 93
+34 24 32 B0 00 02 31 20 32 D0 00 02 FC 90 2E 00
+00 00 02 20 2D 83 BE 3F FC 90 2C 00 00 00 25 20
+0A 4B 1B 42 E2 21 09 43 8F 49 02 00 5A 83 09 4A
+09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
+07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
+0E 4B 2C 15 B0 12 6A C6 2A 17 E6 3F 9F 4F 04 00
+02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
+E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
+02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
+02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
+00 02 01 20 2F 53 30 4D F4 C8 07 45 58 45 43 55
+54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42 CC 21
+A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 8A CC 87 4C
+49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42 CC 21
+A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00 3E 4F
+32 B0 00 02 32 C0 00 02 F1 23 30 4D C8 C9 05 43
+4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
+30 4D 87 12 D6 C8 32 C6 22 CA EC CC 3D 40 F4 CC
+C7 22 2D 3E F6 CC 0A 4E 3E 4F 3D 40 0C CD 39 27
+3D 40 E6 CC 1A E2 BE 21 C1 27 BB 23 0E CD 3E 4F
+3D 40 E6 CC C8 23 DE 53 00 00 68 4E 08 5E F8 40
+3F 00 00 00 3D 40 98 CF D5 3F 7A CC 08 45 56 41
+4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49 3A 49
+3D 15 B0 12 2A C4 E2 CC 4A CD B2 41 CA 21 B2 41
+C8 21 B2 41 C6 21 3D 41 30 4D 9C C5 04 51 55 49
+54 00 31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21
+82 43 08 18 B0 12 2A C4 A2 C9 05 0D 0A 6F 6B 20
+5C C9 BE C8 24 C9 E2 CC DE C4 70 C5 A2 C9 0D 73
+74 61 63 6B 20 65 6D 70 74 79 21 20 1C CE 34 C4
+30 FF 80 C7 AC C5 A2 C9 0B 46 52 41 4D 20 66 75
+6C 6C 21 20 1C CE 4A C6 F2 C4 B8 C5 78 CD A2 C9
+05 0D 0A 20 20 20 B4 C5 80 CD E4 C7 05 41 42 4F
+52 54 3F 40 80 20 C5 3F B2 40 EC D4 48 D5 B2 40
+54 C8 48 C8 B2 40 3C 21 2A C6 B2 40 B8 C8 F0 C8
+B2 40 8C C9 A0 C9 B2 40 BA C7 E0 C7 82 43 68 DD
+82 43 74 DD 82 43 80 DD 82 43 B0 DD 82 43 BC DD
+82 43 C8 DD B2 40 0A 00 E2 21 30 41 8F 93 02 00
+03 20 2F 52 3E 4F 30 4D B2 40 82 48 86 C8 B0 12
+E4 CD A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 F2 C2
+03 02 92 C3 1C 05 38 40 A0 AA 39 42 03 43 19 83
+FD 23 18 83 FA 23 92 B3 1C 05 F3 23 87 12 A2 C9
+04 1B 5B 37 6D 00 5C C9 34 C4 E4 21 F2 C4 54 C4
+B8 C5 84 CE A2 C9 05 6C 69 6E 65 3A 5C C9 40 C5
+36 C7 FA C8 5C C9 A2 C9 04 1B 5B 30 6D 00 5C C9
+62 D4 C0 C9 D2 CD CC CD 86 41 42 4F 52 54 22 00
+87 12 DE C9 34 C4 1C CE 8C CC 2A C4 7A CA 01 27
+87 12 32 C6 22 CA 80 CA B8 C5 BE CE 2A C4 16 CD
+50 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
+82 43 BE 21 30 4D C2 CE 01 5D B2 43 BE 21 30 4D
+CE CE 83 5B 27 5D 87 12 B0 CE 34 C4 34 C4 8C CC
+8C CC 2A C4 BE 4F 02 00 3E 4F 30 4D 86 C9 82 49
+53 00 87 12 4A C6 F2 C4 B8 C5 16 CF E6 CE 34 C4
+F4 CE 8C CC 2A C4 B0 CE F4 CE 2A C4 FE CE 09 49
+4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
+00 00 30 4D DA C9 87 52 45 43 55 52 53 45 19 42
+CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 5C CD
+88 50 4F 53 54 50 4F 4E 45 00 87 12 32 C6 22 CA
+80 CA 54 C4 B8 C5 BE CE 70 C5 B8 C5 7A CF 34 C4
+34 C4 8C CC 8C CC 34 C4 8C CC 8C CC 2A C4 82 9F
+BC 21 25 25 87 12 A2 C9 0F 73 74 61 63 6B 20 6D
+69 73 6D 61 74 63 68 21 28 CE E2 CE 81 3B 82 93
+BE 21 90 27 87 12 34 C4 2A C4 8C CC 7E CF D0 CE
+2A C4 BA 40 87 12 FC FF A2 83 CC 21 B2 43 BE 21
+82 4F BC 21 30 4D 9C CF 01 3A 30 12 B2 CF 87 12
+FE C9 32 C6 22 CA D8 CF 08 4E 7A 4E 5A D3 5A 53
+0A 58 19 42 E0 21 6E 4E 3E F0 1E 00 09 5E 82 48
+B6 21 82 49 B8 21 82 4A BA 21 2A 52 82 4A CC 21
+3E 4F 3D 41 30 41 1C CA 08 56 41 52 49 41 42 4C
+45 00 B0 12 CE CF BA 40 86 12 FC FF DC 3C CE CC
+08 43 4F 4E 53 54 41 4E 54 00 B0 12 CE CF BA 40
+85 12 FC FF 8A 4E FE FF 3E 4F CD 3C 20 D0 06 43
+52 45 41 54 45 00 B0 12 CE CF BA 40 85 12 FC FF
+8A 4A FE FF C0 3C 2C CD 05 44 4F 45 53 3E 1A 42
+BA 21 BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D
+58 D0 05 44 45 46 45 52 B0 12 CE CF BA 40 30 40
+FC FF BA 40 6E D0 FE FF A6 3C 3E D0 07 43 4F 4D
+50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
+F5 27 02 2C 3E 43 30 4D 1E 43 30 4D C8 CF 86 5B
+54 48 45 4E 5D 00 30 4D BE D0 86 5B 45 4C 53 45
+5D 00 87 12 34 C4 01 00 32 C6 22 CA D4 CC 44 C4
+B8 C5 3C D1 8A C4 8A C4 A2 C9 04 5B 49 46 5D 00
+94 D0 C2 C5 FE D0 7E C9 36 C5 B4 C5 34 D1 8A C4
+8A C4 A2 C9 06 5B 45 4C 53 45 5D 00 94 D0 C2 C5
+22 D1 7E C9 40 C5 44 C4 B8 C5 34 D1 36 C5 B4 C5
+34 D1 A2 C9 06 5B 54 48 45 4E 5D 00 94 D0 C2 C5
+34 D1 40 C5 54 C4 C2 C5 D8 D0 2A C4 7E C9 A2 C9
+05 0D 0A 6B 6F 20 5C C9 BE C8 D6 C8 B4 C5 D8 D0
+CA D0 84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D
+52 D1 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12
+32 C6 22 CA 80 CA 6C C4 64 C5 2A C4 62 D1 89 5B
+44 45 46 49 4E 45 44 5D 87 12 32 C6 22 CA 80 CA
+6C C4 2A C4 96 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18
+3E 4F 69 3D 9E CC 06 4D 41 52 4B 45 52 00 B0 12
+CE CF BA 40 84 12 FC FF BA 40 94 D1 FE FF 9A 42
+CE 21 00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42
+B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
+1E CF 82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21
+A2 52 CC 21 BE 40 B8 C5 00 00 2E 53 30 4D 72 D0
+84 45 4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40
+B4 C5 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D E0 CA
+84 54 48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D
+8C D0 85 42 45 47 49 4E 30 40 80 C7 20 D2 85 55
+4E 54 49 4C 39 40 B8 C5 A2 52 CC 21 1A 42 CC 21
+8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 98 CE 85 41
+47 41 49 4E 39 40 B4 C5 EF 3F 08 D0 85 57 48 49
+4C 45 87 12 E6 D1 78 C4 2A C4 36 CF 86 52 45 50
+45 41 54 00 87 12 64 D2 26 D2 2A C4 00 D2 82 44
+4F 00 2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21
+BE 40 C8 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43
+00 00 30 4D A6 D1 84 4C 4F 4F 50 00 39 40 EA C5
+A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF
+1E 42 00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A
+00 00 F6 3F 3E 4F 30 4D DA C7 85 2B 4C 4F 4F 50
+39 40 D8 C5 E5 3F B6 D2 85 4C 45 41 56 45 1A 42
+CC 21 BA 40 FA C5 00 00 BA 40 B4 C5 02 00 B2 50
+06 00 CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A
+00 00 30 4D F8 D2 04 4D 4F 56 45 00 0A 4E 38 4F
+39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49
+00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 6C D2 0A 56
+4F 43 41 42 55 4C 41 52 59 00 87 12 46 D0 34 C4
+10 00 34 C4 00 00 C8 C5 34 C4 00 00 8C CC EA C5
+78 D3 80 C7 34 C4 CE 21 44 C4 F2 C4 8C CC FA C4
+5E D0 34 C4 D0 21 FA C4 2A C4 AE CE 05 46 4F 52
+54 48 84 12 92 D3 FC DD C2 D6 6C DF BE E1 08 E0
+EA D2 36 DF 64 DE 82 DE 04 E2 2C E1 8C DE 00 00
+F8 DF D8 CE 78 DE 00 00 5E D2 04 41 4C 53 4F 00
+3A 40 0E 00 39 40 D0 21 38 40 D2 21 B6 3F 50 CF
+08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40
+D2 21 38 40 D0 21 A3 3F 0A CA 04 4F 4E 4C 59 00
+82 43 D2 21 30 4D 8E D2 0B 44 45 46 49 4E 49 54
+49 4F 4E 53 92 42 D0 21 E0 21 30 4D A2 D3 00 D4
+14 D4 24 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21
+3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
+FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
+3E 4F 3D 41 30 4D E0 D3 09 50 57 52 5F 53 54 41
+54 45 84 12 1C D4 A6 D6 1C E2 7C D2 09 52 53 54
+5F 53 54 41 54 45 92 42 0E 18 66 D4 92 42 0C 18
+68 D4 EF 3F 58 D4 08 50 57 52 5F 48 45 52 45 00
+92 42 CE 21 66 D4 92 42 CC 21 68 D4 30 4D 6C D4
+08 52 53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18
+92 42 CC 21 0C 18 EC 3F 5E D3 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 E2 FF FA 23
+B0 12 D8 CD B2 40 1C E2 0C 18 B2 40 A6 D6 0E 18
+CA 3F E2 D1 06 28 57 41 52 4D 29 00 1E 42 08 18
+87 12 A2 C9 06 0D 1B 5B 37 6D 23 00 5C C9 6A C7
+A2 C9 1F 46 61 73 74 46 6F 72 74 68 20 56 32 30
+35 20 28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 5C C9 34 C4 30 FF 80 C7 28 C5 36 C7 A2 C9
+0B 62 79 74 65 73 20 66 72 65 65 20 2E CE BA D4
+04 57 41 52 4D 00 30 40 EC D4 32 D2 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 D0
+00 08 04 02 B2 D3 06 02 B2 43 02 02 B2 40 00 01
+24 02 B2 40 FF FE 22 02 B2 D0 FF FE 26 02 B2 43
+42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 40
+A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40
+00 A5 60 01 B2 40 FF 1E 80 01 B2 40 BA 00 82 01
+B2 40 E8 01 84 01 39 40 00 01 82 43 88 01 92 D2
+5E 01 08 18 A2 93 08 18 01 24 49 07 38 40 59 14
+18 83 FE 23 19 83 FA 23 3A 40 58 D5 39 40 E2 FF
 89 4A 00 00 29 53 FC 23 92 42 02 18 EC FF B2 40
 18 00 0A 18 39 40 00 20 89 43 00 00 29 53 39 90
 FF 27 FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
@@ -301,160 +295,195 @@ FF 27 FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
 E2 21 B2 43 B4 21 92 C3 30 01 18 42 08 18 D2 B3
 00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
 00 05 B2 42 06 05 B2 40 A1 F7 08 05 F2 D0 03 00
-0A 02 92 C3 00 05 92 D3 1A 05 3D 40 D4 D6 18 42
-08 18 38 90 0A 00 26 27 38 90 16 00 23 2F 28 93
-FC 22 F1 26 A2 D5 1E D4 09 41 53 53 45 4D 42 4C
-45 52 84 12 E6 D3 2C DD D8 DD E0 DC 2C DE A6 DC
-60 DD AA D9 00 00 9C DC 4C DD FE DC 3C DD BA DA
-00 00 00 00 3E DE 1A D4 38 D5 85 48 49 32 4C 4F
-87 12 C6 C7 4E D2 B4 CC 06 CF 24 D4 E2 D6 2A C4
-A8 D5 04 43 4F 44 45 00 B0 12 D0 CF A2 82 CC 21
-87 12 A2 D0 DC C5 1A D7 00 00 07 45 4E 44 43 4F
-44 45 87 12 3E D4 B4 CF 2A C4 D8 D6 03 41 53 4D
-92 42 E0 21 C0 21 B2 40 E6 D6 E0 21 E5 3F 3A D7
+0A 02 92 C3 00 05 92 D3 1A 05 3D 40 74 D6 18 42
+08 18 38 90 0A 00 2C 27 38 90 16 00 29 2F 28 93
+02 23 F7 26 46 D5 CA D3 09 41 53 53 45 4D 42 4C
+45 52 84 12 92 D3 CC DC 78 DD 80 DC CC DD 46 DC
+00 DD 4A D9 00 00 3C DC EC DC 9E DC DC DC 5A DA
+00 00 00 00 DE DD C6 D3 E4 D4 85 48 49 32 4C 4F
+87 12 80 C7 FA D1 8C CC D0 CE D0 D3 82 D6 2A C4
+4C D5 04 43 4F 44 45 00 B0 12 CE CF A2 82 CC 21
+87 12 C0 CF B4 C5 BA D6 00 00 07 45 4E 44 43 4F
+44 45 87 12 EA D3 7E CF 2A C4 78 D6 03 41 53 4D
+92 42 E0 21 C0 21 B2 40 86 D6 E0 21 E5 3F DA D6
 06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21 E9 3F
 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40 87 12
-00 00 A2 53 CC 21 B2 43 BE 21 30 40 3E D4 00 00
+00 00 A2 53 CC 21 B2 43 BE 21 30 40 EA D3 00 00
 05 4C 4F 32 48 49 1A 42 CC 21 BA 40 B0 12 00 00
 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F 38 40 C6 21
 39 48 2A 48 09 5A 1A 52 CA 21 09 9A 03 24 7E 9A
 FC 27 1A 83 0E 4A 2A 88 82 4A CA 21 30 4D B0 12
-2A C4 42 CA A0 CA EA C5 E2 D7 9A CB E0 C5 F4 CE
-04 D8 E4 D7 29 4E 39 90 86 12 02 20 2E 53 30 41
+2A C4 22 CA 80 CA C2 C5 82 D7 72 CB B8 C5 BE CE
+A4 D7 84 D7 29 4E 39 90 86 12 02 20 2E 53 30 41
 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90 84 12
 01 20 2E 52 30 41 19 42 CC 21 A2 53 CC 21 89 4E
 00 00 3E 40 29 00 12 12 CA 21 92 53 CA 21 B0 12
-2A C4 42 CA 9A CB E0 C5 36 D8 2C D8 21 53 3E 90
-10 00 BB 2D 30 41 38 D8 B2 41 CA 21 22 D3 30 41
-87 12 6E C6 AC D7 48 D8 82 43 C4 21 92 42 CC 21
+2A C4 22 CA 72 CB B8 C5 D6 D7 CC D7 21 53 3E 90
+10 00 BB 2D 30 41 D8 D7 B2 41 CA 21 22 D3 30 41
+87 12 32 C6 4C D7 E8 D7 82 43 C4 21 92 42 CC 21
 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90 23 00 00 00
-34 20 92 53 CA 21 B0 12 CE D7 0E 93 04 20 B2 40
+34 20 92 53 CA 21 B0 12 6E D7 0E 93 04 20 B2 40
 00 03 C4 21 27 3C 1E 93 04 20 B2 40 10 03 C4 21
 21 3C 2E 93 04 20 B2 40 20 03 C4 21 1B 3C 2E 92
 04 20 B2 40 20 02 C4 21 15 3C 3E 92 04 20 B2 40
 30 02 C4 21 0F 3C 3E 93 04 20 B2 40 30 03 C4 21
 09 3C B2 40 30 00 C4 21 19 42 CC 21 A2 53 CC 21
 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00
-08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12 B8 D8
+08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12 58 D8
 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 21
-92 53 CA 21 B0 12 16 D8 0E 20 B2 50 10 00 C4 21
-3E 40 2B 00 B0 12 16 D8 32 24 92 92 C6 21 CA 21
+92 53 CA 21 B0 12 B6 D7 0E 20 B2 50 10 00 C4 21
+3E 40 2B 00 B0 12 B6 D7 32 24 92 92 C6 21 CA 21
 02 24 92 53 CA 21 8E 10 82 5E C4 21 D3 3F B0 12
-16 D8 F9 23 B2 50 10 00 C4 21 3E 40 28 00 B0 12
-CE D7 30 12 08 D9 67 3F 87 12 6E C6 AC D7 40 D9
+B6 D7 F9 23 B2 50 10 00 C4 21 3E 40 28 00 B0 12
+6E D7 30 12 A8 D8 67 3F 87 12 32 C6 4C D7 E0 D8
 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50 82 00
-C4 21 C2 3F B0 12 16 D8 DF 23 B2 50 80 00 C4 21
-3E 40 28 00 B0 12 CE D7 B0 12 06 D8 D5 23 3D 40
-F4 CE 30 4D 8C C8 04 52 45 54 49 00 87 12 34 C4
-00 13 B4 CC 2A C4 34 C4 2C 00 40 D8 38 D9 90 D9
-2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 90 D7 03 4D
-4F 56 84 12 86 D9 00 40 9E D9 05 4D 4F 56 2E 42
-84 12 86 D9 40 40 00 00 03 41 44 44 84 12 86 D9
-00 50 B8 D9 05 41 44 44 2E 42 84 12 86 D9 40 50
-C4 D9 04 41 44 44 43 00 84 12 86 D9 00 60 D2 D9
-06 41 44 44 43 2E 42 00 84 12 86 D9 40 60 76 D9
-04 53 55 42 43 00 84 12 86 D9 00 70 F0 D9 06 53
-55 42 43 2E 42 00 84 12 86 D9 40 70 FE D9 03 53
-55 42 84 12 86 D9 00 80 0E DA 05 53 55 42 2E 42
-84 12 86 D9 40 80 72 D7 03 43 4D 50 84 12 86 D9
-00 90 28 DA 05 43 4D 50 2E 42 84 12 86 D9 40 90
-60 D7 04 44 41 44 44 00 84 12 86 D9 00 A0 42 DA
-06 44 41 44 44 2E 42 00 84 12 86 D9 40 A0 34 DA
-03 42 49 54 84 12 86 D9 00 B0 60 DA 05 42 49 54
-2E 42 84 12 86 D9 40 B0 6C DA 03 42 49 43 84 12
-86 D9 00 C0 7A DA 05 42 49 43 2E 42 84 12 86 D9
-40 C0 86 DA 03 42 49 53 84 12 86 D9 00 D0 94 DA
-05 42 49 53 2E 42 84 12 86 D9 40 D0 00 00 03 58
-4F 52 84 12 86 D9 00 E0 AE DA 05 58 4F 52 2E 42
-84 12 86 D9 40 E0 E0 D9 03 41 4E 44 84 12 86 D9
-00 F0 C8 DA 05 41 4E 44 2E 42 84 12 86 D9 40 F0
-6E C6 40 D8 E6 DA 1A 42 C4 21 B2 F0 70 00 C4 21
-8A 10 3A F0 0F 00 82 DA C4 21 4A 3F 1A DA 03 52
-52 43 84 12 E0 DA 00 10 FE DA 05 52 52 43 2E 42
-84 12 E0 DA 40 10 0A DB 04 53 57 50 42 00 84 12
-E0 DA 80 10 18 DB 03 52 52 41 84 12 E0 DA 00 11
-26 DB 05 52 52 41 2E 42 84 12 E0 DA 40 11 32 DB
-03 53 58 54 84 12 E0 DA 80 11 00 00 04 50 55 53
-48 00 84 12 E0 DA 00 12 4C DB 06 50 55 53 48 2E
-42 00 84 12 E0 DA 40 12 A0 DA 04 43 41 4C 4C 00
-84 12 E0 DA 80 12 34 C4 2C 00 40 D8 38 D9 80 DB
+C4 21 C2 3F B0 12 B6 D7 DF 23 B2 50 80 00 C4 21
+3E 40 28 00 B0 12 6E D7 B0 12 A6 D7 D5 23 3D 40
+BE CE 30 4D 40 C8 04 52 45 54 49 00 87 12 34 C4
+00 13 8C CC 2A C4 34 C4 2C 00 E0 D7 D8 D8 30 D9
+2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 30 D7 03 4D
+4F 56 84 12 26 D9 00 40 3E D9 05 4D 4F 56 2E 42
+84 12 26 D9 40 40 00 00 03 41 44 44 84 12 26 D9
+00 50 58 D9 05 41 44 44 2E 42 84 12 26 D9 40 50
+64 D9 04 41 44 44 43 00 84 12 26 D9 00 60 72 D9
+06 41 44 44 43 2E 42 00 84 12 26 D9 40 60 16 D9
+04 53 55 42 43 00 84 12 26 D9 00 70 90 D9 06 53
+55 42 43 2E 42 00 84 12 26 D9 40 70 9E D9 03 53
+55 42 84 12 26 D9 00 80 AE D9 05 53 55 42 2E 42
+84 12 26 D9 40 80 12 D7 03 43 4D 50 84 12 26 D9
+00 90 C8 D9 05 43 4D 50 2E 42 84 12 26 D9 40 90
+00 D7 04 44 41 44 44 00 84 12 26 D9 00 A0 E2 D9
+06 44 41 44 44 2E 42 00 84 12 26 D9 40 A0 D4 D9
+03 42 49 54 84 12 26 D9 00 B0 00 DA 05 42 49 54
+2E 42 84 12 26 D9 40 B0 0C DA 03 42 49 43 84 12
+26 D9 00 C0 1A DA 05 42 49 43 2E 42 84 12 26 D9
+40 C0 26 DA 03 42 49 53 84 12 26 D9 00 D0 34 DA
+05 42 49 53 2E 42 84 12 26 D9 40 D0 00 00 03 58
+4F 52 84 12 26 D9 00 E0 4E DA 05 58 4F 52 2E 42
+84 12 26 D9 40 E0 80 D9 03 41 4E 44 84 12 26 D9
+00 F0 68 DA 05 41 4E 44 2E 42 84 12 26 D9 40 F0
+32 C6 E0 D7 86 DA 1A 42 C4 21 B2 F0 70 00 C4 21
+8A 10 3A F0 0F 00 82 DA C4 21 4A 3F BA D9 03 52
+52 43 84 12 80 DA 00 10 9E DA 05 52 52 43 2E 42
+84 12 80 DA 40 10 AA DA 04 53 57 50 42 00 84 12
+80 DA 80 10 B8 DA 03 52 52 41 84 12 80 DA 00 11
+C6 DA 05 52 52 41 2E 42 84 12 80 DA 40 11 D2 DA
+03 53 58 54 84 12 80 DA 80 11 00 00 04 50 55 53
+48 00 84 12 80 DA 00 12 EC DA 06 50 55 53 48 2E
+42 00 84 12 80 DA 40 12 40 DA 04 43 41 4C 4C 00
+84 12 80 DA 80 12 34 C4 2C 00 E0 D7 D8 D8 20 DB
 59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90 00 15
 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00
-03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C7 C2 C9
-0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 5A CE
-5A DB 05 50 55 53 48 4D 84 12 76 DB 00 15 C2 DB
-04 50 4F 50 4D 00 84 12 76 DB 00 17 6E C6 AC D7
-E2 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21
-92 53 CA 21 3E 40 2C 00 B0 12 2A C4 42 CA 9A CB
-E0 C5 F4 CE 38 D9 08 DC 0A 4E 3E 4F 1A 83 2A 92
-CA 2F 8A 10 5A 06 6F 3F 40 DB 04 52 52 43 4D 00
-84 12 DC DB 50 00 1A DC 04 52 52 41 4D 00 84 12
-DC DB 50 01 28 DC 04 52 4C 41 4D 00 84 12 DC DB
-50 02 36 DC 04 52 52 55 4D 00 84 12 DC DB 50 03
-85 12 00 3C 44 DC 03 53 3E 3D 85 12 00 38 56 DC
-02 53 3C 00 85 12 00 34 D0 DB 03 30 3E 3D 85 12
-00 30 6A DC 02 30 3C 00 85 12 00 30 00 00 02 55
-3C 00 85 12 00 2C 7E DC 03 55 3E 3D 85 12 00 28
-74 DC 03 30 3C 3E 85 12 00 24 92 DC 02 30 3D 00
-85 12 00 20 98 C8 02 49 46 00 1A 42 CC 21 8A 4E
-00 00 A2 53 CC 21 0E 4A 30 4D 88 DC 04 54 48 45
+03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A C7 A2 C9
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 28 CE
+FA DA 05 50 55 53 48 4D 84 12 16 DB 00 15 62 DB
+04 50 4F 50 4D 00 84 12 16 DB 00 17 32 C6 4C D7
+82 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53 CC 21
+92 53 CA 21 3E 40 2C 00 B0 12 2A C4 22 CA 72 CB
+B8 C5 BE CE D8 D8 A8 DB 0A 4E 3E 4F 1A 83 2A 92
+CA 2F 8A 10 5A 06 6F 3F E0 DA 04 52 52 43 4D 00
+84 12 7C DB 50 00 BA DB 04 52 52 41 4D 00 84 12
+7C DB 50 01 C8 DB 04 52 4C 41 4D 00 84 12 7C DB
+50 02 D6 DB 04 52 52 55 4D 00 84 12 7C DB 50 03
+85 12 00 3C E4 DB 03 53 3E 3D 85 12 00 38 F6 DB
+02 53 3C 00 85 12 00 34 70 DB 03 30 3E 3D 85 12
+00 30 0A DC 02 30 3C 00 85 12 00 30 00 00 02 55
+3C 00 85 12 00 2C 1E DC 03 55 3E 3D 85 12 00 28
+14 DC 03 30 3C 3E 85 12 00 24 32 DC 02 30 3D 00
+85 12 00 20 4C C8 02 49 46 00 1A 42 CC 21 8A 4E
+00 00 A2 53 CC 21 0E 4A 30 4D 28 DC 04 54 48 45
 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48 29 53 0A 89
-0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D 50 DA
+0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D F0 D9
 04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C 00 00
-A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F BC DC 05 55
+A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F 5C DC 05 55
 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21 2A 83
 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA
-89 48 00 00 A2 53 CC 21 30 4D D4 DA 05 41 47 41
-49 4E 87 12 50 DC 04 DD 2A C4 00 00 05 57 48 49
-4C 45 87 12 AA DC 78 C4 2A C4 60 DC 06 52 45 50
-45 41 54 00 87 12 50 DC 04 DD C2 DC 2A C4 00 00
-03 4A 4D 50 87 12 E6 CE 50 DC 04 DD 2A C4 3E B0
+89 48 00 00 A2 53 CC 21 30 4D 74 DA 05 41 47 41
+49 4E 87 12 F0 DB A4 DC 2A C4 00 00 05 57 48 49
+4C 45 87 12 4A DC 78 C4 2A C4 00 DC 06 52 45 50
+45 41 54 00 87 12 F0 DB A4 DC 62 DC 2A C4 00 00
+03 4A 4D 50 87 12 B0 CE F0 DB A4 DC 2A C4 3E B0
 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28
 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00
-04 3F 4A 4D 50 00 87 12 6E DD E6 CE 78 C4 04 DD
-2A C4 A4 DD 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
-98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F 6A DB
-03 42 57 31 84 12 A2 DD 00 00 C0 DD 03 42 57 32
-84 12 A2 DD 00 00 CC DD 03 42 57 33 84 12 A2 DD
-00 00 E4 DD 3D 41 1A 42 CC 21 28 4E 08 93 08 20
+04 3F 4A 4D 50 00 87 12 0E DD B0 CE 78 C4 A4 DC
+2A C4 44 DD 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
+98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F 0A DB
+03 42 57 31 84 12 42 DD 00 00 60 DD 03 42 57 32
+84 12 42 DD 00 00 6C DD 03 42 57 33 84 12 42 DD
+00 00 84 DD 3D 41 1A 42 CC 21 28 4E 08 93 08 20
 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00 3E 4F 30 4D
-8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 E2 DD
-00 00 08 DE 03 46 57 32 84 12 E2 DD 00 00 14 DE
-03 46 57 33 84 12 E2 DD 00 00 20 DE 04 47 4F 54
-4F 00 87 12 50 DC E6 CE AA CC 2A C4 90 DD 05 3F
-47 4F 54 4F 87 12 6E DD E6 CE AA CC 2A C4 D2 D1
-09 7B 55 54 49 4C 49 54 59 7D 30 4D 4E D4 02 2E
-53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40
-80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11
-87 12 34 C4 3C 00 12 C9 B0 C7 34 C4 08 00 12 C9
-34 C4 3E 00 12 C9 48 C9 8A C4 8A C4 C4 C5 EA C5
-A8 DE 62 C4 62 C4 2A C4 F0 C5 28 C6 F2 C4 7C C7
-34 C4 02 00 00 C6 AA DE 2A C4 5E DE 03 2E 52 53
-8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F 30 CB
-01 3F 2E 4E 30 40 7C C7 9C D5 05 57 4F 52 44 53
-87 12 BE C9 34 C4 03 00 5E C9 34 C4 D0 21 F2 C4
-50 C6 34 C4 10 00 44 C4 20 C5 80 D3 34 C4 00 00
-44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00 F0 C5
-44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5 E0 C5 2E DF
-62 C4 62 C4 28 C6 44 C4 50 C6 20 C5 F2 C4 34 C4
-02 00 00 C6 10 DF 54 C4 E0 C5 70 DF 44 C4 34 C4
-02 00 28 C5 F2 C4 9A C4 50 C6 20 C5 FA C4 44 C4
-FC CC 34 C4 7F 00 36 C5 80 C9 08 C5 34 C4 0F 00
-36 C5 34 C4 10 00 78 C4 28 C5 5E C9 DC C5 FC DE
-62 C4 2A C4 7A D3 03 4D 41 58 2E 9F 07 38 2F 53
-30 4D 76 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D
-92 D2 03 55 2E 52 87 12 B0 C4 9A C6 34 C4 00 00
-FA C6 2C C7 46 C7 BC C4 8A C4 28 C5 34 C4 00 00
-7A DF 5E C9 80 C9 2A C4 5C D4 04 44 55 4D 50 00
-0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F B0 12
-2A C4 78 C4 8A C4 8A C4 7C C7 34 C4 01 00 28 C5
-7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9 28 C6 34 C4
-07 00 96 DF 48 C9 28 C6 34 C4 10 00 20 C5 28 C6
-F0 C5 28 C6 08 C5 34 C4 03 00 96 DF 12 C6 02 E0
-48 C9 48 C9 28 C6 34 C4 10 00 20 C5 28 C6 F0 C5
-28 C6 08 C5 34 C4 7E 00 88 DF 6E C6 7A DF 12 C9
-12 C6 20 E0 34 C4 10 00 00 C6 EA DF BC C4 84 C6
-FA C4 2A C4
+8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 82 DD
+00 00 A8 DD 03 46 57 32 84 12 82 DD 00 00 B4 DD
+03 46 57 33 84 12 82 DD 00 00 C0 DD 04 47 4F 54
+4F 00 87 12 F0 DB B0 CE 82 CC 2A C4 30 DD 05 3F
+47 4F 54 4F 87 12 0E DD B0 CE 82 CC 2A C4 7E D1
+07 7B 54 4F 4F 4C 53 7D 30 4D EC D6 03 41 4E 44
+3E FF 30 4D FA D3 02 2E 53 00 8F 4E FE FF 0E 4F
+2E 83 8F 4E FA FF 3E 40 80 20 2E 83 8F 4E FC FF
+3F 80 06 00 2E 8F 0E 11 87 12 34 C4 3C 00 EE C8
+6A C7 34 C4 08 00 EE C8 34 C4 3E 00 EE C8 24 C9
+8A C4 8A C4 96 C5 C2 C5 50 DE 62 C4 62 C4 2A C4
+C8 C5 00 C6 F2 C4 36 C7 34 C4 02 00 D8 C5 52 DE
+2A C4 06 DE 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
+3E 40 E0 20 D3 3F 08 CB 01 3F 2E 4E 30 40 36 C7
+86 D4 03 50 41 44 85 12 E4 20 40 D5 05 57 4F 52
+44 53 87 12 9E C9 34 C4 03 00 3A C9 34 C4 D0 21
+F2 C4 86 DE 34 C4 10 00 44 C4 20 C5 2C D3 34 C4
+00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00
+C8 C5 44 C4 00 C6 86 DE 20 C5 F2 C4 AC C5 B8 C5
+E0 DE 62 C4 62 C4 00 C6 44 C4 86 DE 20 C5 F2 C4
+34 C4 02 00 D8 C5 C2 DE 54 C4 B8 C5 22 DF 44 C4
+34 C4 02 00 28 C5 F2 C4 9A C4 86 DE 20 C5 FA C4
+44 C4 D4 CC 34 C4 7F 00 00 DE 5C C9 08 C5 34 C4
+0F 00 00 DE 34 C4 10 00 78 C4 28 C5 3A C9 B4 C5
+AE DE 62 C4 2A C4 26 D3 03 4D 41 58 2E 9F 07 38
+2F 53 30 4D 28 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F
+30 4D 3E D2 03 55 2E 52 87 12 B0 C4 54 C6 34 C4
+00 00 B4 C6 E6 C6 00 C7 BC C4 8A C4 28 C5 34 C4
+00 00 2C DF 3A C9 5C C9 2A C4 08 D4 04 44 55 4D
+50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F
+B0 12 2A C4 78 C4 8A C4 8A C4 36 C7 34 C4 01 00
+28 C5 36 C7 34 C4 FE FF 00 DE C8 C5 9E C9 00 C6
+34 C4 07 00 48 DF 24 C9 00 C6 34 C4 10 00 20 C5
+00 C6 C8 C5 00 C6 08 C5 34 C4 03 00 48 DF EA C5
+B4 DF 24 C9 24 C9 00 C6 34 C4 10 00 20 C5 00 C6
+C8 C5 00 C6 08 C5 34 C4 7E 00 3A DF 32 C6 2C DF
+EE C8 EA C5 D2 DF 34 C4 10 00 D8 C5 9C DF BC C4
+3E C6 FA C4 2A C4 F0 DD 0A 7B 46 49 58 50 4F 49
+4E 54 7D 00 30 4D AA D6 05 48 4F 4C 44 53 39 4F
+09 5E 18 42 B2 21 19 83 1E 83 04 28 18 83 E8 49
+00 00 F9 3F 82 48 B2 21 3E 4F 30 4D 9C D3 02 46
+2B 00 BF 5F 02 00 3E 6F 30 4D 2E E0 02 46 2D 00
+BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D 3C E0 02 46
+2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F
+02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63
+3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20
+09 96 02 28 09 86 0A 7E 07 67 04 64 15 83 09 30
+0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3
+F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 4E E0
+03 46 23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00
+2E 42 B2 90 0A 00 E2 21 01 20 1E 53 1E 15 3D 40
+FE E0 0C 43 0C 12 1E 42 E2 21 30 40 E4 CA 00 E1
+2D 83 3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00
+3C 41 CC 4E 90 21 1C 53 1C 91 02 00 EB 2B 1D 17
+8F 43 00 00 39 40 90 21 73 3F 44 DF 04 55 44 4D
+2A 00 0D 12 37 15 1D 4F 04 00 1B 4F 02 00 2A 4F
+04 43 05 43 8F 43 04 00 8F 43 02 00 06 43 07 43
+19 43 08 43 09 93 02 20 0E B8 01 3C 0A B9 06 24
+8F 5D 04 00 8F 6B 02 00 06 64 07 65 0D 5D 0B 6B
+04 64 05 65 09 59 08 68 ED 2B 8F 46 00 00 0E 47
+34 17 3D 41 30 4D D0 E0 02 46 2A 00 1C 4F 02 00
+0C EE BF B0 40 1F 02 00 08 24 BF E3 02 00 BF E3
+04 00 9F 53 04 00 8F 63 02 00 87 12 4C C5 32 E1
+B2 E1 3D 41 3E 4F BF 4F 00 00 80 3F 88 E1 02 46
+2E 00 87 12 54 C6 44 C4 B0 C4 4C C5 D4 E0 34 C4
+2C 00 16 C7 E6 C6 BC C4 24 C7 00 C7 5C C9 24 C9
+2A C4 A0 D4 03 53 3E 46 2F 83 8F 43 00 00 30 4D
+E4 E1 02 32 40 00 2F 83 9F 4E 02 00 00 00 2E 4E
+30 4D F2 E1 09 32 43 4F 4E 53 54 41 4E 54 87 12
+46 D0 8C CC 8C CC 5E D0 F6 E1 2A C4
 @FFFE
-B4 D5
+58 D5
 q
diff --git a/MSP_EXP430FR4133_16MHz_3Mbds.txt b/MSP_EXP430FR4133_16MHz_3Mbds.txt
deleted file mode 100644 (file)
index 109944e..0000000
+++ /dev/null
@@ -1,460 +0,0 @@
-@1800
-10 00 A6 C8 80 3E 30 75 05 00 18 00 46 E0 08 D7
-66 C8 78 C8 00 00 00 00
-@C400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C4
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 C4 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 C4 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 C4 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E C4 02 3E 52 00
-0E 12 3E 4F 30 4D 96 C4 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 C4 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C C4 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 C4 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 F2 C2 03 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 F2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 B4 CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 B4 CC 2A C4 00 00 04 57 4F 52
-44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 21 82 4A CA 21 1E 42 CC 21
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 21 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 7A C9 03 55 4D 2A 2C 4F 0B 43
-09 43 08 43 1A 43 0E BA 02 24 09 5C 08 6B 0C 5C
-0B 6B 0A 5A F8 2B 8F 49 00 00 0E 48 30 4D 74 C6
-07 3E 4E 55 4D 42 45 52 2C 4F 0B 4E 69 4C 79 80
-30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
-20 28 19 92 E2 21 1D 2C 4D 15 1C 4F 02 00 1E 42
-E2 21 3D 40 68 CB D3 3F 6A CB 81 49 02 00 1C 4F
-04 00 1E 42 E2 21 3D 40 7C CB C9 3F 7E CB 39 51
-3E 61 8F 49 04 00 8F 4E 02 00 2B 17 1C 53 1B 83
-D5 23 8F 4C 00 00 0E 4B 30 4D 0C 43 1B 42 E2 21
-32 C0 00 02 2D 15 3D 40 F4 CB 3F 82 8F 4E 06 00
-8F 43 04 00 8F 43 02 00 0C 4E 7B 4C 69 4C 79 80
-2C 00 13 2C 59 83 A2 43 E2 21 79 52 09 24 B2 52
-E2 21 69 53 05 24 B2 40 10 00 E2 21 59 83 AE 23
-1C 53 1B 83 69 4C 79 80 2C 00 59 93 A7 23 B1 43
-02 00 CC 3F F6 CB 0E 93 34 24 32 B0 00 02 31 20
-32 D0 00 02 FC 90 2E 00 00 00 02 20 2D 83 BE 3F
-FC 90 2C 00 00 00 25 20 0A 4B 1B 42 E2 21 09 43
-8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
-79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
-09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 B0 C6
-2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
-4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50 06 00
-0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
-3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
-02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
-18 C9 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
-26 C5 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E 00 00
-3E 4F 30 4D B2 CC 87 4C 49 54 45 52 41 4C 82 93
-BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40 34 C4
-00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
-F1 23 30 4D E8 C9 05 43 4F 55 4E 54 2F 83 1E 53
-8F 4E 00 00 5E 4E FF FF 30 4D 82 4E C6 21 B2 4F
-C8 21 3E 4F 82 43 CA 21 87 12 6E C6 42 CA 20 CD
-3D 40 2C CD BD 22 3E 4F 3D 41 30 4D 2E CD 0A 4E
-3E 4F 3D 40 44 CD 31 27 3D 40 1A CD 1A E2 BE 21
-B9 27 B3 23 46 CD 3E 4F 3D 40 1A CD C0 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 CE CF
-CD 3F A2 CC 08 45 56 41 4C 55 41 54 45 00 39 40
-C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4 0A CD
-82 CD B2 41 CA 21 B2 41 C8 21 B2 41 C6 21 3D 41
-30 4D 4C C6 04 51 55 49 54 00 31 40 E0 20 B2 40
-00 20 00 20 82 43 BE 21 82 43 08 18 B0 12 2A C4
-C2 C9 05 0D 0A 6F 6B 20 80 C9 5A C6 44 C4 64 C6
-32 C8 48 C9 0A CD DE C4 92 C5 C2 C9 0D 73 74 61
-63 6B 20 65 6D 70 74 79 21 20 54 CE 34 C4 30 FF
-C6 C7 D2 C5 C2 C9 0B 46 52 41 4D 20 66 75 6C 6C
-21 20 54 CE 90 C6 F2 C4 E0 C5 B0 CD C2 C9 05 0D
-0A 20 20 20 DC C5 B8 CD B2 40 40 D5 A4 D5 B2 40
-A0 C8 94 C8 B2 40 04 C9 14 C9 B2 40 AC C9 C0 C9
-B2 40 00 C8 26 C8 82 43 CA DD 82 43 D6 DD 82 43
-E2 DD 82 43 12 DE 82 43 1E DE 82 43 2A DE B2 40
-0A 00 E2 21 30 41 2A C8 05 41 42 4F 52 54 3F 40
-80 20 A3 3F 8F 93 02 00 52 26 B2 40 82 48 D2 C8
-B0 12 14 CE A2 B3 1C 05 FD 27 B2 40 11 00 0E 05
-F2 C2 03 02 92 C3 1C 05 38 40 A0 AA 39 42 03 43
-19 83 FD 23 18 83 FA 23 92 B3 1C 05 F3 23 87 12
-C2 C9 04 1B 5B 37 6D 00 80 C9 34 C4 E4 21 F2 C4
-54 C4 E0 C5 BA CE C2 C9 05 6C 69 6E 65 3A 80 C9
-34 C4 01 00 28 C5 7C C7 1E C9 80 C9 C2 C9 04 1B
-5B 30 6D 00 80 C9 B6 D4 E0 C9 4E CE 48 CE 86 41
-42 4F 52 54 22 00 87 12 FE C9 34 C4 54 CE B4 CC
-2A C4 9A CA 01 27 87 12 6E C6 42 CA A0 CA E0 C5
-F4 CE 2A C4 4E CD 96 C6 81 5C 92 42 C6 21 CA 21
-30 4D 00 00 81 5B 82 43 BE 21 30 4D F8 CE 01 5D
-B2 43 BE 21 30 4D 04 CF 83 5B 27 5D 87 12 E6 CE
-34 C4 34 C4 B4 CC B4 CC 2A C4 BE 4F 02 00 3E 4F
-30 4D A6 C9 82 49 53 00 87 12 90 C6 F2 C4 E0 C5
-4C CF 1C CF 34 C4 2A CF B4 CC 2A C4 E6 CE 2A CF
-2A C4 34 CF 09 49 4D 4D 45 44 49 41 54 45 1A 42
-B6 21 FA D0 80 00 00 00 30 4D FA C9 87 52 45 43
-55 52 53 45 19 42 CC 21 99 42 BA 21 00 00 A2 53
-CC 21 30 4D 94 CD 88 50 4F 53 54 50 4F 4E 45 00
-87 12 6E C6 42 CA A0 CA 54 C4 E0 C5 F4 CE 92 C5
-E0 C5 B0 CF 34 C4 34 C4 B4 CC B4 CC 34 C4 B4 CC
-B4 CC 2A C4 82 9F BC 21 34 25 87 12 C2 C9 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 5A CE
-87 12 1E CA 6E C6 42 CA DA CF 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 E0 21 6E 4E 3E F0 1E 00 09 5E
-82 48 B6 21 82 49 B8 21 82 4A BA 21 2A 52 82 4A
-CC 21 3E 4F 3D 41 30 41 3C CA 08 56 41 52 49 41
-42 4C 45 00 B0 12 D0 CF BA 40 86 12 FC FF 05 3D
-F6 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12 D0 CF
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F F6 3C 22 D0
-06 43 52 45 41 54 45 00 B0 12 D0 CF BA 40 85 12
-FC FF 8A 4A FE FF E9 3C 64 CD 05 44 4F 45 53 3E
-1A 42 BA 21 BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 5A D0 05 44 45 46 45 52 B0 12 D0 CF BA 40
-30 40 FC FF BA 40 70 D0 FE FF CF 3C 18 CF 01 3A
-B0 12 D0 CF BA 40 87 12 FC FF A2 83 CC 21 B2 43
-BE 21 82 4F BC 21 30 4D 8E D0 81 3B 82 93 BE 21
-24 27 87 12 34 C4 2A C4 B4 CC B4 CF 06 CF 2A C4
-40 D0 07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F
-39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30
-19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43
-30 4D AA D0 86 5B 54 48 45 4E 5D 00 30 4D 1E 83
-30 4D F4 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C4
-01 00 6E C6 42 CA FC CC 44 C4 E0 C5 7A D1 8A C4
-8A C4 C2 C9 04 5B 49 46 5D 00 CA D0 EA C5 38 D1
-00 CB 2C C5 DC C5 6E D1 8A C4 8A C4 C2 C9 06 5B
-45 4C 53 45 5D 00 CA D0 EA C5 5C D1 00 CB FE D0
-44 C4 E0 C5 58 D1 2C C5 DC C5 6E D1 C2 C9 06 5B
-54 48 45 4E 5D 00 CA D0 EA C5 6E D1 FE D0 54 C4
-EA C5 76 D1 2A C4 DC C5 12 D1 00 CB C2 C9 05 0D
-0A 6B 6F 20 80 C9 5A C6 44 C4 64 C6 32 C8 90 D1
-82 43 CA 21 82 4E C6 21 B2 4F C8 21 3E 4F 3D 40
-12 D1 30 4D 04 D1 84 5B 49 46 5D 00 0E 93 3E 4F
-AD 27 30 4D A6 D1 8B 5B 55 4E 44 45 46 49 4E 45
-44 5D 87 12 6E C6 42 CA A0 CA 6C C4 86 C5 2A C4
-B6 D1 89 5B 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 2A C4 EA D1 3D 41 B2 4E 0E 18
-A2 4E 0C 18 3E 4F 69 3D C6 CC 06 4D 41 52 4B 45
-52 00 B0 12 D0 CF BA 40 84 12 FC FF BA 40 E8 D1
-FE FF 9A 42 CE 21 00 00 28 83 8A 48 02 00 A2 52
-CC 21 18 42 B6 21 19 42 B8 21 A8 49 FE FF 89 48
-00 00 30 4D 54 CF 82 49 46 00 2F 83 8F 4E 00 00
-1E 42 CC 21 A2 52 CC 21 BE 40 E0 C5 00 00 2E 53
-30 4D 74 D0 84 45 4C 53 45 00 A2 52 CC 21 1A 42
-CC 21 BA 40 DC C5 FC FF 8E 4A 00 00 2A 83 0E 4A
-30 4D 08 CB 84 54 48 45 4E 00 9E 42 CC 21 00 00
-3E 4F 30 4D C2 D0 85 42 45 47 49 4E 30 40 C6 C7
-74 D2 85 55 4E 54 49 4C 39 40 E0 C5 A2 52 CC 21
-1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D
-CE CE 85 41 47 41 49 4E 39 40 DC C5 EF 3F 0A D0
-85 57 48 49 4C 45 87 12 3A D2 78 C4 2A C4 6C CF
-86 52 45 50 45 41 54 00 87 12 B8 D2 7A D2 2A C4
-54 D2 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 CC 21
-1E 42 CC 21 BE 40 F0 C5 FE FF A2 53 00 20 1A 42
-00 20 8A 43 00 00 30 4D FA D1 84 4C 4F 4F 50 00
-39 40 12 C6 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF
-8A 4E FE FF 1E 42 00 20 A2 83 00 20 2E 4E 0E 93
-03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D 20 C8 85 2B
-4C 4F 4F 50 39 40 00 C6 E5 3F 0A D3 85 4C 45 41
-56 45 1A 42 CC 21 BA 40 22 C6 00 00 BA 40 DC C5
-02 00 B2 50 06 00 CC 21 A2 53 00 20 2A 52 19 42
-00 20 89 4A 00 00 30 4D 4C D3 04 4D 4F 56 45 00
-0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24
-06 2C F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A
-09 5A 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-C0 D2 0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12
-48 D0 34 C4 10 00 34 C4 00 00 F0 C5 34 C4 00 00
-B4 CC 12 C6 CC D3 C6 C7 34 C4 CE 21 44 C4 F2 C4
-B4 CC FA C4 60 D0 34 C4 D0 21 FA C4 2A C4 E4 CE
-05 46 4F 52 54 48 84 12 E6 D3 4E D7 24 D7 BC DF
-F0 D3 0C D7 3E D3 86 DF BE DE DA D4 F4 D4 94 DF
-DC DE 46 C5 52 DE 0E CF D2 DE 00 00 B2 D2 04 41
-4C 53 4F 00 3A 40 0E 00 39 40 D0 21 38 40 D2 21
-B6 3F 86 CF 08 50 52 45 56 49 4F 55 53 00 3A 40
-0E 00 39 40 D2 21 38 40 D0 21 A3 3F 2A CA 04 4F
-4E 4C 59 00 82 43 D2 21 30 4D E2 D2 0B 44 45 46
-49 4E 49 54 49 4F 4E 53 92 42 D0 21 E0 21 30 4D
-F6 D3 54 D4 68 D4 78 D4 3A 4E 82 4A CE 21 2E 4E
-82 4E CC 21 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D 34 D4 09 50 57 52
-5F 53 54 41 54 45 84 12 70 D4 08 D7 46 E0 D0 D2
-09 52 53 54 5F 53 54 41 54 45 92 42 0E 18 BA D4
-92 42 0C 18 BC D4 EF 3F AC D4 08 50 57 52 5F 48
-45 52 45 00 92 42 CE 21 BA D4 92 42 CC 21 BC D4
-30 4D C0 D4 08 52 53 54 5F 48 45 52 45 00 92 42
-CE 21 0E 18 92 42 CC 21 0C 18 EC 3F B2 D3 04 57
-49 50 45 00 39 40 80 FF B9 43 00 00 29 53 39 90
-E2 FF FA 23 B0 12 08 CE B2 40 46 E0 0C 18 B2 40
-08 D7 0E 18 CA 3F 36 D2 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 C2 C9 06 0D 1B 5B 37 6D 23 00
-80 C9 B0 C7 C2 C9 27 20 46 61 73 74 46 6F 72 74
-68 20 56 32 30 33 20 31 36 4D 48 7A 20 28 43 29
-20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 80 C9
-34 C4 30 FF C6 C7 28 C5 7C C7 C2 C9 0B 62 79 74
-65 73 20 66 72 65 65 20 60 CE 0E D5 04 57 41 52
-4D 00 30 40 40 D5 86 D2 04 43 4F 4C 44 00 B2 40
-04 A5 20 01 B2 40 88 5A CC 01 B2 D0 00 08 04 02
-B2 D3 06 02 B2 43 02 02 B2 40 00 01 24 02 B2 40
-FF FE 22 02 B2 D0 FF FE 26 02 B2 43 42 02 B2 D3
-46 02 B2 43 62 02 B2 D3 66 02 F2 40 A5 00 A1 01
-F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
-B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40 E9 01
-84 01 39 40 40 00 B2 40 00 06 2A 02 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 B4 D5 39 40 E2 FF
-89 4A 00 00 29 53 FC 23 92 42 02 18 EC FF B2 40
-18 00 0A 18 39 40 00 20 89 43 00 00 29 53 39 90
-FF 27 FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
-36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00
-E2 21 B2 43 B4 21 92 C3 30 01 18 42 08 18 D2 B3
-00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
-00 05 B2 40 05 00 06 05 B2 40 00 49 08 05 F2 D0
-03 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40 D6 D6
-18 42 08 18 38 90 0A 00 25 27 38 90 16 00 22 2F
-28 93 FB 22 F0 26 A2 D5 1E D4 09 41 53 53 45 4D
-42 4C 45 52 84 12 E6 D3 2E DD DA DD E2 DC 2E DE
-A8 DC 62 DD AC D9 00 00 9E DC 4E DD 00 DD 3E DD
-BC DA 00 00 00 00 40 DE 1A D4 38 D5 85 48 49 32
-4C 4F 87 12 C6 C7 4E D2 B4 CC 06 CF 24 D4 E4 D6
-2A C4 A8 D5 04 43 4F 44 45 00 B0 12 D0 CF A2 82
-CC 21 87 12 A2 D0 DC C5 1C D7 00 00 07 45 4E 44
-43 4F 44 45 87 12 3E D4 B4 CF 2A C4 DA D6 03 41
-53 4D 92 42 E0 21 C0 21 B2 40 E8 D6 E0 21 E5 3F
-3C D7 06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21
-E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40
-87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40 3E D4
-00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40 B0 12
-00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F 38 40
-C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A 03 24
-7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21 30 4D
-B0 12 2A C4 42 CA A0 CA EA C5 E4 D7 9A CB E0 C5
-F4 CE 06 D8 E6 D7 29 4E 39 90 86 12 02 20 2E 53
-30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
-84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53 CC 21
-89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53 CA 21
-B0 12 2A C4 42 CA 9A CB E0 C5 38 D8 2E D8 21 53
-3E 90 10 00 BB 2D 30 41 3A D8 B2 41 CA 21 22 D3
-30 41 87 12 6E C6 AE D7 4A D8 82 43 C4 21 92 42
-CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90 23 00
-00 00 34 20 92 53 CA 21 B0 12 D0 D7 0E 93 04 20
-B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40 10 03
-C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21 1B 3C
-2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92 04 20
-B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40 30 03
-C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21 A2 53
-CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
-00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12
-BA D8 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
-C4 21 92 53 CA 21 B0 12 18 D8 0E 20 B2 50 10 00
-C4 21 3E 40 2B 00 B0 12 18 D8 32 24 92 92 C6 21
-CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21 D3 3F
-B0 12 18 D8 F9 23 B2 50 10 00 C4 21 3E 40 28 00
-B0 12 D0 D7 30 12 0A D9 67 3F 87 12 6E C6 AE D7
-42 D9 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
-82 00 C4 21 C2 3F B0 12 18 D8 DF 23 B2 50 80 00
-C4 21 3E 40 28 00 B0 12 D0 D7 B0 12 08 D8 D5 23
-3D 40 F4 CE 30 4D 8C C8 04 52 45 54 49 00 87 12
-34 C4 00 13 B4 CC 2A C4 34 C4 2C 00 42 D8 3A D9
-92 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 92 D7
-03 4D 4F 56 84 12 88 D9 00 40 A0 D9 05 4D 4F 56
-2E 42 84 12 88 D9 40 40 00 00 03 41 44 44 84 12
-88 D9 00 50 BA D9 05 41 44 44 2E 42 84 12 88 D9
-40 50 C6 D9 04 41 44 44 43 00 84 12 88 D9 00 60
-D4 D9 06 41 44 44 43 2E 42 00 84 12 88 D9 40 60
-78 D9 04 53 55 42 43 00 84 12 88 D9 00 70 F2 D9
-06 53 55 42 43 2E 42 00 84 12 88 D9 40 70 00 DA
-03 53 55 42 84 12 88 D9 00 80 10 DA 05 53 55 42
-2E 42 84 12 88 D9 40 80 74 D7 03 43 4D 50 84 12
-88 D9 00 90 2A DA 05 43 4D 50 2E 42 84 12 88 D9
-40 90 62 D7 04 44 41 44 44 00 84 12 88 D9 00 A0
-44 DA 06 44 41 44 44 2E 42 00 84 12 88 D9 40 A0
-36 DA 03 42 49 54 84 12 88 D9 00 B0 62 DA 05 42
-49 54 2E 42 84 12 88 D9 40 B0 6E DA 03 42 49 43
-84 12 88 D9 00 C0 7C DA 05 42 49 43 2E 42 84 12
-88 D9 40 C0 88 DA 03 42 49 53 84 12 88 D9 00 D0
-96 DA 05 42 49 53 2E 42 84 12 88 D9 40 D0 00 00
-03 58 4F 52 84 12 88 D9 00 E0 B0 DA 05 58 4F 52
-2E 42 84 12 88 D9 40 E0 E2 D9 03 41 4E 44 84 12
-88 D9 00 F0 CA DA 05 41 4E 44 2E 42 84 12 88 D9
-40 F0 6E C6 42 D8 E8 DA 1A 42 C4 21 B2 F0 70 00
-C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F 1C DA
-03 52 52 43 84 12 E2 DA 00 10 00 DB 05 52 52 43
-2E 42 84 12 E2 DA 40 10 0C DB 04 53 57 50 42 00
-84 12 E2 DA 80 10 1A DB 03 52 52 41 84 12 E2 DA
-00 11 28 DB 05 52 52 41 2E 42 84 12 E2 DA 40 11
-34 DB 03 53 58 54 84 12 E2 DA 80 11 00 00 04 50
-55 53 48 00 84 12 E2 DA 00 12 4E DB 06 50 55 53
-48 2E 42 00 84 12 E2 DA 40 12 A2 DA 04 43 41 4C
-4C 00 84 12 E2 DA 80 12 34 C4 2C 00 42 D8 3A D9
-82 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90
-00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
-10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C7
-C2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-5A CE 5C DB 05 50 55 53 48 4D 84 12 78 DB 00 15
-C4 DB 04 50 4F 50 4D 00 84 12 78 DB 00 17 6E C6
-AE D7 E4 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53
-CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4 42 CA
-9A CB E0 C5 F4 CE 3A D9 0A DC 0A 4E 3E 4F 1A 83
-2A 92 CA 2F 8A 10 5A 06 6F 3F 42 DB 04 52 52 43
-4D 00 84 12 DE DB 50 00 1C DC 04 52 52 41 4D 00
-84 12 DE DB 50 01 2A DC 04 52 4C 41 4D 00 84 12
-DE DB 50 02 38 DC 04 52 52 55 4D 00 84 12 DE DB
-50 03 85 12 00 3C 46 DC 03 53 3E 3D 85 12 00 38
-58 DC 02 53 3C 00 85 12 00 34 D2 DB 03 30 3E 3D
-85 12 00 30 6C DC 02 30 3C 00 85 12 00 30 00 00
-02 55 3C 00 85 12 00 2C 80 DC 03 55 3E 3D 85 12
-00 28 76 DC 03 30 3C 3E 85 12 00 24 94 DC 02 30
-3D 00 85 12 00 20 98 C8 02 49 46 00 1A 42 CC 21
-8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 8A DC 04 54
-48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
-52 DA 04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C
-00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F BE DC
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21
-2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 CC 21 30 4D D6 DA 05 41
-47 41 49 4E 87 12 52 DC 06 DD 2A C4 00 00 05 57
-48 49 4C 45 87 12 AC DC 78 C4 2A C4 62 DC 06 52
-45 50 45 41 54 00 87 12 52 DC 06 DD C4 DC 2A C4
-00 00 03 4A 4D 50 87 12 E6 CE 52 DC 06 DD 2A C4
-3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
-06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
-00 00 04 3F 4A 4D 50 00 87 12 70 DD E6 CE 78 C4
-06 DD 2A C4 A6 DD 3D 41 08 4E 3E 4F 2A 48 0A 93
-04 20 98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F
-6C DB 03 42 57 31 84 12 A4 DD 00 00 C2 DD 03 42
-57 32 84 12 A4 DD 00 00 CE DD 03 42 57 33 84 12
-A4 DD 00 00 E6 DD 3D 41 1A 42 CC 21 28 4E 08 93
-08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00 3E 4F
-30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
-E4 DD 00 00 0A DE 03 46 57 32 84 12 E4 DD 00 00
-16 DE 03 46 57 33 84 12 E4 DD 00 00 22 DE 04 47
-4F 54 4F 00 87 12 52 DC E6 CE AA CC 2A C4 92 DD
-05 3F 47 4F 54 4F 87 12 70 DD E6 CE AA CC 2A C4
-D2 D1 09 7B 55 54 49 4C 49 54 59 7D 30 4D 4E D4
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 C4 3C 00 12 C9 B0 C7 34 C4 08 00
-12 C9 34 C4 3E 00 12 C9 48 C9 8A C4 8A C4 C4 C5
-EA C5 AA DE 62 C4 62 C4 2A C4 F0 C5 28 C6 F2 C4
-7C C7 34 C4 02 00 00 C6 AC DE 2A C4 60 DE 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F
-30 CB 01 3F 2E 4E 30 40 7C C7 9C D5 05 57 4F 52
-44 53 87 12 BE C9 34 C4 03 00 5E C9 34 C4 D0 21
-F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 80 D3 34 C4
-00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00
-F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5 E0 C5
-30 DF 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5 F2 C4
-34 C4 02 00 00 C6 12 DF 54 C4 E0 C5 72 DF 44 C4
-34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5 FA C4
-44 C4 FC CC 34 C4 7F 00 36 C5 80 C9 08 C5 34 C4
-0F 00 36 C5 34 C4 10 00 78 C4 28 C5 5E C9 DC C5
-FE DE 62 C4 2A C4 7A D3 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D 78 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 92 D2 03 55 2E 52 87 12 B0 C4 9A C6 34 C4
-00 00 FA C6 2C C7 46 C7 BC C4 8A C4 28 C5 34 C4
-00 00 7C DF 5E C9 80 C9 2A C4 5C D4 04 44 55 4D
-50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F
-B0 12 2A C4 78 C4 8A C4 8A C4 7C C7 34 C4 01 00
-28 C5 7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9 28 C6
-34 C4 07 00 98 DF 48 C9 28 C6 34 C4 10 00 20 C5
-28 C6 F0 C5 28 C6 08 C5 34 C4 03 00 98 DF 12 C6
-04 E0 48 C9 48 C9 28 C6 34 C4 10 00 20 C5 28 C6
-F0 C5 28 C6 08 C5 34 C4 7E 00 8A DF 6E C6 7C DF
-12 C9 12 C6 22 E0 34 C4 10 00 00 C6 EC DF BC C4
-84 C6 FA C4 2A C4
-@FFFE
-B4 D5
-q
diff --git a/MSP_EXP430FR4133_16MHz_5Mbds.txt b/MSP_EXP430FR4133_16MHz_5Mbds.txt
new file mode 100644 (file)
index 0000000..815b6ff
--- /dev/null
@@ -0,0 +1,489 @@
+@1800
+10 00 54 C8 80 3E 50 C3 05 00 18 00 12 E2 9C D6
+20 C8 2C C8 00 00 00 00
+@C400
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C4
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 C4 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 C4 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 C4 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E C4 02 3E 52 00
+0E 12 3E 4F 30 4D 96 C4 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 C4 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C C4 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 C4 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 50 C9 18 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+50 C9 18 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 2C C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 8C C8
+3B 40 5C C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 40 C8 4D 15
+B2 40 11 00 0E 05 F2 C2 03 02 30 41 B2 40 13 00
+0E 05 F2 D2 03 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E C8 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 0E 05 30 4D
+82 C8 2D 83 92 B3 1C 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 21 02 24 92 53 E4 21 3E 8F 3D 41
+30 4D B4 C7 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 21 00 00 AF 4F 02 00 91 3F 82 43 CA 21 82 4E
+C6 21 B2 4F C8 21 3E 4F 30 4D 4A C7 04 45 4D 49
+54 00 30 40 AC C8 DC C8 04 45 43 48 4F 00 B2 40
+82 48 7A C8 82 43 E4 21 30 4D 68 C7 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A C8 92 43 E4 21 30 4D
+1E C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 C9 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A C9 EF 3F 3C C9 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 C7 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 C9 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 C9 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 C8 04 28 43 52 29 00
+87 12 96 C9 02 0D 0A 00 50 C9 2A C4 9E C7 02 43
+52 00 30 40 80 C9 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E C9 07 43 41 50
+53 5F 4F 4E B2 43 B4 21 30 4D AC C9 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 21 30 4D 26 C9 82 53
+22 00 87 12 34 C4 96 C9 80 CC C6 C9 34 C4 22 00
+16 CA B4 C9 E6 C9 3D 41 6E 4E 1E 83 82 5E CC 21
+3E 4F 92 B3 CC 21 A2 63 CC 21 30 4D FC C8 82 2E
+22 00 87 12 D2 C9 34 C4 50 C9 80 CC 2A C4 00 00
+04 57 4F 52 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 21 F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 21 82 4A CA 21
+1E 42 CC 21 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 21 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 C4 34 40 14 C4
+30 4D 4A C9 03 55 4D 2A 2C 4F 0B 43 09 43 08 43
+1A 43 0E BA 02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A
+F8 2B 8F 49 00 00 0E 48 30 4D 94 C5 07 3E 4E 55
+4D 42 45 52 2C 4F 0B 4E 69 4C 79 80 30 00 79 90
+0A 00 05 28 79 80 07 00 79 90 0A 00 20 28 19 92
+E2 21 1D 2C 4D 15 1C 4F 02 00 1E 42 E2 21 3D 40
+34 CB D3 3F 36 CB 81 49 02 00 1C 4F 04 00 1E 42
+E2 21 3D 40 48 CB C9 3F 4A CB 39 51 3E 61 8F 49
+04 00 8F 4E 02 00 2B 17 1C 53 1B 83 D5 23 8F 4C
+00 00 0E 4B 30 4D 0C 43 1B 42 E2 21 32 C0 00 02
+2D 15 3D 40 C0 CB 3F 82 8F 4E 06 00 8F 43 04 00
+8F 43 02 00 0C 4E 7B 4C 69 4C 79 80 2C 00 13 2C
+59 83 A2 43 E2 21 79 52 09 24 B2 52 E2 21 69 53
+05 24 B2 40 10 00 E2 21 59 83 AE 23 1C 53 1B 83
+69 4C 79 80 2C 00 59 93 A7 23 B1 43 02 00 CC 3F
+C2 CB 0E 93 34 24 32 B0 00 02 31 20 32 D0 00 02
+FC 90 2E 00 00 00 02 20 2D 83 BE 3F FC 90 2C 00
+00 00 25 20 0A 4B 1B 42 E2 21 09 43 8F 49 02 00
+5A 83 09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00
+05 28 79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C
+8F 49 00 00 0E 4B 2C 15 B0 12 6A C6 2A 17 E6 3F
+9F 4F 04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17
+0E 4C 82 4B E2 21 04 24 3F 50 06 00 0E F3 30 4D
+2F 53 9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20
+3E E3 BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63
+00 00 32 B0 00 02 01 20 2F 53 30 4D E8 C8 07 45
+58 45 43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C
+1A 42 CC 21 A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D
+7E CC 87 4C 49 54 45 52 41 4C 82 93 BE 21 0F 24
+1A 42 CC 21 A2 52 CC 21 BA 40 34 C4 00 00 8A 4E
+02 00 3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D
+BC C9 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
+5E 4E FF FF 30 4D 87 12 CA C8 32 C6 16 CA E0 CC
+3D 40 E8 CC C7 22 2D 3E EA CC 0A 4E 3E 4F 3D 40
+00 CD 39 27 3D 40 DA CC 1A E2 BE 21 C1 27 BB 23
+02 CD 3E 4F 3D 40 DA CC C8 23 DE 53 00 00 68 4E
+08 5E F8 40 3F 00 00 00 3D 40 8C CF D5 3F 6E CC
+08 45 56 41 4C 55 41 54 45 00 39 40 C6 21 3C 49
+3B 49 3A 49 3D 15 B0 12 2A C4 D6 CC 3E CD B2 41
+CA 21 B2 41 C8 21 B2 41 C6 21 3D 41 30 4D 9C C5
+04 51 55 49 54 00 31 40 E0 20 B2 40 00 20 00 20
+82 43 BE 21 82 43 08 18 B0 12 2A C4 96 C9 05 0D
+0A 6F 6B 20 50 C9 B2 C8 18 C9 D6 CC DE C4 70 C5
+96 C9 0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20
+10 CE 34 C4 30 FF 80 C7 AC C5 96 C9 0B 46 52 41
+4D 20 66 75 6C 6C 21 20 10 CE 4A C6 F2 C4 B8 C5
+6C CD 96 C9 05 0D 0A 20 20 20 B4 C5 74 CD E4 C7
+05 41 42 4F 52 54 3F 40 80 20 C5 3F B2 40 E0 D4
+3C D5 B2 40 4E C8 42 C8 B2 40 3C 21 2A C6 B2 40
+AC C8 E4 C8 B2 40 80 C9 94 C9 B2 40 BA C7 E0 C7
+82 43 5E DD 82 43 6A DD 82 43 76 DD 82 43 A6 DD
+82 43 B2 DD 82 43 BE DD B2 40 0A 00 E2 21 30 41
+8F 93 02 00 03 20 2F 52 3E 4F 30 4D B2 40 82 48
+7A C8 B0 12 D8 CD A2 B3 1C 05 FD 27 B2 40 11 00
+0E 05 F2 C2 03 02 92 C3 1C 05 38 40 A0 AA 39 42
+03 43 19 83 FD 23 18 83 FA 23 92 B3 1C 05 F3 23
+87 12 96 C9 04 1B 5B 37 6D 00 50 C9 34 C4 E4 21
+F2 C4 54 C4 B8 C5 78 CE 96 C9 05 6C 69 6E 65 3A
+50 C9 40 C5 36 C7 EE C8 50 C9 96 C9 04 1B 5B 30
+6D 00 50 C9 56 D4 B4 C9 C6 CD C0 CD 86 41 42 4F
+52 54 22 00 87 12 D2 C9 34 C4 10 CE 80 CC 2A C4
+6E CA 01 27 87 12 32 C6 16 CA 74 CA B8 C5 B2 CE
+2A C4 0A CD 50 C6 81 5C 92 42 C6 21 CA 21 30 4D
+00 00 81 5B 82 43 BE 21 30 4D B6 CE 01 5D B2 43
+BE 21 30 4D C2 CE 83 5B 27 5D 87 12 A4 CE 34 C4
+34 C4 80 CC 80 CC 2A C4 BE 4F 02 00 3E 4F 30 4D
+7A C9 82 49 53 00 87 12 4A C6 F2 C4 B8 C5 0A CF
+DA CE 34 C4 E8 CE 80 CC 2A C4 A4 CE E8 CE 2A C4
+F2 CE 09 49 4D 4D 45 44 49 41 54 45 1A 42 B6 21
+FA D0 80 00 00 00 30 4D CE C9 87 52 45 43 55 52
+53 45 19 42 CC 21 99 42 BA 21 00 00 A2 53 CC 21
+30 4D 50 CD 88 50 4F 53 54 50 4F 4E 45 00 87 12
+32 C6 16 CA 74 CA 54 C4 B8 C5 B2 CE 70 C5 B8 C5
+6E CF 34 C4 34 C4 80 CC 80 CC 34 C4 80 CC 80 CC
+2A C4 82 9F BC 21 25 25 87 12 96 C9 0F 73 74 61
+63 6B 20 6D 69 73 6D 61 74 63 68 21 1C CE D6 CE
+81 3B 82 93 BE 21 90 27 87 12 34 C4 2A C4 80 CC
+72 CF C4 CE 2A C4 BA 40 87 12 FC FF A2 83 CC 21
+B2 43 BE 21 82 4F BC 21 30 4D 90 CF 01 3A 30 12
+A6 CF 87 12 F2 C9 32 C6 16 CA CC CF 08 4E 7A 4E
+5A D3 5A 53 0A 58 19 42 E0 21 6E 4E 3E F0 1E 00
+09 5E 82 48 B6 21 82 49 B8 21 82 4A BA 21 2A 52
+82 4A CC 21 3E 4F 3D 41 30 41 10 CA 08 56 41 52
+49 41 42 4C 45 00 B0 12 C2 CF BA 40 86 12 FC FF
+DC 3C C2 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12
+C2 CF BA 40 85 12 FC FF 8A 4E FE FF 3E 4F CD 3C
+14 D0 06 43 52 45 41 54 45 00 B0 12 C2 CF BA 40
+85 12 FC FF 8A 4A FE FF C0 3C 20 CD 05 44 4F 45
+53 3E 1A 42 BA 21 BA 40 84 12 00 00 8A 4D 02 00
+3D 41 30 4D 4C D0 05 44 45 46 45 52 B0 12 C2 CF
+BA 40 30 40 FC FF BA 40 62 D0 FE FF A6 3C 32 D0
+07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
+0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
+F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
+BC CF 86 5B 54 48 45 4E 5D 00 30 4D B2 D0 86 5B
+45 4C 53 45 5D 00 87 12 34 C4 01 00 32 C6 16 CA
+C8 CC 44 C4 B8 C5 30 D1 8A C4 8A C4 96 C9 04 5B
+49 46 5D 00 88 D0 C2 C5 F2 D0 72 C9 36 C5 B4 C5
+28 D1 8A C4 8A C4 96 C9 06 5B 45 4C 53 45 5D 00
+88 D0 C2 C5 16 D1 72 C9 40 C5 44 C4 B8 C5 28 D1
+36 C5 B4 C5 28 D1 96 C9 06 5B 54 48 45 4E 5D 00
+88 D0 C2 C5 28 D1 40 C5 54 C4 C2 C5 CC D0 2A C4
+72 C9 96 C9 05 0D 0A 6B 6F 20 50 C9 B2 C8 CA C8
+B4 C5 CC D0 BE D0 84 5B 49 46 5D 00 0E 93 3E 4F
+BA 27 30 4D 46 D1 8B 5B 55 4E 44 45 46 49 4E 45
+44 5D 87 12 32 C6 16 CA 74 CA 6C C4 64 C5 2A C4
+56 D1 89 5B 44 45 46 49 4E 45 44 5D 87 12 32 C6
+16 CA 74 CA 6C C4 2A C4 8A D1 3D 41 B2 4E 0E 18
+A2 4E 0C 18 3E 4F 69 3D 92 CC 06 4D 41 52 4B 45
+52 00 B0 12 C2 CF BA 40 84 12 FC FF BA 40 88 D1
+FE FF 9A 42 CE 21 00 00 28 83 8A 48 02 00 A2 52
+CC 21 18 42 B6 21 19 42 B8 21 A8 49 FE FF 89 48
+00 00 30 4D 12 CF 82 49 46 00 2F 83 8F 4E 00 00
+1E 42 CC 21 A2 52 CC 21 BE 40 B8 C5 00 00 2E 53
+30 4D 66 D0 84 45 4C 53 45 00 A2 52 CC 21 1A 42
+CC 21 BA 40 B4 C5 FC FF 8E 4A 00 00 2A 83 0E 4A
+30 4D D4 CA 84 54 48 45 4E 00 9E 42 CC 21 00 00
+3E 4F 30 4D 80 D0 85 42 45 47 49 4E 30 40 80 C7
+14 D2 85 55 4E 54 49 4C 39 40 B8 C5 A2 52 CC 21
+1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D
+8C CE 85 41 47 41 49 4E 39 40 B4 C5 EF 3F FC CF
+85 57 48 49 4C 45 87 12 DA D1 78 C4 2A C4 2A CF
+86 52 45 50 45 41 54 00 87 12 58 D2 1A D2 2A C4
+F4 D1 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 CC 21
+1E 42 CC 21 BE 40 C8 C5 FE FF A2 53 00 20 1A 42
+00 20 8A 43 00 00 30 4D 9A D1 84 4C 4F 4F 50 00
+39 40 EA C5 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF
+8A 4E FE FF 1E 42 00 20 A2 83 00 20 2E 4E 0E 93
+03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D DA C7 85 2B
+4C 4F 4F 50 39 40 D8 C5 E5 3F AA D2 85 4C 45 41
+56 45 1A 42 CC 21 BA 40 FA C5 00 00 BA 40 B4 C5
+02 00 B2 50 06 00 CC 21 A2 53 00 20 2A 52 19 42
+00 20 89 4A 00 00 30 4D EC D2 04 4D 4F 56 45 00
+0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24
+06 2C F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A
+09 5A 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
+60 D2 0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12
+3A D0 34 C4 10 00 34 C4 00 00 C8 C5 34 C4 00 00
+80 CC EA C5 6C D3 80 C7 34 C4 CE 21 44 C4 F2 C4
+80 CC FA C4 52 D0 34 C4 D0 21 FA C4 2A C4 A2 CE
+05 46 4F 52 54 48 84 12 86 D3 F2 DD B8 D6 62 DF
+B4 E1 FE DF DE D2 2C DF 5A DE 78 DE FA E1 22 E1
+82 DE 00 00 EE DF CC CE 6E DE 00 00 52 D2 04 41
+4C 53 4F 00 3A 40 0E 00 39 40 D0 21 38 40 D2 21
+B6 3F 44 CF 08 50 52 45 56 49 4F 55 53 00 3A 40
+0E 00 39 40 D2 21 38 40 D0 21 A3 3F FE C9 04 4F
+4E 4C 59 00 82 43 D2 21 30 4D 82 D2 0B 44 45 46
+49 4E 49 54 49 4F 4E 53 92 42 D0 21 E0 21 30 4D
+96 D3 F4 D3 08 D4 18 D4 3A 4E 82 4A CE 21 2E 4E
+82 4E CC 21 3D 40 10 00 09 4A 08 49 29 83 18 48
+FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
+0A 93 F0 23 3E 4F 3D 41 30 4D D4 D3 09 50 57 52
+5F 53 54 41 54 45 84 12 10 D4 9C D6 12 E2 70 D2
+09 52 53 54 5F 53 54 41 54 45 92 42 0E 18 5A D4
+92 42 0C 18 5C D4 EF 3F 4C D4 08 50 57 52 5F 48
+45 52 45 00 92 42 CE 21 5A D4 92 42 CC 21 5C D4
+30 4D 60 D4 08 52 53 54 5F 48 45 52 45 00 92 42
+CE 21 0E 18 92 42 CC 21 0C 18 EC 3F 52 D3 04 57
+49 50 45 00 39 40 80 FF B9 43 00 00 29 53 39 90
+E2 FF FA 23 B0 12 CC CD B2 40 12 E2 0C 18 B2 40
+9C D6 0E 18 CA 3F D6 D1 06 28 57 41 52 4D 29 00
+1E 42 08 18 87 12 96 C9 06 0D 1B 5B 37 6D 23 00
+50 C9 6A C7 96 C9 1F 46 61 73 74 46 6F 72 74 68
+20 56 32 30 35 20 28 43 29 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 50 C9 34 C4 30 FF 80 C7 28 C5
+36 C7 96 C9 0B 62 79 74 65 73 20 66 72 65 65 20
+22 CE AE D4 04 57 41 52 4D 00 30 40 E0 D4 26 D2
+04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40 88 5A
+CC 01 B2 D0 00 08 04 02 B2 D3 06 02 B2 43 02 02
+B2 40 00 01 24 02 B2 40 FF FE 22 02 B2 D0 FF FE
+26 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02 B2 D3
+66 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43
+A1 01 B2 40 00 A5 60 01 B2 40 FF 1E 80 01 B2 40
+BA 00 82 01 B2 40 E8 01 84 01 39 40 00 01 82 43
+88 01 92 D2 5E 01 08 18 A2 93 08 18 01 24 49 07
+38 40 59 14 18 83 FE 23 19 83 FA 23 3A 40 4C D5
+39 40 E2 FF 89 4A 00 00 29 53 FC 23 92 42 02 18
+EC FF B2 40 18 00 0A 18 39 40 00 20 89 43 00 00
+29 53 39 90 FF 27 FA 2B 31 40 E0 20 3F 40 80 20
+37 40 00 C4 36 40 BC C4 35 40 08 C4 34 40 14 C4
+B2 40 0A 00 E2 21 B2 43 B4 21 92 C3 30 01 18 42
+08 18 D2 B3 00 02 04 20 38 E3 18 53 82 48 08 18
+B2 40 81 00 00 05 B2 40 03 00 06 05 B2 40 00 21
+08 05 F2 D0 03 00 0A 02 92 C3 00 05 92 D3 1A 05
+3D 40 6A D6 18 42 08 18 38 90 0A 00 2B 27 38 90
+16 00 28 2F 28 93 01 23 F6 26 3A D5 BE D3 09 41
+53 53 45 4D 42 4C 45 52 84 12 86 D3 C2 DC 6E DD
+76 DC C2 DD 3C DC F6 DC 40 D9 00 00 32 DC E2 DC
+94 DC D2 DC 50 DA 00 00 00 00 D4 DD BA D3 D8 D4
+85 48 49 32 4C 4F 87 12 80 C7 EE D1 80 CC C4 CE
+C4 D3 78 D6 2A C4 40 D5 04 43 4F 44 45 00 B0 12
+C2 CF A2 82 CC 21 87 12 B4 CF B4 C5 B0 D6 00 00
+07 45 4E 44 43 4F 44 45 87 12 DE D3 72 CF 2A C4
+6E D6 03 41 53 4D 92 42 E0 21 C0 21 B2 40 7C D6
+E0 21 E5 3F D0 D6 06 45 4E 44 41 53 4D 00 92 42
+C0 21 E0 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+CC 21 BA 40 87 12 00 00 A2 53 CC 21 B2 43 BE 21
+30 40 DE D3 00 00 05 4C 4F 32 48 49 1A 42 CC 21
+BA 40 B0 12 00 00 BA 40 2A C4 02 00 A2 52 CC 21
+ED 3F 38 40 C6 21 39 48 2A 48 09 5A 1A 52 CA 21
+09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
+CA 21 30 4D B0 12 2A C4 16 CA 74 CA C2 C5 78 D7
+66 CB B8 C5 B2 CE 9A D7 7A D7 29 4E 39 90 86 12
+02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
+30 41 39 90 84 12 01 20 2E 52 30 41 19 42 CC 21
+A2 53 CC 21 89 4E 00 00 3E 40 29 00 12 12 CA 21
+92 53 CA 21 B0 12 2A C4 16 CA 66 CB B8 C5 CC D7
+C2 D7 21 53 3E 90 10 00 BB 2D 30 41 CE D7 B2 41
+CA 21 22 D3 30 41 87 12 32 C6 42 D7 DE D7 82 43
+C4 21 92 42 CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F
+FA 90 23 00 00 00 34 20 92 53 CA 21 B0 12 64 D7
+0E 93 04 20 B2 40 00 03 C4 21 27 3C 1E 93 04 20
+B2 40 10 03 C4 21 21 3C 2E 93 04 20 B2 40 20 03
+C4 21 1B 3C 2E 92 04 20 B2 40 20 02 C4 21 15 3C
+3E 92 04 20 B2 40 30 02 C4 21 0F 3C 3E 93 04 20
+B2 40 30 03 C4 21 09 3C B2 40 30 00 C4 21 19 42
+CC 21 A2 53 CC 21 89 4E 00 00 3E 4F 3D 41 30 4D
+FA 90 26 00 00 00 08 20 B2 40 10 02 C4 21 92 53
+CA 21 30 12 4E D8 76 3F FA 90 40 00 00 00 1A 20
+B2 40 20 00 C4 21 92 53 CA 21 B0 12 AC D7 0E 20
+B2 50 10 00 C4 21 3E 40 2B 00 B0 12 AC D7 32 24
+92 92 C6 21 CA 21 02 24 92 53 CA 21 8E 10 82 5E
+C4 21 D3 3F B0 12 AC D7 F9 23 B2 50 10 00 C4 21
+3E 40 28 00 B0 12 64 D7 30 12 9E D8 67 3F 87 12
+32 C6 42 D7 D6 D8 FE 90 26 00 00 00 3E 40 20 00
+04 20 B2 50 82 00 C4 21 C2 3F B0 12 AC D7 DF 23
+B2 50 80 00 C4 21 3E 40 28 00 B0 12 64 D7 B0 12
+9C D7 D5 23 3D 40 B2 CE 30 4D 3A C8 04 52 45 54
+49 00 87 12 34 C4 00 13 80 CC 2A C4 34 C4 2C 00
+D6 D7 CE D8 26 D9 2E 4E 1E D2 C4 21 19 42 C2 21
+92 3F 26 D7 03 4D 4F 56 84 12 1C D9 00 40 34 D9
+05 4D 4F 56 2E 42 84 12 1C D9 40 40 00 00 03 41
+44 44 84 12 1C D9 00 50 4E D9 05 41 44 44 2E 42
+84 12 1C D9 40 50 5A D9 04 41 44 44 43 00 84 12
+1C D9 00 60 68 D9 06 41 44 44 43 2E 42 00 84 12
+1C D9 40 60 0C D9 04 53 55 42 43 00 84 12 1C D9
+00 70 86 D9 06 53 55 42 43 2E 42 00 84 12 1C D9
+40 70 94 D9 03 53 55 42 84 12 1C D9 00 80 A4 D9
+05 53 55 42 2E 42 84 12 1C D9 40 80 08 D7 03 43
+4D 50 84 12 1C D9 00 90 BE D9 05 43 4D 50 2E 42
+84 12 1C D9 40 90 F6 D6 04 44 41 44 44 00 84 12
+1C D9 00 A0 D8 D9 06 44 41 44 44 2E 42 00 84 12
+1C D9 40 A0 CA D9 03 42 49 54 84 12 1C D9 00 B0
+F6 D9 05 42 49 54 2E 42 84 12 1C D9 40 B0 02 DA
+03 42 49 43 84 12 1C D9 00 C0 10 DA 05 42 49 43
+2E 42 84 12 1C D9 40 C0 1C DA 03 42 49 53 84 12
+1C D9 00 D0 2A DA 05 42 49 53 2E 42 84 12 1C D9
+40 D0 00 00 03 58 4F 52 84 12 1C D9 00 E0 44 DA
+05 58 4F 52 2E 42 84 12 1C D9 40 E0 76 D9 03 41
+4E 44 84 12 1C D9 00 F0 5E DA 05 41 4E 44 2E 42
+84 12 1C D9 40 F0 32 C6 D6 D7 7C DA 1A 42 C4 21
+B2 F0 70 00 C4 21 8A 10 3A F0 0F 00 82 DA C4 21
+4A 3F B0 D9 03 52 52 43 84 12 76 DA 00 10 94 DA
+05 52 52 43 2E 42 84 12 76 DA 40 10 A0 DA 04 53
+57 50 42 00 84 12 76 DA 80 10 AE DA 03 52 52 41
+84 12 76 DA 00 11 BC DA 05 52 52 41 2E 42 84 12
+76 DA 40 11 C8 DA 03 53 58 54 84 12 76 DA 80 11
+00 00 04 50 55 53 48 00 84 12 76 DA 00 12 E2 DA
+06 50 55 53 48 2E 42 00 84 12 76 DA 40 12 36 DA
+04 43 41 4C 4C 00 84 12 76 DA 80 12 34 C4 2C 00
+D6 D7 CE D8 16 DB 59 42 C4 21 5A 42 C5 21 82 4A
+C4 21 BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
+0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
+87 12 6A C7 96 C9 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 1C CE F0 DA 05 50 55 53 48 4D 84 12
+0C DB 00 15 58 DB 04 50 4F 50 4D 00 84 12 0C DB
+00 17 32 C6 42 D7 78 DB 82 43 C4 21 92 42 CC 21
+C2 21 A2 53 CC 21 92 53 CA 21 3E 40 2C 00 B0 12
+2A C4 16 CA 66 CB B8 C5 B2 CE CE D8 9E DB 0A 4E
+3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F D6 DA
+04 52 52 43 4D 00 84 12 72 DB 50 00 B0 DB 04 52
+52 41 4D 00 84 12 72 DB 50 01 BE DB 04 52 4C 41
+4D 00 84 12 72 DB 50 02 CC DB 04 52 52 55 4D 00
+84 12 72 DB 50 03 85 12 00 3C DA DB 03 53 3E 3D
+85 12 00 38 EC DB 02 53 3C 00 85 12 00 34 66 DB
+03 30 3E 3D 85 12 00 30 00 DC 02 30 3C 00 85 12
+00 30 00 00 02 55 3C 00 85 12 00 2C 14 DC 03 55
+3E 3D 85 12 00 28 0A DC 03 30 3C 3E 85 12 00 24
+28 DC 02 30 3D 00 85 12 00 20 46 C8 02 49 46 00
+1A 42 CC 21 8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D
+1E DC 04 54 48 45 4E 00 1A 42 CC 21 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
+00 00 30 4D E6 D9 04 45 4C 53 45 00 1A 42 CC 21
+BA 40 00 3C 00 00 A2 53 CC 21 2F 83 8F 4A 00 00
+E3 3F 52 DC 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 CC 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 21 30 4D
+6A DA 05 41 47 41 49 4E 87 12 E6 DB 9A DC 2A C4
+00 00 05 57 48 49 4C 45 87 12 40 DC 78 C4 2A C4
+F6 DB 06 52 45 50 45 41 54 00 87 12 E6 DB 9A DC
+58 DC 2A C4 00 00 03 4A 4D 50 87 12 A4 CE E6 DB
+9A DC 2A C4 3E B0 00 10 03 20 3E E0 00 04 30 4D
+3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
+00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 04 DD
+A4 CE 78 C4 9A DC 2A C4 3A DD 3D 41 08 4E 3E 4F
+2A 48 0A 93 04 20 98 42 CC 21 00 00 30 4D 88 43
+00 00 A4 3F 00 DB 03 42 57 31 84 12 38 DD 00 00
+56 DD 03 42 57 32 84 12 38 DD 00 00 62 DD 03 42
+57 33 84 12 38 DD 00 00 7A DD 3D 41 1A 42 CC 21
+28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 21 8E 4A
+00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
+57 31 84 12 78 DD 00 00 9E DD 03 46 57 32 84 12
+78 DD 00 00 AA DD 03 46 57 33 84 12 78 DD 00 00
+B6 DD 04 47 4F 54 4F 00 87 12 E6 DB A4 CE 76 CC
+2A C4 26 DD 05 3F 47 4F 54 4F 87 12 04 DD A4 CE
+76 CC 2A C4 72 D1 07 7B 54 4F 4F 4C 53 7D 30 4D
+E2 D6 03 41 4E 44 3E FF 30 4D EE D3 02 2E 53 00
+8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 20
+2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12
+34 C4 3C 00 E2 C8 6A C7 34 C4 08 00 E2 C8 34 C4
+3E 00 E2 C8 18 C9 8A C4 8A C4 96 C5 C2 C5 46 DE
+62 C4 62 C4 2A C4 C8 C5 00 C6 F2 C4 36 C7 34 C4
+02 00 D8 C5 48 DE 2A C4 FC DD 03 2E 52 53 8F 4E
+FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F FC CA 01 3F
+2E 4E 30 40 36 C7 7A D4 03 50 41 44 85 12 E4 20
+34 D5 05 57 4F 52 44 53 87 12 92 C9 34 C4 03 00
+2E C9 34 C4 D0 21 F2 C4 7C DE 34 C4 10 00 44 C4
+20 C5 20 D3 34 C4 00 00 44 C4 34 C4 10 00 44 C4
+20 C5 34 C4 00 00 C8 C5 44 C4 00 C6 7C DE 20 C5
+F2 C4 AC C5 B8 C5 D6 DE 62 C4 62 C4 00 C6 44 C4
+7C DE 20 C5 F2 C4 34 C4 02 00 D8 C5 B8 DE 54 C4
+B8 C5 18 DF 44 C4 34 C4 02 00 28 C5 F2 C4 9A C4
+7C DE 20 C5 FA C4 44 C4 C8 CC 34 C4 7F 00 F6 DD
+50 C9 08 C5 34 C4 0F 00 F6 DD 34 C4 10 00 78 C4
+28 C5 2E C9 B4 C5 A4 DE 62 C4 2A C4 1A D3 03 4D
+41 58 2E 9F 07 38 2F 53 30 4D 1E DF 03 4D 49 4E
+2E 9F F9 3B 3E 4F 30 4D 32 D2 03 55 2E 52 87 12
+B0 C4 54 C6 34 C4 00 00 B4 C6 E6 C6 00 C7 BC C4
+8A C4 28 C5 34 C4 00 00 22 DF 2E C9 50 C9 2A C4
+FC D3 04 44 55 4D 50 00 0D 12 12 12 E2 21 B2 40
+10 00 E2 21 2E 5F B0 12 2A C4 78 C4 8A C4 8A C4
+36 C7 34 C4 01 00 28 C5 36 C7 34 C4 FE FF F6 DD
+C8 C5 92 C9 00 C6 34 C4 07 00 3E DF 18 C9 00 C6
+34 C4 10 00 20 C5 00 C6 C8 C5 00 C6 08 C5 34 C4
+03 00 3E DF EA C5 AA DF 18 C9 18 C9 00 C6 34 C4
+10 00 20 C5 00 C6 C8 C5 00 C6 08 C5 34 C4 7E 00
+30 DF 32 C6 22 DF E2 C8 EA C5 C8 DF 34 C4 10 00
+D8 C5 92 DF BC C4 3E C6 FA C4 2A C4 E6 DD 0A 7B
+46 49 58 50 4F 49 4E 54 7D 00 30 4D A0 D6 05 48
+4F 4C 44 53 39 4F 09 5E 18 42 B2 21 19 83 1E 83
+04 28 18 83 E8 49 00 00 F9 3F 82 48 B2 21 3E 4F
+30 4D 90 D3 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D
+24 E0 02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F
+30 4D 32 E0 02 46 2F 00 1C 4F 02 00 0C EE 0B 43
+18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3
+39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00
+3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40
+20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67
+04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B
+09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44
+34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 30 4D 44 E0 03 46 23 53 29 4F 8F 4E 00 00
+2F 83 8F 49 00 00 2E 42 B2 90 0A 00 E2 21 01 20
+1E 53 1E 15 3D 40 F4 E0 0C 43 0C 12 1E 42 E2 21
+30 40 D8 CA F6 E0 2D 83 3E 90 0A 00 02 28 3E 50
+07 00 3E 50 30 00 3C 41 CC 4E 90 21 1C 53 1C 91
+02 00 EB 2B 1D 17 8F 43 00 00 39 40 90 21 73 3F
+3A DF 04 55 44 4D 2A 00 0D 12 37 15 1D 4F 04 00
+1B 4F 02 00 2A 4F 04 43 05 43 8F 43 04 00 8F 43
+02 00 06 43 07 43 19 43 08 43 09 93 02 20 0E B8
+01 3C 0A B9 06 24 8F 5D 04 00 8F 6B 02 00 06 64
+07 65 0D 5D 0B 6B 04 64 05 65 09 59 08 68 ED 2B
+8F 46 00 00 0E 47 34 17 3D 41 30 4D C6 E0 02 46
+2A 00 1C 4F 02 00 0C EE BF B0 40 1F 02 00 08 24
+BF E3 02 00 BF E3 04 00 9F 53 04 00 8F 63 02 00
+87 12 4C C5 28 E1 A8 E1 3D 41 3E 4F BF 4F 00 00
+80 3F 7E E1 02 46 2E 00 87 12 54 C6 44 C4 B0 C4
+4C C5 CA E0 34 C4 2C 00 16 C7 E6 C6 BC C4 24 C7
+00 C7 50 C9 18 C9 2A C4 94 D4 03 53 3E 46 2F 83
+8F 43 00 00 30 4D DA E1 02 32 40 00 2F 83 9F 4E
+02 00 00 00 2E 4E 30 4D E8 E1 09 32 43 4F 4E 53
+54 41 4E 54 87 12 3A D0 80 CC 80 CC 52 D0 EC E1
+2A C4
+@FFFE
+4C D5
+q
index 4cc80ec..165927d 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 C8 80 3E 00 24 05 00 18 00 46 E0 08 D7
-66 C8 78 C8 00 00 00 00
+10 00 5A C8 80 3E 00 24 05 00 18 00 1E E2 A8 D6
+20 C8 32 C8 00 00 00 00
 @C400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 20 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C5 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 C4 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C4 03 41 4E 44 3E FF 30 4D 84 C4 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C5
-06 4E 45 47 41 54 45 00 E8 3F 32 C5 03 41 42 53
-0E 93 E3 33 30 4D D8 C4 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C5 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C5 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C5 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C5 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C5 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C5 03 50 41 44
-85 12 E4 20 0E C5 03 43 49 42 85 12 3C 21 56 C6
-03 43 50 4C 85 12 54 00 60 C6 02 42 4C 00 85 12
-20 00 C2 C5 03 3E 49 4E 85 12 CA 21 6A C6 04 42
-41 53 45 00 85 12 E2 21 C8 C4 05 53 54 41 54 45
-85 12 BE 21 B4 C5 02 3C 23 00 B2 40 B2 21 B2 21
-30 4D 1A C6 06 55 4D 2F 4D 4F 44 00 30 12 62 C4
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C4 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C6 01 23 1B 42 E2 21 2C 4F
-2F 83 B0 12 B8 C6 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 21 18 42 B2 21
-C8 4A 00 00 30 4D F8 C6 02 23 53 00 87 12 FA C6
-32 C7 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C7 02 23 3E 00 9F 42 B2 21 00 00 3E 40 B2 21
-2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C6 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C6 02 55 2E 00 87 12 9A C6
-34 C4 00 00 2C C7 46 C7 80 C9 48 C9 2A C4 68 C5
-02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5 2C C7
-9A C4 6A C7 46 C7 80 C9 48 C9 2A C4 50 C5 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C7
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 21
-30 4D 5C C5 05 41 4C 4C 4F 54 82 5E CC 21 3E 4F
-30 4D 42 C7 02 43 2C 00 1A 42 CC 21 CA 4E 00 00
-92 53 CC 21 3E 4F 30 4D C0 C7 05 28 4B 45 59 29
-18 42 0C 05 2F 83 8F 4E 00 00 B0 12 66 C8 92 B3
-1C 05 FD 27 1E 42 0C 05 B0 12 78 C8 30 4D 38 C6
-03 4B 45 59 30 40 00 C8 D4 C7 06 41 43 43 45 50
-54 00 3C 40 E4 C8 3B 40 AE C8 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C8 92 B3
-1C 05 05 24 18 42 0C 05 38 90 0A 00 04 20 21 53
-39 40 92 C8 4D 15 A2 B3 1C 05 FD 27 B2 40 11 00
-0E 05 F2 C2 03 02 30 41 A2 B3 1C 05 FD 27 B2 40
-13 00 0E 05 F2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C8 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 1C 05
-FD 27 82 48 0E 05 30 4D DA C8 2D 83 92 B3 1C 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 21 02 24
-92 53 E4 21 3E 8F 3D 41 30 4D FA C7 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C7 04 45 4D 49
-54 00 30 40 04 C9 0C C9 04 45 43 48 4F 00 B2 40
-82 48 D2 C8 82 43 E4 21 30 4D AE C7 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C8 92 43 E4 21 30 4D
-64 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C9 EF 3F 6C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C7 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C9 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C9 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C8 04 28 43 52 29 00 87 12 C2 C9
-02 0D 0A 00 80 C9 2A C4 E4 C7 02 43 52 00 30 40
-AC C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C9 07 43 41 50 53 5F 4F 4E
-B2 43 B4 21 30 4D D8 C9 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 21 30 4D 56 C9 82 53 22 00 87 12
-34 C4 C2 C9 B4 CC F2 C9 34 C4 22 00 42 CA E0 C9
-12 CA 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
-CC 21 A2 63 CC 21 30 4D 2C C9 82 2E 22 00 87 12
-FE C9 34 C4 80 C9 B4 CC 2A C4 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 C5 02 31 2D 00
+1E 83 30 4D D8 C4 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C5
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C5 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C5 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C4 01 3E 3E 8F F9 3F 6C C5 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C5 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C5
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C5 03 43 49 42 85 12 3C 21 24 C6 02 42
+4C 00 85 12 20 00 2E C6 04 42 41 53 45 00 85 12
+E2 21 C8 C4 05 53 54 41 54 45 85 12 BE 21 86 C5
+02 3C 23 00 B2 40 B2 21 B2 21 30 4D F2 C5 06 55
+4D 2F 4D 4F 44 00 30 12 62 C4 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C6 01 23 1B 42 E2 21 2C 4F 2F 83 B0 12 72 C6
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 21 18 42 B2 21 C8 4A 00 00 30 4D
+B2 C6 02 23 53 00 87 12 B4 C6 EC C6 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C6 02 23 3E 00
+9F 42 B2 21 00 00 3E 40 B2 21 2E 8F 30 4D FE C5
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C6 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C6 02 55 2E 00 87 12 54 C6 34 C4 00 00 E6 C6
+00 C7 5C C9 24 C9 2A C4 46 C5 02 44 2E 00 87 12
+54 C6 78 C4 8A C4 4C C5 E6 C6 9A C4 24 C7 00 C7
+5C C9 24 C9 2A C4 84 C4 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C7 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 21 30 4D F8 C4 05 41
+4C 4C 4F 54 82 5E CC 21 3E 4F 30 4D FC C6 02 43
+2C 00 1A 42 CC 21 CA 4E 00 00 92 53 CC 21 3E 4F
+30 4D 7A C7 05 28 4B 45 59 29 18 42 0C 05 2F 83
+8F 4E 00 00 B0 12 20 C8 92 B3 1C 05 FD 27 1E 42
+0C 05 B0 12 32 C8 30 4D 10 C6 03 4B 45 59 30 40
+BA C7 8E C7 06 41 43 43 45 50 54 00 3C 40 98 C8
+3B 40 62 C8 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C C8 92 B3 1C 05 05 24 18 42
+0C 05 38 90 0A 00 04 20 21 53 39 40 46 C8 4D 15
+A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 F2 C2 03 02
+30 41 B2 40 13 00 0E 05 F2 D2 03 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 C8 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+0C 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 1C 05 FD 27 82 48 0E 05 30 4D 8E C8 2D 83
+92 B3 1C 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 21 02 24 92 53 E4 21 3E 8F 3D 41 30 4D B4 C7
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 21 00 00
+AF 4F 02 00 8B 3F 82 43 CA 21 82 4E C6 21 B2 4F
+C8 21 3E 4F 30 4D 4A C7 04 45 4D 49 54 00 30 40
+B8 C8 E8 C8 04 45 43 48 4F 00 B2 40 82 48 86 C8
+82 43 E4 21 30 4D 68 C7 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 C8 92 43 E4 21 30 4D 1E C7 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E C9 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 C9 EF 3F 48 C9 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 C7 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 C9 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 C9 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 C8 04 28 43 52 29 00 87 12 A2 C9
+02 0D 0A 00 5C C9 2A C4 9E C7 02 43 52 00 30 40
+8C C9 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A C9 07 43 41 50 53 5F 4F 4E
+B2 43 B4 21 30 4D B8 C9 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 21 30 4D 32 C9 82 53 22 00 87 12
+34 C4 A2 C9 8C CC D2 C9 34 C4 22 00 22 CA C0 C9
+F2 C9 3D 41 6E 4E 1E 83 82 5E CC 21 3E 4F 92 B3
+CC 21 A2 63 CC 21 30 4D 08 C9 82 2E 22 00 87 12
+DE C9 34 C4 5C C9 8C CC 2A C4 00 00 04 57 4F 52
 44 00 3C 40 C6 21 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,187 +111,183 @@ F6 2F 82 93 B4 21 F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 2F 53
-2F 53 3E 4F 30 4D 7A C9 03 55 4D 2A 2C 4F 0B 43
-09 43 08 43 1A 43 0E BA 02 24 09 5C 08 6B 0C 5C
-0B 6B 0A 5A F8 2B 8F 49 00 00 0E 48 30 4D 74 C6
-07 3E 4E 55 4D 42 45 52 2C 4F 0B 4E 69 4C 79 80
-30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
-20 28 19 92 E2 21 1D 2C 4D 15 1C 4F 02 00 1E 42
-E2 21 3D 40 68 CB D3 3F 6A CB 81 49 02 00 1C 4F
-04 00 1E 42 E2 21 3D 40 7C CB C9 3F 7E CB 39 51
-3E 61 8F 49 04 00 8F 4E 02 00 2B 17 1C 53 1B 83
-D5 23 8F 4C 00 00 0E 4B 30 4D 0C 43 1B 42 E2 21
-32 C0 00 02 2D 15 3D 40 F4 CB 3F 82 8F 4E 06 00
-8F 43 04 00 8F 43 02 00 0C 4E 7B 4C 69 4C 79 80
-2C 00 13 2C 59 83 A2 43 E2 21 79 52 09 24 B2 52
-E2 21 69 53 05 24 B2 40 10 00 E2 21 59 83 AE 23
-1C 53 1B 83 69 4C 79 80 2C 00 59 93 A7 23 B1 43
-02 00 CC 3F F6 CB 0E 93 34 24 32 B0 00 02 31 20
-32 D0 00 02 FC 90 2E 00 00 00 02 20 2D 83 BE 3F
-FC 90 2C 00 00 00 25 20 0A 4B 1B 42 E2 21 09 43
-8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
-79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
-09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 B0 C6
-2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
-4E 93 2B 17 0E 4C 82 4B E2 21 04 24 3F 50 06 00
-0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
-3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
-02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
-18 C9 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
-26 C5 01 2C 1A 42 CC 21 A2 53 CC 21 8A 4E 00 00
-3E 4F 30 4D B2 CC 87 4C 49 54 45 52 41 4C 82 93
-BE 21 0F 24 1A 42 CC 21 A2 52 CC 21 BA 40 34 C4
-00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
-F1 23 30 4D E8 C9 05 43 4F 55 4E 54 2F 83 1E 53
-8F 4E 00 00 5E 4E FF FF 30 4D 82 4E C6 21 B2 4F
-C8 21 3E 4F 82 43 CA 21 87 12 6E C6 42 CA 20 CD
-3D 40 2C CD BD 22 3E 4F 3D 41 30 4D 2E CD 0A 4E
-3E 4F 3D 40 44 CD 31 27 3D 40 1A CD 1A E2 BE 21
-B9 27 B3 23 46 CD 3E 4F 3D 40 1A CD C0 23 DE 53
-00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 CE CF
-CD 3F A2 CC 08 45 56 41 4C 55 41 54 45 00 39 40
-C6 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4 0A CD
-82 CD B2 41 CA 21 B2 41 C8 21 B2 41 C6 21 3D 41
-30 4D 4C C6 04 51 55 49 54 00 31 40 E0 20 B2 40
-00 20 00 20 82 43 BE 21 82 43 08 18 B0 12 2A C4
-C2 C9 05 0D 0A 6F 6B 20 80 C9 5A C6 44 C4 64 C6
-32 C8 48 C9 0A CD DE C4 92 C5 C2 C9 0D 73 74 61
-63 6B 20 65 6D 70 74 79 21 20 54 CE 34 C4 30 FF
-C6 C7 D2 C5 C2 C9 0B 46 52 41 4D 20 66 75 6C 6C
-21 20 54 CE 90 C6 F2 C4 E0 C5 B0 CD C2 C9 05 0D
-0A 20 20 20 DC C5 B8 CD B2 40 40 D5 A4 D5 B2 40
-A0 C8 94 C8 B2 40 04 C9 14 C9 B2 40 AC C9 C0 C9
-B2 40 00 C8 26 C8 82 43 CA DD 82 43 D6 DD 82 43
-E2 DD 82 43 12 DE 82 43 1E DE 82 43 2A DE B2 40
-0A 00 E2 21 30 41 2A C8 05 41 42 4F 52 54 3F 40
-80 20 A3 3F 8F 93 02 00 52 26 B2 40 82 48 D2 C8
-B0 12 14 CE A2 B3 1C 05 FD 27 B2 40 11 00 0E 05
-F2 C2 03 02 92 C3 1C 05 38 40 A0 AA 39 42 03 43
-19 83 FD 23 18 83 FA 23 92 B3 1C 05 F3 23 87 12
-C2 C9 04 1B 5B 37 6D 00 80 C9 34 C4 E4 21 F2 C4
-54 C4 E0 C5 BA CE C2 C9 05 6C 69 6E 65 3A 80 C9
-34 C4 01 00 28 C5 7C C7 1E C9 80 C9 C2 C9 04 1B
-5B 30 6D 00 80 C9 B6 D4 E0 C9 4E CE 48 CE 86 41
-42 4F 52 54 22 00 87 12 FE C9 34 C4 54 CE B4 CC
-2A C4 9A CA 01 27 87 12 6E C6 42 CA A0 CA E0 C5
-F4 CE 2A C4 4E CD 96 C6 81 5C 92 42 C6 21 CA 21
-30 4D 00 00 81 5B 82 43 BE 21 30 4D F8 CE 01 5D
-B2 43 BE 21 30 4D 04 CF 83 5B 27 5D 87 12 E6 CE
-34 C4 34 C4 B4 CC B4 CC 2A C4 BE 4F 02 00 3E 4F
-30 4D A6 C9 82 49 53 00 87 12 90 C6 F2 C4 E0 C5
-4C CF 1C CF 34 C4 2A CF B4 CC 2A C4 E6 CE 2A CF
-2A C4 34 CF 09 49 4D 4D 45 44 49 41 54 45 1A 42
-B6 21 FA D0 80 00 00 00 30 4D FA C9 87 52 45 43
-55 52 53 45 19 42 CC 21 99 42 BA 21 00 00 A2 53
-CC 21 30 4D 94 CD 88 50 4F 53 54 50 4F 4E 45 00
-87 12 6E C6 42 CA A0 CA 54 C4 E0 C5 F4 CE 92 C5
-E0 C5 B0 CF 34 C4 34 C4 B4 CC B4 CC 34 C4 B4 CC
-B4 CC 2A C4 82 9F BC 21 34 25 87 12 C2 C9 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 5A CE
-87 12 1E CA 6E C6 42 CA DA CF 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 E0 21 6E 4E 3E F0 1E 00 09 5E
-82 48 B6 21 82 49 B8 21 82 4A BA 21 2A 52 82 4A
-CC 21 3E 4F 3D 41 30 41 3C CA 08 56 41 52 49 41
-42 4C 45 00 B0 12 D0 CF BA 40 86 12 FC FF 05 3D
-F6 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12 D0 CF
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F F6 3C 22 D0
-06 43 52 45 41 54 45 00 B0 12 D0 CF BA 40 85 12
-FC FF 8A 4A FE FF E9 3C 64 CD 05 44 4F 45 53 3E
-1A 42 BA 21 BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 5A D0 05 44 45 46 45 52 B0 12 D0 CF BA 40
-30 40 FC FF BA 40 70 D0 FE FF CF 3C 18 CF 01 3A
-B0 12 D0 CF BA 40 87 12 FC FF A2 83 CC 21 B2 43
-BE 21 82 4F BC 21 30 4D 8E D0 81 3B 82 93 BE 21
-24 27 87 12 34 C4 2A C4 B4 CC B4 CF 06 CF 2A C4
-40 D0 07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F
-39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30
-19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43
-30 4D AA D0 86 5B 54 48 45 4E 5D 00 30 4D 1E 83
-30 4D F4 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C4
-01 00 6E C6 42 CA FC CC 44 C4 E0 C5 7A D1 8A C4
-8A C4 C2 C9 04 5B 49 46 5D 00 CA D0 EA C5 38 D1
-00 CB 2C C5 DC C5 6E D1 8A C4 8A C4 C2 C9 06 5B
-45 4C 53 45 5D 00 CA D0 EA C5 5C D1 00 CB FE D0
-44 C4 E0 C5 58 D1 2C C5 DC C5 6E D1 C2 C9 06 5B
-54 48 45 4E 5D 00 CA D0 EA C5 6E D1 FE D0 54 C4
-EA C5 76 D1 2A C4 DC C5 12 D1 00 CB C2 C9 05 0D
-0A 6B 6F 20 80 C9 5A C6 44 C4 64 C6 32 C8 90 D1
-82 43 CA 21 82 4E C6 21 B2 4F C8 21 3E 4F 3D 40
-12 D1 30 4D 04 D1 84 5B 49 46 5D 00 0E 93 3E 4F
-AD 27 30 4D A6 D1 8B 5B 55 4E 44 45 46 49 4E 45
-44 5D 87 12 6E C6 42 CA A0 CA 6C C4 86 C5 2A C4
-B6 D1 89 5B 44 45 46 49 4E 45 44 5D 87 12 6E C6
-42 CA A0 CA 6C C4 2A C4 EA D1 3D 41 B2 4E 0E 18
-A2 4E 0C 18 3E 4F 69 3D C6 CC 06 4D 41 52 4B 45
-52 00 B0 12 D0 CF BA 40 84 12 FC FF BA 40 E8 D1
-FE FF 9A 42 CE 21 00 00 28 83 8A 48 02 00 A2 52
-CC 21 18 42 B6 21 19 42 B8 21 A8 49 FE FF 89 48
-00 00 30 4D 54 CF 82 49 46 00 2F 83 8F 4E 00 00
-1E 42 CC 21 A2 52 CC 21 BE 40 E0 C5 00 00 2E 53
-30 4D 74 D0 84 45 4C 53 45 00 A2 52 CC 21 1A 42
-CC 21 BA 40 DC C5 FC FF 8E 4A 00 00 2A 83 0E 4A
-30 4D 08 CB 84 54 48 45 4E 00 9E 42 CC 21 00 00
-3E 4F 30 4D C2 D0 85 42 45 47 49 4E 30 40 C6 C7
-74 D2 85 55 4E 54 49 4C 39 40 E0 C5 A2 52 CC 21
-1A 42 CC 21 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D
-CE CE 85 41 47 41 49 4E 39 40 DC C5 EF 3F 0A D0
-85 57 48 49 4C 45 87 12 3A D2 78 C4 2A C4 6C CF
-86 52 45 50 45 41 54 00 87 12 B8 D2 7A D2 2A C4
-54 D2 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 CC 21
-1E 42 CC 21 BE 40 F0 C5 FE FF A2 53 00 20 1A 42
-00 20 8A 43 00 00 30 4D FA D1 84 4C 4F 4F 50 00
-39 40 12 C6 A2 52 CC 21 1A 42 CC 21 8A 49 FC FF
-8A 4E FE FF 1E 42 00 20 A2 83 00 20 2E 4E 0E 93
-03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D 20 C8 85 2B
-4C 4F 4F 50 39 40 00 C6 E5 3F 0A D3 85 4C 45 41
-56 45 1A 42 CC 21 BA 40 22 C6 00 00 BA 40 DC C5
-02 00 B2 50 06 00 CC 21 A2 53 00 20 2A 52 19 42
-00 20 89 4A 00 00 30 4D 4C D3 04 4D 4F 56 45 00
-0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24
-06 2C F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A
-09 5A 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-C0 D2 0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12
-48 D0 34 C4 10 00 34 C4 00 00 F0 C5 34 C4 00 00
-B4 CC 12 C6 CC D3 C6 C7 34 C4 CE 21 44 C4 F2 C4
-B4 CC FA C4 60 D0 34 C4 D0 21 FA C4 2A C4 E4 CE
-05 46 4F 52 54 48 84 12 E6 D3 4E D7 24 D7 BC DF
-F0 D3 0C D7 3E D3 86 DF BE DE DA D4 F4 D4 94 DF
-DC DE 46 C5 52 DE 0E CF D2 DE 00 00 B2 D2 04 41
-4C 53 4F 00 3A 40 0E 00 39 40 D0 21 38 40 D2 21
-B6 3F 86 CF 08 50 52 45 56 49 4F 55 53 00 3A 40
-0E 00 39 40 D2 21 38 40 D0 21 A3 3F 2A CA 04 4F
-4E 4C 59 00 82 43 D2 21 30 4D E2 D2 0B 44 45 46
-49 4E 49 54 49 4F 4E 53 92 42 D0 21 E0 21 30 4D
-F6 D3 54 D4 68 D4 78 D4 3A 4E 82 4A CE 21 2E 4E
-82 4E CC 21 3D 40 10 00 09 4A 08 49 29 83 18 48
-FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
-0A 93 F0 23 3E 4F 3D 41 30 4D 34 D4 09 50 57 52
-5F 53 54 41 54 45 84 12 70 D4 08 D7 46 E0 D0 D2
-09 52 53 54 5F 53 54 41 54 45 92 42 0E 18 BA D4
-92 42 0C 18 BC D4 EF 3F AC D4 08 50 57 52 5F 48
-45 52 45 00 92 42 CE 21 BA D4 92 42 CC 21 BC D4
-30 4D C0 D4 08 52 53 54 5F 48 45 52 45 00 92 42
-CE 21 0E 18 92 42 CC 21 0C 18 EC 3F B2 D3 04 57
-49 50 45 00 39 40 80 FF B9 43 00 00 29 53 39 90
-E2 FF FA 23 B0 12 08 CE B2 40 46 E0 0C 18 B2 40
-08 D7 0E 18 CA 3F 36 D2 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 C2 C9 06 0D 1B 5B 37 6D 23 00
-80 C9 B0 C7 C2 C9 27 20 46 61 73 74 46 6F 72 74
-68 20 56 32 30 33 20 31 36 4D 48 7A 20 28 43 29
-20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 80 C9
-34 C4 30 FF C6 C7 28 C5 7C C7 C2 C9 0B 62 79 74
-65 73 20 66 72 65 65 20 60 CE 0E D5 04 57 41 52
-4D 00 30 40 40 D5 86 D2 04 43 4F 4C 44 00 B2 40
-04 A5 20 01 B2 40 88 5A CC 01 B2 D0 00 08 04 02
-B2 D3 06 02 B2 43 02 02 B2 40 00 01 24 02 B2 40
-FF FE 22 02 B2 D0 FF FE 26 02 B2 43 42 02 B2 D3
-46 02 B2 43 62 02 B2 D3 66 02 F2 40 A5 00 A1 01
-F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
-B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40 E9 01
-84 01 39 40 40 00 B2 40 00 06 2A 02 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 B4 D5 39 40 E2 FF
+8F 4C 00 00 35 40 08 C4 34 40 14 C4 30 4D 56 C9
+03 55 4D 2A 2C 4F 0B 43 09 43 08 43 1A 43 0E BA
+02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A F8 2B 8F 49
+00 00 0E 48 30 4D 94 C5 07 3E 4E 55 4D 42 45 52
+2C 4F 0B 4E 69 4C 79 80 30 00 79 90 0A 00 05 28
+79 80 07 00 79 90 0A 00 20 28 19 92 E2 21 1D 2C
+4D 15 1C 4F 02 00 1E 42 E2 21 3D 40 40 CB D3 3F
+42 CB 81 49 02 00 1C 4F 04 00 1E 42 E2 21 3D 40
+54 CB C9 3F 56 CB 39 51 3E 61 8F 49 04 00 8F 4E
+02 00 2B 17 1C 53 1B 83 D5 23 8F 4C 00 00 0E 4B
+30 4D 0C 43 1B 42 E2 21 32 C0 00 02 2D 15 3D 40
+CC CB 3F 82 8F 4E 06 00 8F 43 04 00 8F 43 02 00
+0C 4E 7B 4C 69 4C 79 80 2C 00 13 2C 59 83 A2 43
+E2 21 79 52 09 24 B2 52 E2 21 69 53 05 24 B2 40
+10 00 E2 21 59 83 AE 23 1C 53 1B 83 69 4C 79 80
+2C 00 59 93 A7 23 B1 43 02 00 CC 3F CE CB 0E 93
+34 24 32 B0 00 02 31 20 32 D0 00 02 FC 90 2E 00
+00 00 02 20 2D 83 BE 3F FC 90 2C 00 00 00 25 20
+0A 4B 1B 42 E2 21 09 43 8F 49 02 00 5A 83 09 4A
+09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
+07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
+0E 4B 2C 15 B0 12 6A C6 2A 17 E6 3F 9F 4F 04 00
+02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
+E2 21 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
+02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
+02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
+00 02 01 20 2F 53 30 4D F4 C8 07 45 58 45 43 55
+54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42 CC 21
+A2 53 CC 21 8A 4E 00 00 3E 4F 30 4D 8A CC 87 4C
+49 54 45 52 41 4C 82 93 BE 21 0F 24 1A 42 CC 21
+A2 52 CC 21 BA 40 34 C4 00 00 8A 4E 02 00 3E 4F
+32 B0 00 02 32 C0 00 02 F1 23 30 4D C8 C9 05 43
+4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
+30 4D 87 12 D6 C8 32 C6 22 CA EC CC 3D 40 F4 CC
+C7 22 2D 3E F6 CC 0A 4E 3E 4F 3D 40 0C CD 39 27
+3D 40 E6 CC 1A E2 BE 21 C1 27 BB 23 0E CD 3E 4F
+3D 40 E6 CC C8 23 DE 53 00 00 68 4E 08 5E F8 40
+3F 00 00 00 3D 40 98 CF D5 3F 7A CC 08 45 56 41
+4C 55 41 54 45 00 39 40 C6 21 3C 49 3B 49 3A 49
+3D 15 B0 12 2A C4 E2 CC 4A CD B2 41 CA 21 B2 41
+C8 21 B2 41 C6 21 3D 41 30 4D 9C C5 04 51 55 49
+54 00 31 40 E0 20 B2 40 00 20 00 20 82 43 BE 21
+82 43 08 18 B0 12 2A C4 A2 C9 05 0D 0A 6F 6B 20
+5C C9 BE C8 24 C9 E2 CC DE C4 70 C5 A2 C9 0D 73
+74 61 63 6B 20 65 6D 70 74 79 21 20 1C CE 34 C4
+30 FF 80 C7 AC C5 A2 C9 0B 46 52 41 4D 20 66 75
+6C 6C 21 20 1C CE 4A C6 F2 C4 B8 C5 78 CD A2 C9
+05 0D 0A 20 20 20 B4 C5 80 CD E4 C7 05 41 42 4F
+52 54 3F 40 80 20 C5 3F B2 40 EC D4 48 D5 B2 40
+54 C8 48 C8 B2 40 3C 21 2A C6 B2 40 B8 C8 F0 C8
+B2 40 8C C9 A0 C9 B2 40 BA C7 E0 C7 82 43 6A DD
+82 43 76 DD 82 43 82 DD 82 43 B2 DD 82 43 BE DD
+82 43 CA DD B2 40 0A 00 E2 21 30 41 8F 93 02 00
+03 20 2F 52 3E 4F 30 4D B2 40 82 48 86 C8 B0 12
+E4 CD A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 F2 C2
+03 02 92 C3 1C 05 38 40 A0 AA 39 42 03 43 19 83
+FD 23 18 83 FA 23 92 B3 1C 05 F3 23 87 12 A2 C9
+04 1B 5B 37 6D 00 5C C9 34 C4 E4 21 F2 C4 54 C4
+B8 C5 84 CE A2 C9 05 6C 69 6E 65 3A 5C C9 40 C5
+36 C7 FA C8 5C C9 A2 C9 04 1B 5B 30 6D 00 5C C9
+62 D4 C0 C9 D2 CD CC CD 86 41 42 4F 52 54 22 00
+87 12 DE C9 34 C4 1C CE 8C CC 2A C4 7A CA 01 27
+87 12 32 C6 22 CA 80 CA B8 C5 BE CE 2A C4 16 CD
+50 C6 81 5C 92 42 C6 21 CA 21 30 4D 00 00 81 5B
+82 43 BE 21 30 4D C2 CE 01 5D B2 43 BE 21 30 4D
+CE CE 83 5B 27 5D 87 12 B0 CE 34 C4 34 C4 8C CC
+8C CC 2A C4 BE 4F 02 00 3E 4F 30 4D 86 C9 82 49
+53 00 87 12 4A C6 F2 C4 B8 C5 16 CF E6 CE 34 C4
+F4 CE 8C CC 2A C4 B0 CE F4 CE 2A C4 FE CE 09 49
+4D 4D 45 44 49 41 54 45 1A 42 B6 21 FA D0 80 00
+00 00 30 4D DA C9 87 52 45 43 55 52 53 45 19 42
+CC 21 99 42 BA 21 00 00 A2 53 CC 21 30 4D 5C CD
+88 50 4F 53 54 50 4F 4E 45 00 87 12 32 C6 22 CA
+80 CA 54 C4 B8 C5 BE CE 70 C5 B8 C5 7A CF 34 C4
+34 C4 8C CC 8C CC 34 C4 8C CC 8C CC 2A C4 82 9F
+BC 21 25 25 87 12 A2 C9 0F 73 74 61 63 6B 20 6D
+69 73 6D 61 74 63 68 21 28 CE E2 CE 81 3B 82 93
+BE 21 90 27 87 12 34 C4 2A C4 8C CC 7E CF D0 CE
+2A C4 BA 40 87 12 FC FF A2 83 CC 21 B2 43 BE 21
+82 4F BC 21 30 4D 9C CF 01 3A 30 12 B2 CF 87 12
+FE C9 32 C6 22 CA D8 CF 08 4E 7A 4E 5A D3 5A 53
+0A 58 19 42 E0 21 6E 4E 3E F0 1E 00 09 5E 82 48
+B6 21 82 49 B8 21 82 4A BA 21 2A 52 82 4A CC 21
+3E 4F 3D 41 30 41 1C CA 08 56 41 52 49 41 42 4C
+45 00 B0 12 CE CF BA 40 86 12 FC FF DC 3C CE CC
+08 43 4F 4E 53 54 41 4E 54 00 B0 12 CE CF BA 40
+85 12 FC FF 8A 4E FE FF 3E 4F CD 3C 20 D0 06 43
+52 45 41 54 45 00 B0 12 CE CF BA 40 85 12 FC FF
+8A 4A FE FF C0 3C 2C CD 05 44 4F 45 53 3E 1A 42
+BA 21 BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D
+58 D0 05 44 45 46 45 52 B0 12 CE CF BA 40 30 40
+FC FF BA 40 6E D0 FE FF A6 3C 3E D0 07 43 4F 4D
+50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
+F5 27 02 2C 3E 43 30 4D 1E 43 30 4D C8 CF 86 5B
+54 48 45 4E 5D 00 30 4D BE D0 86 5B 45 4C 53 45
+5D 00 87 12 34 C4 01 00 32 C6 22 CA D4 CC 44 C4
+B8 C5 3C D1 8A C4 8A C4 A2 C9 04 5B 49 46 5D 00
+94 D0 C2 C5 FE D0 7E C9 36 C5 B4 C5 34 D1 8A C4
+8A C4 A2 C9 06 5B 45 4C 53 45 5D 00 94 D0 C2 C5
+22 D1 7E C9 40 C5 44 C4 B8 C5 34 D1 36 C5 B4 C5
+34 D1 A2 C9 06 5B 54 48 45 4E 5D 00 94 D0 C2 C5
+34 D1 40 C5 54 C4 C2 C5 D8 D0 2A C4 7E C9 A2 C9
+05 0D 0A 6B 6F 20 5C C9 BE C8 D6 C8 B4 C5 D8 D0
+CA D0 84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D
+52 D1 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12
+32 C6 22 CA 80 CA 6C C4 64 C5 2A C4 62 D1 89 5B
+44 45 46 49 4E 45 44 5D 87 12 32 C6 22 CA 80 CA
+6C C4 2A C4 96 D1 3D 41 B2 4E 0E 18 A2 4E 0C 18
+3E 4F 69 3D 9E CC 06 4D 41 52 4B 45 52 00 B0 12
+CE CF BA 40 84 12 FC FF BA 40 94 D1 FE FF 9A 42
+CE 21 00 00 28 83 8A 48 02 00 A2 52 CC 21 18 42
+B6 21 19 42 B8 21 A8 49 FE FF 89 48 00 00 30 4D
+1E CF 82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 21
+A2 52 CC 21 BE 40 B8 C5 00 00 2E 53 30 4D 72 D0
+84 45 4C 53 45 00 A2 52 CC 21 1A 42 CC 21 BA 40
+B4 C5 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D E0 CA
+84 54 48 45 4E 00 9E 42 CC 21 00 00 3E 4F 30 4D
+8C D0 85 42 45 47 49 4E 30 40 80 C7 20 D2 85 55
+4E 54 49 4C 39 40 B8 C5 A2 52 CC 21 1A 42 CC 21
+8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 98 CE 85 41
+47 41 49 4E 39 40 B4 C5 EF 3F 08 D0 85 57 48 49
+4C 45 87 12 E6 D1 78 C4 2A C4 36 CF 86 52 45 50
+45 41 54 00 87 12 64 D2 26 D2 2A C4 00 D2 82 44
+4F 00 2F 83 8F 4E 00 00 A2 53 CC 21 1E 42 CC 21
+BE 40 C8 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43
+00 00 30 4D A6 D1 84 4C 4F 4F 50 00 39 40 EA C5
+A2 52 CC 21 1A 42 CC 21 8A 49 FC FF 8A 4E FE FF
+1E 42 00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A
+00 00 F6 3F 3E 4F 30 4D DA C7 85 2B 4C 4F 4F 50
+39 40 D8 C5 E5 3F B6 D2 85 4C 45 41 56 45 1A 42
+CC 21 BA 40 FA C5 00 00 BA 40 B4 C5 02 00 B2 50
+06 00 CC 21 A2 53 00 20 2A 52 19 42 00 20 89 4A
+00 00 30 4D F8 D2 04 4D 4F 56 45 00 0A 4E 38 4F
+39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49
+00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83
+18 83 E8 49 00 00 1A 83 FA 23 30 4D 6C D2 0A 56
+4F 43 41 42 55 4C 41 52 59 00 87 12 46 D0 34 C4
+10 00 34 C4 00 00 C8 C5 34 C4 00 00 8C CC EA C5
+78 D3 80 C7 34 C4 CE 21 44 C4 F2 C4 8C CC FA C4
+5E D0 34 C4 D0 21 FA C4 2A C4 AE CE 05 46 4F 52
+54 48 84 12 92 D3 FE DD C4 D6 6E DF C0 E1 0A E0
+EA D2 38 DF 66 DE 84 DE 06 E2 2E E1 8E DE 00 00
+FA DF D8 CE 7A DE 00 00 5E D2 04 41 4C 53 4F 00
+3A 40 0E 00 39 40 D0 21 38 40 D2 21 B6 3F 50 CF
+08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40
+D2 21 38 40 D0 21 A3 3F 0A CA 04 4F 4E 4C 59 00
+82 43 D2 21 30 4D 8E D2 0B 44 45 46 49 4E 49 54
+49 4F 4E 53 92 42 D0 21 E0 21 30 4D A2 D3 00 D4
+14 D4 24 D4 3A 4E 82 4A CE 21 2E 4E 82 4E CC 21
+3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
+FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
+3E 4F 3D 41 30 4D E0 D3 09 50 57 52 5F 53 54 41
+54 45 84 12 1C D4 A8 D6 1E E2 7C D2 09 52 53 54
+5F 53 54 41 54 45 92 42 0E 18 66 D4 92 42 0C 18
+68 D4 EF 3F 58 D4 08 50 57 52 5F 48 45 52 45 00
+92 42 CE 21 66 D4 92 42 CC 21 68 D4 30 4D 6C D4
+08 52 53 54 5F 48 45 52 45 00 92 42 CE 21 0E 18
+92 42 CC 21 0C 18 EC 3F 5E D3 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 E2 FF FA 23
+B0 12 D8 CD B2 40 1E E2 0C 18 B2 40 A8 D6 0E 18
+CA 3F E2 D1 06 28 57 41 52 4D 29 00 1E 42 08 18
+87 12 A2 C9 06 0D 1B 5B 37 6D 23 00 5C C9 6A C7
+A2 C9 1F 46 61 73 74 46 6F 72 74 68 20 56 32 30
+35 20 28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 5C C9 34 C4 30 FF 80 C7 28 C5 36 C7 A2 C9
+0B 62 79 74 65 73 20 66 72 65 65 20 2E CE BA D4
+04 57 41 52 4D 00 30 40 EC D4 32 D2 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 D0
+00 08 04 02 B2 D3 06 02 B2 43 02 02 B2 40 00 01
+24 02 B2 40 FF FE 22 02 B2 D0 FF FE 26 02 B2 43
+42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 40
+A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01 B2 40
+00 A5 60 01 B2 40 FF 1E 80 01 B2 40 BA 00 82 01
+B2 40 E8 01 84 01 39 40 00 01 82 43 88 01 92 D2
+5E 01 08 18 A2 93 08 18 01 24 49 07 38 40 59 14
+18 83 FE 23 19 83 FA 23 3A 40 58 D5 39 40 E2 FF
 89 4A 00 00 29 53 FC 23 92 42 02 18 EC FF B2 40
 18 00 0A 18 39 40 00 20 89 43 00 00 29 53 39 90
 FF 27 FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
@@ -301,34 +295,34 @@ FF 27 FA 2B 31 40 E0 20 3F 40 80 20 37 40 00 C4
 E2 21 B2 43 B4 21 92 C3 30 01 18 42 08 18 D2 B3
 00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
 00 05 B2 40 11 00 06 05 B2 40 00 4A 08 05 F2 D0
-03 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40 D6 D6
-18 42 08 18 38 90 0A 00 25 27 38 90 16 00 22 2F
-28 93 FB 22 F0 26 A2 D5 1E D4 09 41 53 53 45 4D
-42 4C 45 52 84 12 E6 D3 2E DD DA DD E2 DC 2E DE
-A8 DC 62 DD AC D9 00 00 9E DC 4E DD 00 DD 3E DD
-BC DA 00 00 00 00 40 DE 1A D4 38 D5 85 48 49 32
-4C 4F 87 12 C6 C7 4E D2 B4 CC 06 CF 24 D4 E4 D6
-2A C4 A8 D5 04 43 4F 44 45 00 B0 12 D0 CF A2 82
-CC 21 87 12 A2 D0 DC C5 1C D7 00 00 07 45 4E 44
-43 4F 44 45 87 12 3E D4 B4 CF 2A C4 DA D6 03 41
-53 4D 92 42 E0 21 C0 21 B2 40 E8 D6 E0 21 E5 3F
-3C D7 06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21
+03 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40 76 D6
+18 42 08 18 38 90 0A 00 2B 27 38 90 16 00 28 2F
+28 93 01 23 F6 26 46 D5 CA D3 09 41 53 53 45 4D
+42 4C 45 52 84 12 92 D3 CE DC 7A DD 82 DC CE DD
+48 DC 02 DD 4C D9 00 00 3E DC EE DC A0 DC DE DC
+5C DA 00 00 00 00 E0 DD C6 D3 E4 D4 85 48 49 32
+4C 4F 87 12 80 C7 FA D1 8C CC D0 CE D0 D3 84 D6
+2A C4 4C D5 04 43 4F 44 45 00 B0 12 CE CF A2 82
+CC 21 87 12 C0 CF B4 C5 BC D6 00 00 07 45 4E 44
+43 4F 44 45 87 12 EA D3 7E CF 2A C4 7A D6 03 41
+53 4D 92 42 E0 21 C0 21 B2 40 88 D6 E0 21 E5 3F
+DC D6 06 45 4E 44 41 53 4D 00 92 42 C0 21 E0 21
 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 21 BA 40
-87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40 3E D4
+87 12 00 00 A2 53 CC 21 B2 43 BE 21 30 40 EA D3
 00 00 05 4C 4F 32 48 49 1A 42 CC 21 BA 40 B0 12
 00 00 BA 40 2A C4 02 00 A2 52 CC 21 ED 3F 38 40
 C6 21 39 48 2A 48 09 5A 1A 52 CA 21 09 9A 03 24
 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 21 30 4D
-B0 12 2A C4 42 CA A0 CA EA C5 E4 D7 9A CB E0 C5
-F4 CE 06 D8 E6 D7 29 4E 39 90 86 12 02 20 2E 53
+B0 12 2A C4 22 CA 80 CA C2 C5 84 D7 72 CB B8 C5
+BE CE A6 D7 86 D7 29 4E 39 90 86 12 02 20 2E 53
 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
 84 12 01 20 2E 52 30 41 19 42 CC 21 A2 53 CC 21
 89 4E 00 00 3E 40 29 00 12 12 CA 21 92 53 CA 21
-B0 12 2A C4 42 CA 9A CB E0 C5 38 D8 2E D8 21 53
-3E 90 10 00 BB 2D 30 41 3A D8 B2 41 CA 21 22 D3
-30 41 87 12 6E C6 AE D7 4A D8 82 43 C4 21 92 42
+B0 12 2A C4 22 CA 72 CB B8 C5 D8 D7 CE D7 21 53
+3E 90 10 00 BB 2D 30 41 DA D7 B2 41 CA 21 22 D3
+30 41 87 12 32 C6 4E D7 EA D7 82 43 C4 21 92 42
 CC 21 C2 21 A2 53 CC 21 0A 4E 3E 4F FA 90 23 00
-00 00 34 20 92 53 CA 21 B0 12 D0 D7 0E 93 04 20
+00 00 34 20 92 53 CA 21 B0 12 70 D7 0E 93 04 20
 B2 40 00 03 C4 21 27 3C 1E 93 04 20 B2 40 10 03
 C4 21 21 3C 2E 93 04 20 B2 40 20 03 C4 21 1B 3C
 2E 92 04 20 B2 40 20 02 C4 21 15 3C 3E 92 04 20
@@ -336,125 +330,160 @@ B2 40 30 02 C4 21 0F 3C 3E 93 04 20 B2 40 30 03
 C4 21 09 3C B2 40 30 00 C4 21 19 42 CC 21 A2 53
 CC 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
 00 00 08 20 B2 40 10 02 C4 21 92 53 CA 21 30 12
-BA D8 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
-C4 21 92 53 CA 21 B0 12 18 D8 0E 20 B2 50 10 00
-C4 21 3E 40 2B 00 B0 12 18 D8 32 24 92 92 C6 21
+5A D8 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
+C4 21 92 53 CA 21 B0 12 B8 D7 0E 20 B2 50 10 00
+C4 21 3E 40 2B 00 B0 12 B8 D7 32 24 92 92 C6 21
 CA 21 02 24 92 53 CA 21 8E 10 82 5E C4 21 D3 3F
-B0 12 18 D8 F9 23 B2 50 10 00 C4 21 3E 40 28 00
-B0 12 D0 D7 30 12 0A D9 67 3F 87 12 6E C6 AE D7
-42 D9 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
-82 00 C4 21 C2 3F B0 12 18 D8 DF 23 B2 50 80 00
-C4 21 3E 40 28 00 B0 12 D0 D7 B0 12 08 D8 D5 23
-3D 40 F4 CE 30 4D 8C C8 04 52 45 54 49 00 87 12
-34 C4 00 13 B4 CC 2A C4 34 C4 2C 00 42 D8 3A D9
-92 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 92 D7
-03 4D 4F 56 84 12 88 D9 00 40 A0 D9 05 4D 4F 56
-2E 42 84 12 88 D9 40 40 00 00 03 41 44 44 84 12
-88 D9 00 50 BA D9 05 41 44 44 2E 42 84 12 88 D9
-40 50 C6 D9 04 41 44 44 43 00 84 12 88 D9 00 60
-D4 D9 06 41 44 44 43 2E 42 00 84 12 88 D9 40 60
-78 D9 04 53 55 42 43 00 84 12 88 D9 00 70 F2 D9
-06 53 55 42 43 2E 42 00 84 12 88 D9 40 70 00 DA
-03 53 55 42 84 12 88 D9 00 80 10 DA 05 53 55 42
-2E 42 84 12 88 D9 40 80 74 D7 03 43 4D 50 84 12
-88 D9 00 90 2A DA 05 43 4D 50 2E 42 84 12 88 D9
-40 90 62 D7 04 44 41 44 44 00 84 12 88 D9 00 A0
-44 DA 06 44 41 44 44 2E 42 00 84 12 88 D9 40 A0
-36 DA 03 42 49 54 84 12 88 D9 00 B0 62 DA 05 42
-49 54 2E 42 84 12 88 D9 40 B0 6E DA 03 42 49 43
-84 12 88 D9 00 C0 7C DA 05 42 49 43 2E 42 84 12
-88 D9 40 C0 88 DA 03 42 49 53 84 12 88 D9 00 D0
-96 DA 05 42 49 53 2E 42 84 12 88 D9 40 D0 00 00
-03 58 4F 52 84 12 88 D9 00 E0 B0 DA 05 58 4F 52
-2E 42 84 12 88 D9 40 E0 E2 D9 03 41 4E 44 84 12
-88 D9 00 F0 CA DA 05 41 4E 44 2E 42 84 12 88 D9
-40 F0 6E C6 42 D8 E8 DA 1A 42 C4 21 B2 F0 70 00
-C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F 1C DA
-03 52 52 43 84 12 E2 DA 00 10 00 DB 05 52 52 43
-2E 42 84 12 E2 DA 40 10 0C DB 04 53 57 50 42 00
-84 12 E2 DA 80 10 1A DB 03 52 52 41 84 12 E2 DA
-00 11 28 DB 05 52 52 41 2E 42 84 12 E2 DA 40 11
-34 DB 03 53 58 54 84 12 E2 DA 80 11 00 00 04 50
-55 53 48 00 84 12 E2 DA 00 12 4E DB 06 50 55 53
-48 2E 42 00 84 12 E2 DA 40 12 A2 DA 04 43 41 4C
-4C 00 84 12 E2 DA 80 12 34 C4 2C 00 42 D8 3A D9
-82 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90
+B0 12 B8 D7 F9 23 B2 50 10 00 C4 21 3E 40 28 00
+B0 12 70 D7 30 12 AA D8 67 3F 87 12 32 C6 4E D7
+E2 D8 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
+82 00 C4 21 C2 3F B0 12 B8 D7 DF 23 B2 50 80 00
+C4 21 3E 40 28 00 B0 12 70 D7 B0 12 A8 D7 D5 23
+3D 40 BE CE 30 4D 40 C8 04 52 45 54 49 00 87 12
+34 C4 00 13 8C CC 2A C4 34 C4 2C 00 E2 D7 DA D8
+32 D9 2E 4E 1E D2 C4 21 19 42 C2 21 92 3F 32 D7
+03 4D 4F 56 84 12 28 D9 00 40 40 D9 05 4D 4F 56
+2E 42 84 12 28 D9 40 40 00 00 03 41 44 44 84 12
+28 D9 00 50 5A D9 05 41 44 44 2E 42 84 12 28 D9
+40 50 66 D9 04 41 44 44 43 00 84 12 28 D9 00 60
+74 D9 06 41 44 44 43 2E 42 00 84 12 28 D9 40 60
+18 D9 04 53 55 42 43 00 84 12 28 D9 00 70 92 D9
+06 53 55 42 43 2E 42 00 84 12 28 D9 40 70 A0 D9
+03 53 55 42 84 12 28 D9 00 80 B0 D9 05 53 55 42
+2E 42 84 12 28 D9 40 80 14 D7 03 43 4D 50 84 12
+28 D9 00 90 CA D9 05 43 4D 50 2E 42 84 12 28 D9
+40 90 02 D7 04 44 41 44 44 00 84 12 28 D9 00 A0
+E4 D9 06 44 41 44 44 2E 42 00 84 12 28 D9 40 A0
+D6 D9 03 42 49 54 84 12 28 D9 00 B0 02 DA 05 42
+49 54 2E 42 84 12 28 D9 40 B0 0E DA 03 42 49 43
+84 12 28 D9 00 C0 1C DA 05 42 49 43 2E 42 84 12
+28 D9 40 C0 28 DA 03 42 49 53 84 12 28 D9 00 D0
+36 DA 05 42 49 53 2E 42 84 12 28 D9 40 D0 00 00
+03 58 4F 52 84 12 28 D9 00 E0 50 DA 05 58 4F 52
+2E 42 84 12 28 D9 40 E0 82 D9 03 41 4E 44 84 12
+28 D9 00 F0 6A DA 05 41 4E 44 2E 42 84 12 28 D9
+40 F0 32 C6 E2 D7 88 DA 1A 42 C4 21 B2 F0 70 00
+C4 21 8A 10 3A F0 0F 00 82 DA C4 21 4A 3F BC D9
+03 52 52 43 84 12 82 DA 00 10 A0 DA 05 52 52 43
+2E 42 84 12 82 DA 40 10 AC DA 04 53 57 50 42 00
+84 12 82 DA 80 10 BA DA 03 52 52 41 84 12 82 DA
+00 11 C8 DA 05 52 52 41 2E 42 84 12 82 DA 40 11
+D4 DA 03 53 58 54 84 12 82 DA 80 11 00 00 04 50
+55 53 48 00 84 12 82 DA 00 12 EE DA 06 50 55 53
+48 2E 42 00 84 12 82 DA 40 12 42 DA 04 43 41 4C
+4C 00 84 12 82 DA 80 12 34 C4 2C 00 E2 D7 DA D8
+22 DB 59 42 C4 21 5A 42 C5 21 82 4A C4 21 BE 90
 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
-10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C7
-C2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-5A CE 5C DB 05 50 55 53 48 4D 84 12 78 DB 00 15
-C4 DB 04 50 4F 50 4D 00 84 12 78 DB 00 17 6E C6
-AE D7 E4 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53
-CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4 42 CA
-9A CB E0 C5 F4 CE 3A D9 0A DC 0A 4E 3E 4F 1A 83
-2A 92 CA 2F 8A 10 5A 06 6F 3F 42 DB 04 52 52 43
-4D 00 84 12 DE DB 50 00 1C DC 04 52 52 41 4D 00
-84 12 DE DB 50 01 2A DC 04 52 4C 41 4D 00 84 12
-DE DB 50 02 38 DC 04 52 52 55 4D 00 84 12 DE DB
-50 03 85 12 00 3C 46 DC 03 53 3E 3D 85 12 00 38
-58 DC 02 53 3C 00 85 12 00 34 D2 DB 03 30 3E 3D
-85 12 00 30 6C DC 02 30 3C 00 85 12 00 30 00 00
-02 55 3C 00 85 12 00 2C 80 DC 03 55 3E 3D 85 12
-00 28 76 DC 03 30 3C 3E 85 12 00 24 94 DC 02 30
-3D 00 85 12 00 20 98 C8 02 49 46 00 1A 42 CC 21
-8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 8A DC 04 54
+10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A C7
+A2 C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
+28 CE FC DA 05 50 55 53 48 4D 84 12 18 DB 00 15
+64 DB 04 50 4F 50 4D 00 84 12 18 DB 00 17 32 C6
+4E D7 84 DB 82 43 C4 21 92 42 CC 21 C2 21 A2 53
+CC 21 92 53 CA 21 3E 40 2C 00 B0 12 2A C4 22 CA
+72 CB B8 C5 BE CE DA D8 AA DB 0A 4E 3E 4F 1A 83
+2A 92 CA 2F 8A 10 5A 06 6F 3F E2 DA 04 52 52 43
+4D 00 84 12 7E DB 50 00 BC DB 04 52 52 41 4D 00
+84 12 7E DB 50 01 CA DB 04 52 4C 41 4D 00 84 12
+7E DB 50 02 D8 DB 04 52 52 55 4D 00 84 12 7E DB
+50 03 85 12 00 3C E6 DB 03 53 3E 3D 85 12 00 38
+F8 DB 02 53 3C 00 85 12 00 34 72 DB 03 30 3E 3D
+85 12 00 30 0C DC 02 30 3C 00 85 12 00 30 00 00
+02 55 3C 00 85 12 00 2C 20 DC 03 55 3E 3D 85 12
+00 28 16 DC 03 30 3C 3E 85 12 00 24 34 DC 02 30
+3D 00 85 12 00 20 4C C8 02 49 46 00 1A 42 CC 21
+8A 4E 00 00 A2 53 CC 21 0E 4A 30 4D 2A DC 04 54
 48 45 4E 00 1A 42 CC 21 08 4E 3E 4F 09 48 29 53
 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
-52 DA 04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C
-00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F BE DC
+F2 D9 04 45 4C 53 45 00 1A 42 CC 21 BA 40 00 3C
+00 00 A2 53 CC 21 2F 83 8F 4A 00 00 E3 3F 5E DC
 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 21
 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 CC 21 30 4D D6 DA 05 41
-47 41 49 4E 87 12 52 DC 06 DD 2A C4 00 00 05 57
-48 49 4C 45 87 12 AC DC 78 C4 2A C4 62 DC 06 52
-45 50 45 41 54 00 87 12 52 DC 06 DD C4 DC 2A C4
-00 00 03 4A 4D 50 87 12 E6 CE 52 DC 06 DD 2A C4
+08 DA 89 48 00 00 A2 53 CC 21 30 4D 76 DA 05 41
+47 41 49 4E 87 12 F2 DB A6 DC 2A C4 00 00 05 57
+48 49 4C 45 87 12 4C DC 78 C4 2A C4 02 DC 06 52
+45 50 45 41 54 00 87 12 F2 DB A6 DC 64 DC 2A C4
+00 00 03 4A 4D 50 87 12 B0 CE F2 DB A6 DC 2A C4
 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
-00 00 04 3F 4A 4D 50 00 87 12 70 DD E6 CE 78 C4
-06 DD 2A C4 A6 DD 3D 41 08 4E 3E 4F 2A 48 0A 93
+00 00 04 3F 4A 4D 50 00 87 12 10 DD B0 CE 78 C4
+A6 DC 2A C4 46 DD 3D 41 08 4E 3E 4F 2A 48 0A 93
 04 20 98 42 CC 21 00 00 30 4D 88 43 00 00 A4 3F
-6C DB 03 42 57 31 84 12 A4 DD 00 00 C2 DD 03 42
-57 32 84 12 A4 DD 00 00 CE DD 03 42 57 33 84 12
-A4 DD 00 00 E6 DD 3D 41 1A 42 CC 21 28 4E 08 93
+0C DB 03 42 57 31 84 12 44 DD 00 00 62 DD 03 42
+57 32 84 12 44 DD 00 00 6E DD 03 42 57 33 84 12
+44 DD 00 00 86 DD 3D 41 1A 42 CC 21 28 4E 08 93
 08 20 BA 4F 00 00 A2 53 CC 21 8E 4A 00 00 3E 4F
 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
-E4 DD 00 00 0A DE 03 46 57 32 84 12 E4 DD 00 00
-16 DE 03 46 57 33 84 12 E4 DD 00 00 22 DE 04 47
-4F 54 4F 00 87 12 52 DC E6 CE AA CC 2A C4 92 DD
-05 3F 47 4F 54 4F 87 12 70 DD E6 CE AA CC 2A C4
-D2 D1 09 7B 55 54 49 4C 49 54 59 7D 30 4D 4E D4
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 C4 3C 00 12 C9 B0 C7 34 C4 08 00
-12 C9 34 C4 3E 00 12 C9 48 C9 8A C4 8A C4 C4 C5
-EA C5 AA DE 62 C4 62 C4 2A C4 F0 C5 28 C6 F2 C4
-7C C7 34 C4 02 00 00 C6 AC DE 2A C4 60 DE 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20 D3 3F
-30 CB 01 3F 2E 4E 30 40 7C C7 9C D5 05 57 4F 52
-44 53 87 12 BE C9 34 C4 03 00 5E C9 34 C4 D0 21
-F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 80 D3 34 C4
-00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4 00 00
-F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5 E0 C5
-30 DF 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5 F2 C4
-34 C4 02 00 00 C6 12 DF 54 C4 E0 C5 72 DF 44 C4
-34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5 FA C4
-44 C4 FC CC 34 C4 7F 00 36 C5 80 C9 08 C5 34 C4
-0F 00 36 C5 34 C4 10 00 78 C4 28 C5 5E C9 DC C5
-FE DE 62 C4 2A C4 7A D3 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D 78 DF 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 92 D2 03 55 2E 52 87 12 B0 C4 9A C6 34 C4
-00 00 FA C6 2C C7 46 C7 BC C4 8A C4 28 C5 34 C4
-00 00 7C DF 5E C9 80 C9 2A C4 5C D4 04 44 55 4D
-50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21 2E 5F
-B0 12 2A C4 78 C4 8A C4 8A C4 7C C7 34 C4 01 00
-28 C5 7C C7 34 C4 FE FF 36 C5 F0 C5 BE C9 28 C6
-34 C4 07 00 98 DF 48 C9 28 C6 34 C4 10 00 20 C5
-28 C6 F0 C5 28 C6 08 C5 34 C4 03 00 98 DF 12 C6
-04 E0 48 C9 48 C9 28 C6 34 C4 10 00 20 C5 28 C6
-F0 C5 28 C6 08 C5 34 C4 7E 00 8A DF 6E C6 7C DF
-12 C9 12 C6 22 E0 34 C4 10 00 00 C6 EC DF BC C4
-84 C6 FA C4 2A C4
+84 DD 00 00 AA DD 03 46 57 32 84 12 84 DD 00 00
+B6 DD 03 46 57 33 84 12 84 DD 00 00 C2 DD 04 47
+4F 54 4F 00 87 12 F2 DB B0 CE 82 CC 2A C4 32 DD
+05 3F 47 4F 54 4F 87 12 10 DD B0 CE 82 CC 2A C4
+7E D1 07 7B 54 4F 4F 4C 53 7D 30 4D EE D6 03 41
+4E 44 3E FF 30 4D FA D3 02 2E 53 00 8F 4E FE FF
+0E 4F 2E 83 8F 4E FA FF 3E 40 80 20 2E 83 8F 4E
+FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C4 3C 00
+EE C8 6A C7 34 C4 08 00 EE C8 34 C4 3E 00 EE C8
+24 C9 8A C4 8A C4 96 C5 C2 C5 52 DE 62 C4 62 C4
+2A C4 C8 C5 00 C6 F2 C4 36 C7 34 C4 02 00 D8 C5
+54 DE 2A C4 08 DE 03 2E 52 53 8F 4E FE FF 8F 41
+FA FF 3E 40 E0 20 D3 3F 08 CB 01 3F 2E 4E 30 40
+36 C7 86 D4 03 50 41 44 85 12 E4 20 40 D5 05 57
+4F 52 44 53 87 12 9E C9 34 C4 03 00 3A C9 34 C4
+D0 21 F2 C4 88 DE 34 C4 10 00 44 C4 20 C5 2C D3
+34 C4 00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4
+00 00 C8 C5 44 C4 00 C6 88 DE 20 C5 F2 C4 AC C5
+B8 C5 E2 DE 62 C4 62 C4 00 C6 44 C4 88 DE 20 C5
+F2 C4 34 C4 02 00 D8 C5 C4 DE 54 C4 B8 C5 24 DF
+44 C4 34 C4 02 00 28 C5 F2 C4 9A C4 88 DE 20 C5
+FA C4 44 C4 D4 CC 34 C4 7F 00 02 DE 5C C9 08 C5
+34 C4 0F 00 02 DE 34 C4 10 00 78 C4 28 C5 3A C9
+B4 C5 B0 DE 62 C4 2A C4 26 D3 03 4D 41 58 2E 9F
+07 38 2F 53 30 4D 2A DF 03 4D 49 4E 2E 9F F9 3B
+3E 4F 30 4D 3E D2 03 55 2E 52 87 12 B0 C4 54 C6
+34 C4 00 00 B4 C6 E6 C6 00 C7 BC C4 8A C4 28 C5
+34 C4 00 00 2E DF 3A C9 5C C9 2A C4 08 D4 04 44
+55 4D 50 00 0D 12 12 12 E2 21 B2 40 10 00 E2 21
+2E 5F B0 12 2A C4 78 C4 8A C4 8A C4 36 C7 34 C4
+01 00 28 C5 36 C7 34 C4 FE FF 02 DE C8 C5 9E C9
+00 C6 34 C4 07 00 4A DF 24 C9 00 C6 34 C4 10 00
+20 C5 00 C6 C8 C5 00 C6 08 C5 34 C4 03 00 4A DF
+EA C5 B6 DF 24 C9 24 C9 00 C6 34 C4 10 00 20 C5
+00 C6 C8 C5 00 C6 08 C5 34 C4 7E 00 3C DF 32 C6
+2E DF EE C8 EA C5 D4 DF 34 C4 10 00 D8 C5 9E DF
+BC C4 3E C6 FA C4 2A C4 F2 DD 0A 7B 46 49 58 50
+4F 49 4E 54 7D 00 30 4D AC D6 05 48 4F 4C 44 53
+39 4F 09 5E 18 42 B2 21 19 83 1E 83 04 28 18 83
+E8 49 00 00 F9 3F 82 48 B2 21 3E 4F 30 4D 9C D3
+02 46 2B 00 BF 5F 02 00 3E 6F 30 4D 30 E0 02 46
+2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D 3E E0
+02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00
+19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53
+09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E
+01 20 09 96 02 28 09 86 0A 7E 07 67 04 64 15 83
+09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E
+12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3
+06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
+50 E0 03 46 23 53 29 4F 8F 4E 00 00 2F 83 8F 49
+00 00 2E 42 B2 90 0A 00 E2 21 01 20 1E 53 1E 15
+3D 40 00 E1 0C 43 0C 12 1E 42 E2 21 30 40 E4 CA
+02 E1 2D 83 3E 90 0A 00 02 28 3E 50 07 00 3E 50
+30 00 3C 41 CC 4E 90 21 1C 53 1C 91 02 00 EB 2B
+1D 17 8F 43 00 00 39 40 90 21 73 3F 46 DF 04 55
+44 4D 2A 00 0D 12 37 15 1D 4F 04 00 1B 4F 02 00
+2A 4F 04 43 05 43 8F 43 04 00 8F 43 02 00 06 43
+07 43 19 43 08 43 09 93 02 20 0E B8 01 3C 0A B9
+06 24 8F 5D 04 00 8F 6B 02 00 06 64 07 65 0D 5D
+0B 6B 04 64 05 65 09 59 08 68 ED 2B 8F 46 00 00
+0E 47 34 17 3D 41 30 4D D2 E0 02 46 2A 00 1C 4F
+02 00 0C EE BF B0 40 1F 02 00 08 24 BF E3 02 00
+BF E3 04 00 9F 53 04 00 8F 63 02 00 87 12 4C C5
+34 E1 B4 E1 3D 41 3E 4F BF 4F 00 00 80 3F 8A E1
+02 46 2E 00 87 12 54 C6 44 C4 B0 C4 4C C5 D6 E0
+34 C4 2C 00 16 C7 E6 C6 BC C4 24 C7 00 C7 5C C9
+24 C9 2A C4 A0 D4 03 53 3E 46 2F 83 8F 43 00 00
+30 4D E6 E1 02 32 40 00 2F 83 9F 4E 02 00 00 00
+2E 4E 30 4D F4 E1 09 32 43 4F 4E 53 54 41 4E 54
+87 12 46 D0 8C CC 8C CC 5E D0 F8 E1 2A C4
 @FFFE
-B4 D5
+58 D5
 q
index b2fdbda..13ce2cb 100644 (file)
@@ -287,54 +287,50 @@ S1          .equ 1
 
 ; DCOCLK: Internal digitally controlled oscillator (DCO).
 
-; CS code for MSP430fr5739
             MOV.B   #CSKEY,&CSCTL0_H ;  Unlock CS registers
 
     .IF FREQUENCY = 0.25
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1      ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_32 + DIVM_32,&CSCTL3
-            MOV     #2,X
+            MOV     #4,X
 
     .ELSEIF FREQUENCY = 0.5
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1      ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_16 + DIVM_16,&CSCTL3
-            MOV     #4,X
+            MOV     #8,X
 
     .ELSEIF FREQUENCY = 1
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1      ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_8 + DIVM_8,&CSCTL3
-            MOV     #8,X
+            MOV     #16,X
 
     .ELSEIF FREQUENCY = 2
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1      ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_4 + DIVM_4,&CSCTL3
-            MOV     #16,X
+            MOV     #32,X
 
     .ELSEIF FREQUENCY = 4
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1          ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_2 + DIVM_2,&CSCTL3
-            MOV     #32,X
+            MOV     #64,X
 
     .ELSEIF FREQUENCY = 8
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1          ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3   ; set all dividers as 0
-            MOV     #64,X
-SMCLK .equ 8
+            MOV     #128,X
 
     .ELSEIF FREQUENCY = 16
             MOV     #DCORSEL,&CSCTL1                    ; Set 16MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3   ; set all dividers as 0
-            MOV     #128,X
-SMCLK .equ 16
+            MOV     #256,X
 
     .ELSEIF FREQUENCY = 24
             MOV     #DCORSEL+DCOFSEL1+DCOFSEL0,&CSCTL1  ; Set 24 MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3   ; set all dividers as 0
-            MOV     #192,X
-SMCLK .equ 24
+            MOV     #384,X
 
     .ELSEIF
-    .error "bad frequency setting, only 0.5,1,2,4,8,16,24 MHz"
+    .error "bad frequency setting, only 0.25,0.5,1,2,4,8,16,24 MHz"
     .ENDIF
 
     .IFDEF LF_XTAL
@@ -348,11 +344,11 @@ SMCLK .equ 24
             CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
             JZ      ClockWaitX      ; yes
             .word   0759h           ; no  RRUM #2,X --> wait only 125 ms
-ClockWaitX  MOV     #41666,Y        ; wait 0.5s before starting after POWER ON
-ClockWaitY  SUB     #1,Y            ;
-            JNZ     ClockWaitY      ; 41666x3 = 125000 cycles delay = 125ms @ 1MHz
-            SUB     #1,X            ; x 4 @ 1 MHZ
-            JNZ     ClockWaitX      ; time to stabilize power source ( 1s )
+ClockWaitX  MOV     #5209,Y         ; wait 0.5s before starting after POWER ON
+ClockWaitY  SUB     #1,Y            ;1
+            JNZ     ClockWaitY      ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X            ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX      ; time to stabilize power source ( 500ms )
 
 ; ----------------------------------------------------------------------
 ; POWER ON RESET AND INITIALIZATION : REF
index 55a277b..8dd8297 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 C6 80 3E 80 04 05 00 18 00 00 DE C2 D4
-66 C6 78 C6 00 00 00 00
+10 00 5A C6 80 3E 80 04 05 00 18 00 72 DF 66 D4
+20 C6 32 C6 00 00 00 00
 @C200
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C3 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 C2 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C2 03 41 4E 44 3E FF 30 4D 84 C2 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C3
-06 4E 45 47 41 54 45 00 E8 3F 32 C3 03 41 42 53
-0E 93 E3 33 30 4D D8 C2 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C3 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C3 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C3 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C3 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C3 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C3 03 50 41 44
-85 12 E4 1C 0E C3 03 43 49 42 85 12 3C 1D 56 C4
-03 43 50 4C 85 12 54 00 60 C4 02 42 4C 00 85 12
-20 00 C2 C3 03 3E 49 4E 85 12 CA 1D 6A C4 04 42
-41 53 45 00 85 12 E2 1D C8 C2 05 53 54 41 54 45
-85 12 BE 1D B4 C3 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A C4 06 55 4D 2F 4D 4F 44 00 30 12 62 C2
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C2 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C4 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 C4 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 C4 02 23 53 00 87 12 FA C4
-32 C5 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C5 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C4 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C4 02 55 2E 00 87 12 9A C4
-34 C2 00 00 2C C5 46 C5 80 C7 48 C7 2A C2 68 C3
-02 44 2E 00 87 12 9A C4 78 C2 8A C2 6E C3 2C C5
-9A C2 6A C5 46 C5 80 C7 48 C7 2A C2 50 C3 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C5
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C C3 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 C5 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 C5 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 C6 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 C6 30 4D 38 C4
-03 4B 45 59 30 40 00 C6 D4 C5 06 41 43 43 45 50
-54 00 3C 40 E4 C6 3B 40 AE C6 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C6 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 C6 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C2 03 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C6 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05
-FD 27 82 48 CE 05 30 4D DA C6 2D 83 92 B3 DC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA C5 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C5 04 45 4D 49
-54 00 30 40 04 C7 0C C7 04 45 43 48 4F 00 B2 40
-82 48 D2 C6 82 43 E4 1D 30 4D AE C5 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C6 92 43 E4 1D 30 4D
-64 C5 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C7 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C7 EF 3F 6C C7 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C5 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C7 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C7 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C6 04 28 43 52 29 00 87 12 C2 C7
-02 0D 0A 00 80 C7 2A C2 E4 C5 02 43 52 00 30 40
-AC C7 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C7 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 C7 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 C7 82 53 22 00 87 12
-34 C2 C2 C7 6E CA F2 C7 34 C2 22 00 42 C8 E0 C7
-12 C8 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C C7 82 2E 22 00 87 12
-FE C7 34 C2 80 C7 6E CA 2A C2 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 C3 02 31 2D 00
+1E 83 30 4D D8 C2 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C3
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C3 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C3 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C2 01 3E 3E 8F F9 3F 6C C3 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C3 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C3
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C3 03 43 49 42 85 12 3C 1D 24 C4 02 42
+4C 00 85 12 20 00 2E C4 04 42 41 53 45 00 85 12
+E2 1D C8 C2 05 53 54 41 54 45 85 12 BE 1D 86 C3
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 C3 06 55
+4D 2F 4D 4F 44 00 30 12 62 C2 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C2 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C4 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 C4
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 C4 02 23 53 00 87 12 B4 C4 EC C4 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C4 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE C3
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C4 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C4 02 55 2E 00 87 12 54 C4 34 C2 00 00 E6 C4
+00 C5 5C C7 24 C7 2A C2 46 C3 02 44 2E 00 87 12
+54 C4 78 C2 8A C2 4C C3 E6 C4 9A C2 24 C5 00 C5
+5C C7 24 C7 2A C2 84 C2 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C5 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 C2 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC C4 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A C5 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 20 C6 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 32 C6 30 4D 10 C4 03 4B 45 59 30 40
+BA C5 8E C5 06 41 43 43 45 50 54 00 3C 40 98 C6
+3B 40 62 C6 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C C6 92 B3 DC 05 05 24 18 42
+CC 05 38 90 0A 00 04 20 21 53 39 40 46 C6 4D 15
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02
+30 41 B2 40 13 00 CE 05 E2 D2 03 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 C6 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+CC 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 DC 05 FD 27 82 48 CE 05 30 4D 8E C6 2D 83
+92 B3 DC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41 30 4D B4 C5
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00
+AF 4F 02 00 8B 3F 82 43 CA 1D 82 4E C6 1D B2 4F
+C8 1D 3E 4F 30 4D 4A C5 04 45 4D 49 54 00 30 40
+B8 C6 E8 C6 04 45 43 48 4F 00 B2 40 82 48 86 C6
+82 43 E4 1D 30 4D 68 C5 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 C6 92 43 E4 1D 30 4D 1E C5 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E C7 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 C7 EF 3F 48 C7 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 C5 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 C7 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 C7 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 C6 04 28 43 52 29 00 87 12 A2 C7
+02 0D 0A 00 5C C7 2A C2 9E C5 02 43 52 00 30 40
+8C C7 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A C7 07 43 41 50 53 5F 4F 4E
+B2 43 B4 1D 30 4D B8 C7 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 1D 30 4D 32 C7 82 53 22 00 87 12
+34 C2 A2 C7 46 CA D2 C7 34 C2 22 00 22 C8 C0 C7
+F2 C7 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
+CC 1D A2 63 CC 1D 30 4D 08 C7 82 2E 22 00 87 12
+DE C7 34 C2 5C C7 46 CA 2A C2 00 00 04 57 4F 52
 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,343 +111,369 @@ F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C2 34 40 14 C2 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C4 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 C9 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 C9 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C4 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C7 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C3 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C CA
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 C2 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C7
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E C4 42 C8 DA CA 3D 40 E6 CA E0 22
-3E 4F 3D 41 30 4D E8 CA 0A 4E 3E 4F 3D 40 FE CA
-38 27 3D 40 D4 CA 1A E2 BE 1D B9 27 B3 23 00 CB
-3E 4F 3D 40 D4 CA C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CD CD 3F 5C CA 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C2 C4 CA 3C CB B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C C4 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A C2 C2 C7 05 0D 0A 6F
-6B 20 80 C7 5A C4 44 C2 64 C4 32 C6 48 C7 C4 CA
-DE C2 92 C3 C2 C7 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CC 34 C2 30 FF C6 C5 D2 C3 C2 C7
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CC 90 C4
-F2 C2 E0 C3 6A CB C2 C7 05 0D 0A 20 20 20 DC C3
-72 CB B2 40 FA D2 5E D3 B2 40 A0 C6 94 C6 B2 40
-04 C7 14 C7 B2 40 AC C7 C0 C7 B2 40 00 C6 26 C6
-82 43 84 DB 82 43 90 DB 82 43 9C DB 82 43 CC DB
-82 43 D8 DB 82 43 E4 DB B2 40 0A 00 E2 1D 30 41
-2A C6 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C6 B0 12 CE CB A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 C2 C7 04 1B 5B 37
-6D 00 80 C7 34 C2 E4 1D F2 C2 54 C2 E0 C3 74 CC
-C2 C7 05 6C 69 6E 65 3A 80 C7 34 C2 01 00 28 C3
-7C C5 1E C7 80 C7 C2 C7 04 1B 5B 30 6D 00 80 C7
-70 D2 E0 C7 08 CC 02 CC 86 41 42 4F 52 54 22 00
-87 12 FE C7 34 C2 0E CC 6E CA 2A C2 9A C8 01 27
-87 12 6E C4 42 C8 A0 C8 E0 C3 AE CC 2A C2 08 CB
-96 C4 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 CC 01 5D B2 43 BE 1D 30 4D
-BE CC 83 5B 27 5D 87 12 A0 CC 34 C2 34 C2 6E CA
-6E CA 2A C2 BE 4F 02 00 3E 4F 30 4D A6 C7 82 49
-53 00 87 12 90 C4 F2 C2 E0 C3 06 CD D6 CC 34 C2
-E4 CC 6E CA 2A C2 A0 CC E4 CC 2A C2 EE CC 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA C7 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E CB
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C4 42 C8
-A0 C8 54 C2 E0 C3 AE CC 92 C3 E0 C3 6A CD 34 C2
-34 C2 6E CA 6E CA 34 C2 6E CA 6E CA 2A C2 82 9F
-BC 1D 34 25 87 12 C2 C7 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CC 87 12 1E C8 6E C4
-42 C8 94 CD 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C C8 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CD BA 40 86 12 FC FF 05 3D B0 CA 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CD BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CD 06 43 52 45 41 54
-45 00 B0 12 8A CD BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CB 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 CE 05 44
-45 46 45 52 B0 12 8A CD BA 40 30 40 FC FF BA 40
-2A CE FE FF CF 3C D2 CC 01 3A B0 12 8A CD BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 CE 81 3B 82 93 BE 1D 24 27 87 12 34 C2
-2A C2 6E CA 6E CD C0 CC 2A C2 FA CD 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 CE 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE CE 86 5B
-45 4C 53 45 5D 00 87 12 34 C2 01 00 6E C4 42 C8
-B6 CA 44 C2 E0 C3 34 CF 8A C2 8A C2 C2 C7 04 5B
-49 46 5D 00 84 CE EA C3 F2 CE 00 C9 2C C3 DC C3
-28 CF 8A C2 8A C2 C2 C7 06 5B 45 4C 53 45 5D 00
-84 CE EA C3 16 CF 00 C9 B8 CE 44 C2 E0 C3 12 CF
-2C C3 DC C3 28 CF C2 C7 06 5B 54 48 45 4E 5D 00
-84 CE EA C3 28 CF B8 CE 54 C2 EA C3 30 CF 2A C2
-DC C3 CC CE 00 C9 C2 C7 05 0D 0A 6B 6F 20 80 C7
-5A C4 44 C2 64 C4 32 C6 4A CF 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC CE 30 4D BE CE
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C4
-42 C8 A0 C8 6C C2 86 C3 2A C2 70 CF 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C4 42 C8 A0 C8 6C C2
-2A C2 A4 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CA 06 4D 41 52 4B 45 52 00 B0 12 8A CD
-BA 40 84 12 FC FF BA 40 A2 CF FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E CD
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 C3 00 00 2E 53 30 4D 2E CE 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC C3
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C7 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C CE
-85 42 45 47 49 4E 30 40 C6 C5 2E D0 85 55 4E 54
-49 4C 39 40 E0 C3 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CC 85 41 47 41
-49 4E 39 40 DC C3 EF 3F C4 CD 85 57 48 49 4C 45
-87 12 F4 CF 78 C2 2A C2 26 CD 86 52 45 50 45 41
-54 00 87 12 72 D0 34 D0 2A C2 0E D0 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 C3 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 CF 84 4C 4F 4F 50 00 39 40 12 C4 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C6 85 2B 4C 4F 4F 50 39 40
-00 C4 E5 3F C4 D0 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 C4 00 00 BA 40 DC C3 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 D1 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D0 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 CE 34 C2 10 00
-34 C2 00 00 F0 C3 34 C2 00 00 6E CA 12 C4 86 D1
-C6 C5 34 C2 CE 1D 44 C2 F2 C2 6E CA FA C2 1A CE
-34 C2 D0 1D FA C2 2A C2 9E CC 05 46 4F 52 54 48
-84 12 A0 D1 08 D5 DE D4 76 DD AA D1 C6 D4 F8 D0
-40 DD 78 DC 94 D2 AE D2 4E DD 96 DC 46 C3 0C DC
-C8 CC 8C DC 00 00 6C D0 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 CD 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A C8 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C D0 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 D1 0E D2 22 D2
-32 D2 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D1 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D2 C2 D4 00 DE 8A D0 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D2 92 42 0C 18 76 D2
-EF 3F 66 D2 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 D2 92 42 CC 1D 76 D2 30 4D 7A D2 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C D1 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12
-C2 CB B2 40 00 DE 0C 18 B2 40 C2 D4 0E 18 CA 3F
-F0 CF 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C7 06 0D 1B 5B 37 6D 23 00 80 C7 B0 C5 C2 C7
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C7 34 C2 30 FF C6 C5
-28 C3 7C C5 C2 C7 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CC C8 D2 04 57 41 52 4D 00 30 40 FA D2
-40 D0 04 43 4F 4C 44 00 B2 40 04 A5 20 01 92 D3
-30 01 B2 40 88 5A 5C 01 B2 D0 EF 7F 06 02 B2 D0
-00 04 04 02 B2 40 08 FF 02 02 B2 D0 08 FF 06 02
-B2 D0 F0 00 04 02 F2 40 F0 00 22 03 F2 D0 F0 00
-26 03 F2 D0 0F 00 24 03 F2 40 A5 00 61 01 B2 40
-80 00 62 01 82 43 66 01 39 40 80 00 B2 40 33 00
-64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
-01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
-B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A1 04 3A 40 6E D3 39 40 CE FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
-39 40 00 1C 89 43 00 00 29 53 39 90 FF 1F FA 2B
-31 40 E0 1C 3F 40 80 1C 37 40 00 C2 36 40 BC C2
-35 40 08 C2 34 40 14 C2 B2 40 0A 00 E2 1D B2 43
-B4 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20
-38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 42
-C6 05 B2 40 A1 F7 C8 05 F2 D0 03 00 0D 02 92 C3
-C0 05 92 D3 DA 05 3D 40 90 D4 18 42 08 18 38 90
-0A 00 25 27 38 90 16 00 22 2F 28 93 FB 22 F0 26
-5C D3 D8 D1 09 41 53 53 45 4D 42 4C 45 52 84 12
-A0 D1 E8 DA 94 DB 9C DA E8 DB 62 DA 1C DB 66 D7
-00 00 58 DA 08 DB BA DA F8 DA 76 D8 00 00 00 00
-FA DB D4 D1 F2 D2 85 48 49 32 4C 4F 87 12 C6 C5
-08 D0 6E CA C0 CC DE D1 9E D4 2A C2 62 D3 04 43
-4F 44 45 00 B0 12 8A CD A2 82 CC 1D 87 12 5C CE
-DC C3 D6 D4 00 00 07 45 4E 44 43 4F 44 45 87 12
-F8 D1 6E CD 2A C2 94 D4 03 41 53 4D 92 42 E0 1D
-C0 1D B2 40 A2 D4 E0 1D E5 3F F6 D4 06 45 4E 44
-41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43
-4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53
-CC 1D B2 43 BE 1D 30 40 F8 D1 00 00 05 4C 4F 32
-48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A C2
-02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48
-09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83
-0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A C2 42 C8
-A0 C8 EA C3 9E D5 62 C9 E0 C3 AE CC C0 D5 A0 D5
-29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
-03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
-30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40
-29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A C2 42 C8
-62 C9 E0 C3 F2 D5 E8 D5 21 53 3E 90 10 00 BB 2D
-30 41 F4 D5 B2 41 CA 1D 22 D3 30 41 87 12 6E C4
-68 D5 04 D6 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
-CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
-CA 1D B0 12 8A D5 0E 93 04 20 B2 40 00 03 C4 1D
-27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93
-04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40
-20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D
-0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40
-30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
-10 02 C4 1D 92 53 CA 1D 30 12 74 D6 76 3F FA 90
-40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D
-B0 12 D2 D5 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00
-B0 12 D2 D5 32 24 92 92 C6 1D CA 1D 02 24 92 53
-CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 D2 D5 F9 23
-B2 50 10 00 C4 1D 3E 40 28 00 B0 12 8A D5 30 12
-C4 D6 67 3F 87 12 6E C4 68 D5 FC D6 FE 90 26 00
-00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F
-B0 12 D2 D5 DF 23 B2 50 80 00 C4 1D 3E 40 28 00
-B0 12 8A D5 B0 12 C2 D5 D5 23 3D 40 AE CC 30 4D
-8C C6 04 52 45 54 49 00 87 12 34 C2 00 13 6E CA
-2A C2 34 C2 2C 00 FC D5 F4 D6 4C D7 2E 4E 1E D2
-C4 1D 19 42 C2 1D 92 3F 4C D5 03 4D 4F 56 84 12
-42 D7 00 40 5A D7 05 4D 4F 56 2E 42 84 12 42 D7
-40 40 00 00 03 41 44 44 84 12 42 D7 00 50 74 D7
-05 41 44 44 2E 42 84 12 42 D7 40 50 80 D7 04 41
-44 44 43 00 84 12 42 D7 00 60 8E D7 06 41 44 44
-43 2E 42 00 84 12 42 D7 40 60 32 D7 04 53 55 42
-43 00 84 12 42 D7 00 70 AC D7 06 53 55 42 43 2E
-42 00 84 12 42 D7 40 70 BA D7 03 53 55 42 84 12
-42 D7 00 80 CA D7 05 53 55 42 2E 42 84 12 42 D7
-40 80 2E D5 03 43 4D 50 84 12 42 D7 00 90 E4 D7
-05 43 4D 50 2E 42 84 12 42 D7 40 90 1C D5 04 44
-41 44 44 00 84 12 42 D7 00 A0 FE D7 06 44 41 44
-44 2E 42 00 84 12 42 D7 40 A0 F0 D7 03 42 49 54
-84 12 42 D7 00 B0 1C D8 05 42 49 54 2E 42 84 12
-42 D7 40 B0 28 D8 03 42 49 43 84 12 42 D7 00 C0
-36 D8 05 42 49 43 2E 42 84 12 42 D7 40 C0 42 D8
-03 42 49 53 84 12 42 D7 00 D0 50 D8 05 42 49 53
-2E 42 84 12 42 D7 40 D0 00 00 03 58 4F 52 84 12
-42 D7 00 E0 6A D8 05 58 4F 52 2E 42 84 12 42 D7
-40 E0 9C D7 03 41 4E 44 84 12 42 D7 00 F0 84 D8
-05 41 4E 44 2E 42 84 12 42 D7 40 F0 6E C4 FC D5
-A2 D8 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0
-0F 00 82 DA C4 1D 4A 3F D6 D7 03 52 52 43 84 12
-9C D8 00 10 BA D8 05 52 52 43 2E 42 84 12 9C D8
-40 10 C6 D8 04 53 57 50 42 00 84 12 9C D8 80 10
-D4 D8 03 52 52 41 84 12 9C D8 00 11 E2 D8 05 52
-52 41 2E 42 84 12 9C D8 40 11 EE D8 03 53 58 54
-84 12 9C D8 80 11 00 00 04 50 55 53 48 00 84 12
-9C D8 00 12 08 D9 06 50 55 53 48 2E 42 00 84 12
-9C D8 40 12 5C D8 04 43 41 4C 4C 00 84 12 9C D8
-80 12 34 C2 2C 00 FC D5 F4 D6 3C D9 59 42 C4 1D
-5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20
-0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
-A8 3F 1A 53 0E 4A 87 12 B0 C5 C2 C7 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 14 CC 16 D9 05 50
-55 53 48 4D 84 12 32 D9 00 15 7E D9 04 50 4F 50
-4D 00 84 12 32 D9 00 17 6E C4 68 D5 9E D9 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D
-3E 40 2C 00 B0 12 2A C2 42 C8 62 C9 E0 C3 AE CC
-F4 D6 C4 D9 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
-5A 06 6F 3F FC D8 04 52 52 43 4D 00 84 12 98 D9
-50 00 D6 D9 04 52 52 41 4D 00 84 12 98 D9 50 01
-E4 D9 04 52 4C 41 4D 00 84 12 98 D9 50 02 F2 D9
-04 52 52 55 4D 00 84 12 98 D9 50 03 85 12 00 3C
-00 DA 03 53 3E 3D 85 12 00 38 12 DA 02 53 3C 00
-85 12 00 34 8C D9 03 30 3E 3D 85 12 00 30 26 DA
-02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
-00 2C 3A DA 03 55 3E 3D 85 12 00 28 30 DA 03 30
-3C 3E 85 12 00 24 4E DA 02 30 3D 00 85 12 00 20
-98 C6 02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53
-CC 1D 0E 4A 30 4D 44 DA 04 54 48 45 4E 00 1A 42
-CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 68 2F 88 DA 00 00 30 4D 0C D8 04 45 4C 53
-45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D
-2F 83 8F 4A 00 00 E3 3F 78 DA 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 CC 1D 30 4D 90 D8 05 41 47 41 49 4E 87 12
-0C DA C0 DA 2A C2 00 00 05 57 48 49 4C 45 87 12
-66 DA 78 C2 2A C2 1C DA 06 52 45 50 45 41 54 00
-87 12 0C DA C0 DA 7E DA 2A C2 00 00 03 4A 4D 50
-87 12 A0 CC 0C DA C0 DA 2A C2 3E B0 00 10 03 20
-3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
-00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
-50 00 87 12 2A DB A0 CC 78 C2 C0 DA 2A C2 60 DB
-3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D
-00 00 30 4D 88 43 00 00 A4 3F 26 D9 03 42 57 31
-84 12 5E DB 00 00 7C DB 03 42 57 32 84 12 5E DB
-00 00 88 DB 03 42 57 33 84 12 5E DB 00 00 A0 DB
-3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00
-A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
-61 3F 00 00 03 46 57 31 84 12 9E DB 00 00 C4 DB
-03 46 57 32 84 12 9E DB 00 00 D0 DB 03 46 57 33
-84 12 9E DB 00 00 DC DB 04 47 4F 54 4F 00 87 12
-0C DA A0 CC 64 CA 2A C2 4C DB 05 3F 47 4F 54 4F
-87 12 2A DB A0 CC 64 CA 2A C2 8C CF 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 08 D2 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C2
-3C 00 12 C7 B0 C5 34 C2 08 00 12 C7 34 C2 3E 00
-12 C7 48 C7 8A C2 8A C2 C4 C3 EA C3 64 DC 62 C2
-62 C2 2A C2 F0 C3 28 C4 F2 C2 7C C5 34 C2 02 00
-00 C4 66 DC 2A C2 1A DC 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 08 C9 01 3F 2E 4E
-30 40 7C C5 56 D3 05 57 4F 52 44 53 87 12 BE C7
-34 C2 03 00 5E C7 34 C2 D0 1D F2 C2 50 C4 34 C2
-10 00 44 C2 20 C3 3A D1 34 C2 00 00 44 C2 34 C2
-10 00 44 C2 20 C3 34 C2 00 00 F0 C3 44 C2 28 C4
-50 C4 20 C3 F2 C2 D2 C3 E0 C3 EA DC 62 C2 62 C2
-28 C4 44 C2 50 C4 20 C3 F2 C2 34 C2 02 00 00 C4
-CC DC 54 C2 E0 C3 2C DD 44 C2 34 C2 02 00 28 C3
-F2 C2 9A C2 50 C4 20 C3 FA C2 44 C2 B6 CA 34 C2
-7F 00 36 C3 80 C7 08 C3 34 C2 0F 00 36 C3 34 C2
-10 00 78 C2 28 C3 5E C7 DC C3 B8 DC 62 C2 2A C2
-34 D1 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 32 DD
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 4C D0 03 55
-2E 52 87 12 B0 C2 9A C4 34 C2 00 00 FA C4 2C C5
-46 C5 BC C2 8A C2 28 C3 34 C2 00 00 36 DD 5E C7
-80 C7 2A C2 16 D2 04 44 55 4D 50 00 0D 12 12 12
-E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A C2 78 C2
-8A C2 8A C2 7C C5 34 C2 01 00 28 C3 7C C5 34 C2
-FE FF 36 C3 F0 C3 BE C7 28 C4 34 C2 07 00 52 DD
-48 C7 28 C4 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4
-08 C3 34 C2 03 00 52 DD 12 C4 BE DD 48 C7 48 C7
-28 C4 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4 08 C3
-34 C2 7E 00 44 DD 6E C4 36 DD 12 C7 12 C4 DC DD
-34 C2 10 00 00 C4 A6 DD BC C2 84 C4 FA C2 2A C2
+8F 4C 00 00 35 40 08 C2 34 40 14 C2 30 4D 94 C3
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A C9 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C C9 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 C6 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 C3 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
+00 00 3E 4F 30 4D 44 CA 87 4C 49 54 45 52 41 4C
+82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
+34 C2 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 C7 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 C6
+32 C4 22 C8 A6 CA 3D 40 AE CA EA 22 50 3E B0 CA
+0A 4E 3E 4F 3D 40 C6 CA 40 27 3D 40 A0 CA 1A E2
+BE 1D C1 27 BB 23 C8 CA 3E 4F 3D 40 A0 CA C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 CD D5 3F 34 CA 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A C2
+9C CA 04 CB B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
+3D 41 30 4D 9C C3 04 51 55 49 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12
+2A C2 A2 C7 05 0D 0A 6F 6B 20 5C C7 BE C6 24 C7
+9C CA DE C2 70 C3 A2 C7 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 CB 34 C2 30 FF 80 C5 AC C3
+A2 C7 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 CB
+4A C4 F2 C2 B8 C3 32 CB A2 C7 05 0D 0A 20 20 20
+B4 C3 3A CB E4 C5 05 41 42 4F 52 54 3F 40 80 1C
+C5 3F B2 40 A6 D2 02 D3 B2 40 54 C6 48 C6 B2 40
+3C 1D 2A C4 B2 40 B8 C6 F0 C6 B2 40 8C C7 A0 C7
+B2 40 BA C5 E0 C5 82 43 28 DB 82 43 34 DB 82 43
+40 DB 82 43 70 DB 82 43 7C DB 82 43 88 DB B2 40
+0A 00 E2 1D 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 C6 B0 12 9E CB A2 B3 DC 05
+FD 27 B2 40 11 00 CE 05 E2 C2 03 02 92 C3 DC 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 DC 05 F3 23 87 12 A2 C7 04 1B 5B 37 6D 00
+5C C7 34 C2 E4 1D F2 C2 54 C2 B8 C3 3E CC A2 C7
+05 6C 69 6E 65 3A 5C C7 40 C3 36 C5 FA C6 5C C7
+A2 C7 04 1B 5B 30 6D 00 5C C7 1C D2 C0 C7 8C CB
+86 CB 86 41 42 4F 52 54 22 00 87 12 DE C7 34 C2
+D6 CB 46 CA 2A C2 7A C8 01 27 87 12 32 C4 22 C8
+80 C8 B8 C3 78 CC 2A C2 D0 CA 50 C4 81 5C 92 42
+C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
+7C CC 01 5D B2 43 BE 1D 30 4D 88 CC 83 5B 27 5D
+87 12 6A CC 34 C2 34 C2 46 CA 46 CA 2A C2 BE 4F
+02 00 3E 4F 30 4D 86 C7 82 49 53 00 87 12 4A C4
+F2 C2 B8 C3 D0 CC A0 CC 34 C2 AE CC 46 CA 2A C2
+6A CC AE CC 2A C2 B8 CC 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D DA C7
+87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
+00 00 A2 53 CC 1D 30 4D 16 CB 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 C4 22 C8 80 C8 54 C2 B8 C3
+78 CC 70 C3 B8 C3 34 CD 34 C2 34 C2 46 CA 46 CA
+34 C2 46 CA 46 CA 2A C2 82 9F BC 1D 25 25 87 12
+A2 C7 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 CB 9C CC 81 3B 82 93 BE 1D 90 27 87 12
+34 C2 2A C2 46 CA 38 CD 8A CC 2A C2 BA 40 87 12
+FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D
+56 CD 01 3A 30 12 6C CD 87 12 FE C7 32 C4 22 C8
+92 CD 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49 B8 1D
+82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41 30 41
+1C C8 08 56 41 52 49 41 42 4C 45 00 B0 12 88 CD
+BA 40 86 12 FC FF DC 3C 88 CA 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 CD BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA CD 06 43 52 45 41 54 45 00
+B0 12 88 CD BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 CA 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 CE 05 44 45 46
+45 52 B0 12 88 CD BA 40 30 40 FC FF BA 40 28 CE
+FE FF A6 3C F8 CD 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 CD 86 5B 54 48 45 4E 5D 00
+30 4D 78 CE 86 5B 45 4C 53 45 5D 00 87 12 34 C2
+01 00 32 C4 22 C8 8E CA 44 C2 B8 C3 F6 CE 8A C2
+8A C2 A2 C7 04 5B 49 46 5D 00 4E CE C2 C3 B8 CE
+7E C7 36 C3 B4 C3 EE CE 8A C2 8A C2 A2 C7 06 5B
+45 4C 53 45 5D 00 4E CE C2 C3 DC CE 7E C7 40 C3
+44 C2 B8 C3 EE CE 36 C3 B4 C3 EE CE A2 C7 06 5B
+54 48 45 4E 5D 00 4E CE C2 C3 EE CE 40 C3 54 C2
+C2 C3 92 CE 2A C2 7E C7 A2 C7 05 0D 0A 6B 6F 20
+5C C7 BE C6 D6 C6 B4 C3 92 CE 84 CE 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C CF 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 C4 22 C8 80 C8
+6C C2 64 C3 2A C2 1C CF 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 C4 22 C8 80 C8 6C C2 2A C2 50 CF
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 CA
+06 4D 41 52 4B 45 52 00 B0 12 88 CD BA 40 84 12
+FC FF BA 40 4E CF FE FF 9A 42 CE 1D 00 00 28 83
+8A 48 02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D
+A8 49 FE FF 89 48 00 00 30 4D D8 CC 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40
+B8 C3 00 00 2E 53 30 4D 2C CE 84 45 4C 53 45 00
+A2 52 CC 1D 1A 42 CC 1D BA 40 B4 C3 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 C7 84 54 48 45 4E 00
+9E 42 CC 1D 00 00 3E 4F 30 4D 46 CE 85 42 45 47
+49 4E 30 40 80 C5 DA CF 85 55 4E 54 49 4C 39 40
+B8 C3 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 CC 85 41 47 41 49 4E 39 40
+B4 C3 EF 3F C2 CD 85 57 48 49 4C 45 87 12 A0 CF
+78 C2 2A C2 F0 CC 86 52 45 50 45 41 54 00 87 12
+1E D0 E0 CF 2A C2 BA CF 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 1D 1E 42 CC 1D BE 40 C8 C3 FE FF
+A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D 60 CF
+84 4C 4F 4F 50 00 39 40 EA C3 A2 52 CC 1D 1A 42
+CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83
+00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA C5 85 2B 4C 4F 4F 50 39 40 D8 C3 E5 3F
+70 D0 85 4C 45 41 56 45 1A 42 CC 1D BA 40 FA C3
+00 00 BA 40 B4 C3 02 00 B2 50 06 00 CC 1D A2 53
+00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D B2 D0
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 D0 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 CE 34 C2 10 00 34 C2 00 00
+C8 C3 34 C2 00 00 46 CA EA C3 32 D1 80 C5 34 C2
+CE 1D 44 C2 F2 C2 46 CA FA C2 18 CE 34 C2 D0 1D
+FA C2 2A C2 68 CC 05 46 4F 52 54 48 84 12 4C D1
+BC DB 82 D4 2C DD 14 DF C8 DD A4 D0 F6 DC 24 DC
+42 DC 5A DF 04 DD 4C DC 00 00 B8 DD 92 CC 38 DC
+00 00 18 D0 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 1D 38 40 D2 1D B6 3F 0A CD 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D
+A3 3F 0A C8 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D
+48 D0 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 1D E0 1D 30 4D 5C D1 BA D1 CE D1 DE D1 3A 4E
+82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A D1 09 50 57 52 5F 53 54 41 54 45 84 12 D6 D1
+66 D4 72 DF 36 D0 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 D2 92 42 0C 18 22 D2 EF 3F 12 D2
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 1D 20 D2
+92 42 CC 1D 22 D2 30 4D 26 D2 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18
+EC 3F 18 D1 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CE FF FA 23 B0 12 92 CB B2 40
+72 DF 0C 18 B2 40 66 D4 0E 18 CA 3F 9C CF 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 C7 06 0D
+1B 5B 37 6D 23 00 5C C7 6A C5 A2 C7 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C C7 34 C2
+30 FF 80 C5 28 C3 36 C5 A2 C7 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 CB 74 D2 04 57 41 52 4D 00
+30 40 A6 D2 EC CF 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 92 D3 30 01 B2 40 88 5A 5C 01 B2 D0 EF 7F
+06 02 B2 D0 00 04 04 02 B2 40 08 FF 02 02 B2 D0
+08 FF 06 02 B2 D0 F0 00 04 02 F2 40 F0 00 22 03
+F2 D0 F0 00 26 03 F2 D0 0F 00 24 03 F2 40 A5 00
+61 01 B2 40 80 00 62 01 82 43 66 01 39 40 00 01
+B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18
+A2 93 08 18 01 24 59 07 38 40 59 14 18 83 FE 23
+19 83 FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00
+2A 03 F2 C0 40 00 A1 04 3A 40 12 D3 39 40 CE FF
+89 4A 00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40
+18 00 0A 18 39 40 00 1C 89 43 00 00 29 53 39 90
+FF 1F FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 C2
+36 40 BC C2 35 40 08 C2 34 40 14 C2 B2 40 0A 00
+E2 1D B2 43 B4 1D 92 C3 30 01 18 42 08 18 D2 B3
+01 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
+C0 05 B2 42 C6 05 B2 40 A1 F7 C8 05 F2 D0 03 00
+0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 34 D4 18 42
+08 18 38 90 0A 00 29 27 38 90 16 00 26 2F 28 93
+FF 22 F4 26 00 D3 84 D1 09 41 53 53 45 4D 42 4C
+45 52 84 12 4C D1 8C DA 38 DB 40 DA 8C DB 06 DA
+C0 DA 0A D7 00 00 FC D9 AC DA 5E DA 9C DA 1A D8
+00 00 00 00 9E DB 80 D1 9E D2 85 48 49 32 4C 4F
+87 12 80 C5 B4 CF 46 CA 8A CC 8A D1 42 D4 2A C2
+06 D3 04 43 4F 44 45 00 B0 12 88 CD A2 82 CC 1D
+87 12 7A CD B4 C3 7A D4 00 00 07 45 4E 44 43 4F
+44 45 87 12 A4 D1 38 CD 2A C2 38 D4 03 41 53 4D
+92 42 E0 1D C0 1D B2 40 46 D4 E0 1D E5 3F 9A D4
+06 45 4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F
+00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12
+00 00 A2 53 CC 1D B2 43 BE 1D 30 40 A4 D1 00 00
+05 4C 4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00
+BA 40 2A C2 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D
+39 48 2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A
+FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12
+2A C2 22 C8 80 C8 C2 C3 42 D5 3A C9 B8 C3 78 CC
+64 D5 44 D5 29 4E 39 90 86 12 02 20 2E 53 30 41
+39 90 85 12 03 20 1E 4E 02 00 30 41 39 90 84 12
+01 20 2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E
+00 00 3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12
+2A C2 22 C8 3A C9 B8 C3 96 D5 8C D5 21 53 3E 90
+10 00 BB 2D 30 41 98 D5 B2 41 CA 1D 22 D3 30 41
+87 12 32 C4 0C D5 A8 D5 82 43 C4 1D 92 42 CC 1D
+C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00
+34 20 92 53 CA 1D B0 12 2E D5 0E 93 04 20 B2 40
+00 03 C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D
+21 3C 2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92
+04 20 B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40
+30 02 C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D
+09 3C B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D
+89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00
+08 20 B2 40 10 02 C4 1D 92 53 CA 1D 30 12 18 D6
+76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D
+92 53 CA 1D B0 12 76 D5 0E 20 B2 50 10 00 C4 1D
+3E 40 2B 00 B0 12 76 D5 32 24 92 92 C6 1D CA 1D
+02 24 92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12
+76 D5 F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12
+2E D5 30 12 68 D6 67 3F 87 12 32 C4 0C D5 A0 D6
+FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50 82 00
+C4 1D C2 3F B0 12 76 D5 DF 23 B2 50 80 00 C4 1D
+3E 40 28 00 B0 12 2E D5 B0 12 66 D5 D5 23 3D 40
+78 CC 30 4D 40 C6 04 52 45 54 49 00 87 12 34 C2
+00 13 46 CA 2A C2 34 C2 2C 00 A0 D5 98 D6 F0 D6
+2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F F0 D4 03 4D
+4F 56 84 12 E6 D6 00 40 FE D6 05 4D 4F 56 2E 42
+84 12 E6 D6 40 40 00 00 03 41 44 44 84 12 E6 D6
+00 50 18 D7 05 41 44 44 2E 42 84 12 E6 D6 40 50
+24 D7 04 41 44 44 43 00 84 12 E6 D6 00 60 32 D7
+06 41 44 44 43 2E 42 00 84 12 E6 D6 40 60 D6 D6
+04 53 55 42 43 00 84 12 E6 D6 00 70 50 D7 06 53
+55 42 43 2E 42 00 84 12 E6 D6 40 70 5E D7 03 53
+55 42 84 12 E6 D6 00 80 6E D7 05 53 55 42 2E 42
+84 12 E6 D6 40 80 D2 D4 03 43 4D 50 84 12 E6 D6
+00 90 88 D7 05 43 4D 50 2E 42 84 12 E6 D6 40 90
+C0 D4 04 44 41 44 44 00 84 12 E6 D6 00 A0 A2 D7
+06 44 41 44 44 2E 42 00 84 12 E6 D6 40 A0 94 D7
+03 42 49 54 84 12 E6 D6 00 B0 C0 D7 05 42 49 54
+2E 42 84 12 E6 D6 40 B0 CC D7 03 42 49 43 84 12
+E6 D6 00 C0 DA D7 05 42 49 43 2E 42 84 12 E6 D6
+40 C0 E6 D7 03 42 49 53 84 12 E6 D6 00 D0 F4 D7
+05 42 49 53 2E 42 84 12 E6 D6 40 D0 00 00 03 58
+4F 52 84 12 E6 D6 00 E0 0E D8 05 58 4F 52 2E 42
+84 12 E6 D6 40 E0 40 D7 03 41 4E 44 84 12 E6 D6
+00 F0 28 D8 05 41 4E 44 2E 42 84 12 E6 D6 40 F0
+32 C4 A0 D5 46 D8 1A 42 C4 1D B2 F0 70 00 C4 1D
+8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F 7A D7 03 52
+52 43 84 12 40 D8 00 10 5E D8 05 52 52 43 2E 42
+84 12 40 D8 40 10 6A D8 04 53 57 50 42 00 84 12
+40 D8 80 10 78 D8 03 52 52 41 84 12 40 D8 00 11
+86 D8 05 52 52 41 2E 42 84 12 40 D8 40 11 92 D8
+03 53 58 54 84 12 40 D8 80 11 00 00 04 50 55 53
+48 00 84 12 40 D8 00 12 AC D8 06 50 55 53 48 2E
+42 00 84 12 40 D8 40 12 00 D8 04 43 41 4C 4C 00
+84 12 40 D8 80 12 34 C2 2C 00 A0 D5 98 D6 E0 D8
+59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15
+00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00
+03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A C5 A2 C7
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 E2 CB
+BA D8 05 50 55 53 48 4D 84 12 D6 D8 00 15 22 D9
+04 50 4F 50 4D 00 84 12 D6 D8 00 17 32 C4 0C D5
+42 D9 82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D
+92 53 CA 1D 3E 40 2C 00 B0 12 2A C2 22 C8 3A C9
+B8 C3 78 CC 98 D6 68 D9 0A 4E 3E 4F 1A 83 2A 92
+CA 2F 8A 10 5A 06 6F 3F A0 D8 04 52 52 43 4D 00
+84 12 3C D9 50 00 7A D9 04 52 52 41 4D 00 84 12
+3C D9 50 01 88 D9 04 52 4C 41 4D 00 84 12 3C D9
+50 02 96 D9 04 52 52 55 4D 00 84 12 3C D9 50 03
+85 12 00 3C A4 D9 03 53 3E 3D 85 12 00 38 B6 D9
+02 53 3C 00 85 12 00 34 30 D9 03 30 3E 3D 85 12
+00 30 CA D9 02 30 3C 00 85 12 00 30 00 00 02 55
+3C 00 85 12 00 2C DE D9 03 55 3E 3D 85 12 00 28
+D4 D9 03 30 3C 3E 85 12 00 24 F2 D9 02 30 3D 00
+85 12 00 20 4C C6 02 49 46 00 1A 42 CC 1D 8A 4E
+00 00 A2 53 CC 1D 0E 4A 30 4D E8 D9 04 54 48 45
+4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D B0 D7
+04 45 4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00
+A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F 1C DA 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 CC 1D 30 4D 34 D8 05 41 47 41
+49 4E 87 12 B0 D9 64 DA 2A C2 00 00 05 57 48 49
+4C 45 87 12 0A DA 78 C2 2A C2 C0 D9 06 52 45 50
+45 41 54 00 87 12 B0 D9 64 DA 22 DA 2A C2 00 00
+03 4A 4D 50 87 12 6A CC B0 D9 64 DA 2A C2 3E B0
+00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28
+03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00
+04 3F 4A 4D 50 00 87 12 CE DA 6A CC 78 C2 64 DA
+2A C2 04 DB 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
+98 42 CC 1D 00 00 30 4D 88 43 00 00 A4 3F CA D8
+03 42 57 31 84 12 02 DB 00 00 20 DB 03 42 57 32
+84 12 02 DB 00 00 2C DB 03 42 57 33 84 12 02 DB
+00 00 44 DB 3D 41 1A 42 CC 1D 28 4E 08 93 08 20
+BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D
+8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 42 DB
+00 00 68 DB 03 46 57 32 84 12 42 DB 00 00 74 DB
+03 46 57 33 84 12 42 DB 00 00 80 DB 04 47 4F 54
+4F 00 87 12 B0 D9 6A CC 3C CA 2A C2 F0 DA 05 3F
+47 4F 54 4F 87 12 CE DA 6A CC 3C CA 2A C2 38 CF
+07 7B 54 4F 4F 4C 53 7D 30 4D AC D4 03 41 4E 44
+3E FF 30 4D B4 D1 02 2E 53 00 8F 4E FE FF 0E 4F
+2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF
+3F 80 06 00 2E 8F 0E 11 87 12 34 C2 3C 00 EE C6
+6A C5 34 C2 08 00 EE C6 34 C2 3E 00 EE C6 24 C7
+8A C2 8A C2 96 C3 C2 C3 10 DC 62 C2 62 C2 2A C2
+C8 C3 00 C4 F2 C2 36 C5 34 C2 02 00 D8 C3 12 DC
+2A C2 C6 DB 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
+3E 40 E0 1C D3 3F E0 C8 01 3F 2E 4E 30 40 36 C5
+40 D2 03 50 41 44 85 12 E4 1C FA D2 05 57 4F 52
+44 53 87 12 9E C7 34 C2 03 00 3A C7 34 C2 D0 1D
+F2 C2 46 DC 34 C2 10 00 44 C2 20 C3 E6 D0 34 C2
+00 00 44 C2 34 C2 10 00 44 C2 20 C3 34 C2 00 00
+C8 C3 44 C2 00 C4 46 DC 20 C3 F2 C2 AC C3 B8 C3
+A0 DC 62 C2 62 C2 00 C4 44 C2 46 DC 20 C3 F2 C2
+34 C2 02 00 D8 C3 82 DC 54 C2 B8 C3 E2 DC 44 C2
+34 C2 02 00 28 C3 F2 C2 9A C2 46 DC 20 C3 FA C2
+44 C2 8E CA 34 C2 7F 00 C0 DB 5C C7 08 C3 34 C2
+0F 00 C0 DB 34 C2 10 00 78 C2 28 C3 3A C7 B4 C3
+6E DC 62 C2 2A C2 E0 D0 03 4D 41 58 2E 9F 07 38
+2F 53 30 4D E8 DC 03 4D 49 4E 2E 9F F9 3B 3E 4F
+30 4D F8 CF 03 55 2E 52 87 12 B0 C2 54 C4 34 C2
+00 00 B4 C4 E6 C4 00 C5 BC C2 8A C2 28 C3 34 C2
+00 00 EC DC 3A C7 5C C7 2A C2 C2 D1 04 44 55 4D
+50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F
+B0 12 2A C2 78 C2 8A C2 8A C2 36 C5 34 C2 01 00
+28 C3 36 C5 34 C2 FE FF C0 DB C8 C3 9E C7 00 C4
+34 C2 07 00 08 DD 24 C7 00 C4 34 C2 10 00 20 C3
+00 C4 C8 C3 00 C4 08 C3 34 C2 03 00 08 DD EA C3
+74 DD 24 C7 24 C7 00 C4 34 C2 10 00 20 C3 00 C4
+C8 C3 00 C4 08 C3 34 C2 7E 00 FA DC 32 C4 EC DC
+EE C6 EA C3 92 DD 34 C2 10 00 D8 C3 5C DD BC C2
+3E C4 FA C2 2A C2 B0 DB 0A 7B 46 49 58 50 4F 49
+4E 54 7D 00 30 4D 6A D4 05 48 4F 4C 44 53 39 4F
+09 5E 18 42 B2 1D 19 83 1E 83 04 28 18 83 E8 49
+00 00 F9 3F 82 48 B2 1D 3E 4F 30 4D 56 D1 02 46
+2B 00 BF 5F 02 00 3E 6F 30 4D EE DD 02 46 2D 00
+BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D FC DD 02 46
+2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F
+02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63
+3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20
+09 96 02 28 09 86 0A 7E 07 67 04 64 15 83 09 30
+0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3
+F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 0E DE
+03 46 23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00
+2B 42 B2 90 0A 00 E2 1D 01 20 1B 53 0C 43 A2 4F
+C0 04 92 42 E2 1D C8 04 9F 42 E4 04 00 00 1E 42
+E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00
+CC 4E 90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00
+39 40 90 1D 75 3F 90 DE 02 46 2A 00 92 4F 04 00
+D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04
+2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04
+30 4D E8 DE 02 46 2E 00 87 12 54 C4 44 C2 B0 C2
+4C C3 94 DE 34 C2 2C 00 16 C5 E6 C4 BC C2 24 C5
+00 C5 5C C7 24 C7 2A C2 5A D2 03 53 3E 46 2F 83
+8F 43 00 00 30 4D 3A DF 02 32 40 00 2F 83 9F 4E
+02 00 00 00 2E 4E 30 4D 48 DF 09 32 43 4F 4E 53
+54 41 4E 54 87 12 00 CE 46 CA 46 CA 18 CE 4C DF
+2A C2
 @FFFE
-6E D3
+12 D3
 q
diff --git a/MSP_EXP430FR5739_16MHz_3Mbds.txt b/MSP_EXP430FR5739_16MHz_3Mbds.txt
deleted file mode 100644 (file)
index 108a08d..0000000
+++ /dev/null
@@ -1,456 +0,0 @@
-@1800
-10 00 A6 C6 80 3E 30 75 05 00 18 00 02 DE C4 D4
-66 C6 78 C6 00 00 00 00
-@C200
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C2
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 C2 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 C2 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 C2 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E C2 02 3E 52 00
-0E 12 3E 4F 30 4D 96 C2 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 C2 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C C2 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 C2 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C3 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 C2 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C2 03 41 4E 44 3E FF 30 4D 84 C2 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C3
-06 4E 45 47 41 54 45 00 E8 3F 32 C3 03 41 42 53
-0E 93 E3 33 30 4D D8 C2 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C3 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C3 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C3 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C3 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C3 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C3 03 50 41 44
-85 12 E4 1C 0E C3 03 43 49 42 85 12 3C 1D 56 C4
-03 43 50 4C 85 12 54 00 60 C4 02 42 4C 00 85 12
-20 00 C2 C3 03 3E 49 4E 85 12 CA 1D 6A C4 04 42
-41 53 45 00 85 12 E2 1D C8 C2 05 53 54 41 54 45
-85 12 BE 1D B4 C3 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A C4 06 55 4D 2F 4D 4F 44 00 30 12 62 C2
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C2 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C4 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 C4 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 C4 02 23 53 00 87 12 FA C4
-32 C5 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C5 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C4 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C4 02 55 2E 00 87 12 9A C4
-34 C2 00 00 2C C5 46 C5 80 C7 48 C7 2A C2 68 C3
-02 44 2E 00 87 12 9A C4 78 C2 8A C2 6E C3 2C C5
-9A C2 6A C5 46 C5 80 C7 48 C7 2A C2 50 C3 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C5
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C C3 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 C5 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 C5 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 C6 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 C6 30 4D 38 C4
-03 4B 45 59 30 40 00 C6 D4 C5 06 41 43 43 45 50
-54 00 3C 40 E4 C6 3B 40 AE C6 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C6 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 C6 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C2 03 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C6 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05
-FD 27 82 48 CE 05 30 4D DA C6 2D 83 92 B3 DC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA C5 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C5 04 45 4D 49
-54 00 30 40 04 C7 0C C7 04 45 43 48 4F 00 B2 40
-82 48 D2 C6 82 43 E4 1D 30 4D AE C5 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C6 92 43 E4 1D 30 4D
-64 C5 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C7 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C7 EF 3F 6C C7 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C5 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C7 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C7 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C6 04 28 43 52 29 00 87 12 C2 C7
-02 0D 0A 00 80 C7 2A C2 E4 C5 02 43 52 00 30 40
-AC C7 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C7 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 C7 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 C7 82 53 22 00 87 12
-34 C2 C2 C7 6E CA F2 C7 34 C2 22 00 42 C8 E0 C7
-12 C8 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C C7 82 2E 22 00 87 12
-FE C7 34 C2 80 C7 6E CA 2A C2 00 00 04 57 4F 52
-44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C2 34 40 14 C2 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C4 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 C9 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 C9 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C4 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C7 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C3 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C CA
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 C2 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C7
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E C4 42 C8 DA CA 3D 40 E6 CA E0 22
-3E 4F 3D 41 30 4D E8 CA 0A 4E 3E 4F 3D 40 FE CA
-38 27 3D 40 D4 CA 1A E2 BE 1D B9 27 B3 23 00 CB
-3E 4F 3D 40 D4 CA C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CD CD 3F 5C CA 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C2 C4 CA 3C CB B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C C4 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A C2 C2 C7 05 0D 0A 6F
-6B 20 80 C7 5A C4 44 C2 64 C4 32 C6 48 C7 C4 CA
-DE C2 92 C3 C2 C7 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CC 34 C2 30 FF C6 C5 D2 C3 C2 C7
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CC 90 C4
-F2 C2 E0 C3 6A CB C2 C7 05 0D 0A 20 20 20 DC C3
-72 CB B2 40 FA D2 5E D3 B2 40 A0 C6 94 C6 B2 40
-04 C7 14 C7 B2 40 AC C7 C0 C7 B2 40 00 C6 26 C6
-82 43 86 DB 82 43 92 DB 82 43 9E DB 82 43 CE DB
-82 43 DA DB 82 43 E6 DB B2 40 0A 00 E2 1D 30 41
-2A C6 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C6 B0 12 CE CB A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 C2 C7 04 1B 5B 37
-6D 00 80 C7 34 C2 E4 1D F2 C2 54 C2 E0 C3 74 CC
-C2 C7 05 6C 69 6E 65 3A 80 C7 34 C2 01 00 28 C3
-7C C5 1E C7 80 C7 C2 C7 04 1B 5B 30 6D 00 80 C7
-70 D2 E0 C7 08 CC 02 CC 86 41 42 4F 52 54 22 00
-87 12 FE C7 34 C2 0E CC 6E CA 2A C2 9A C8 01 27
-87 12 6E C4 42 C8 A0 C8 E0 C3 AE CC 2A C2 08 CB
-96 C4 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 CC 01 5D B2 43 BE 1D 30 4D
-BE CC 83 5B 27 5D 87 12 A0 CC 34 C2 34 C2 6E CA
-6E CA 2A C2 BE 4F 02 00 3E 4F 30 4D A6 C7 82 49
-53 00 87 12 90 C4 F2 C2 E0 C3 06 CD D6 CC 34 C2
-E4 CC 6E CA 2A C2 A0 CC E4 CC 2A C2 EE CC 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA C7 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E CB
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C4 42 C8
-A0 C8 54 C2 E0 C3 AE CC 92 C3 E0 C3 6A CD 34 C2
-34 C2 6E CA 6E CA 34 C2 6E CA 6E CA 2A C2 82 9F
-BC 1D 34 25 87 12 C2 C7 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CC 87 12 1E C8 6E C4
-42 C8 94 CD 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C C8 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CD BA 40 86 12 FC FF 05 3D B0 CA 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CD BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CD 06 43 52 45 41 54
-45 00 B0 12 8A CD BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CB 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 CE 05 44
-45 46 45 52 B0 12 8A CD BA 40 30 40 FC FF BA 40
-2A CE FE FF CF 3C D2 CC 01 3A B0 12 8A CD BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 CE 81 3B 82 93 BE 1D 24 27 87 12 34 C2
-2A C2 6E CA 6E CD C0 CC 2A C2 FA CD 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 CE 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE CE 86 5B
-45 4C 53 45 5D 00 87 12 34 C2 01 00 6E C4 42 C8
-B6 CA 44 C2 E0 C3 34 CF 8A C2 8A C2 C2 C7 04 5B
-49 46 5D 00 84 CE EA C3 F2 CE 00 C9 2C C3 DC C3
-28 CF 8A C2 8A C2 C2 C7 06 5B 45 4C 53 45 5D 00
-84 CE EA C3 16 CF 00 C9 B8 CE 44 C2 E0 C3 12 CF
-2C C3 DC C3 28 CF C2 C7 06 5B 54 48 45 4E 5D 00
-84 CE EA C3 28 CF B8 CE 54 C2 EA C3 30 CF 2A C2
-DC C3 CC CE 00 C9 C2 C7 05 0D 0A 6B 6F 20 80 C7
-5A C4 44 C2 64 C4 32 C6 4A CF 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC CE 30 4D BE CE
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C4
-42 C8 A0 C8 6C C2 86 C3 2A C2 70 CF 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C4 42 C8 A0 C8 6C C2
-2A C2 A4 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CA 06 4D 41 52 4B 45 52 00 B0 12 8A CD
-BA 40 84 12 FC FF BA 40 A2 CF FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E CD
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 C3 00 00 2E 53 30 4D 2E CE 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC C3
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C7 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C CE
-85 42 45 47 49 4E 30 40 C6 C5 2E D0 85 55 4E 54
-49 4C 39 40 E0 C3 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CC 85 41 47 41
-49 4E 39 40 DC C3 EF 3F C4 CD 85 57 48 49 4C 45
-87 12 F4 CF 78 C2 2A C2 26 CD 86 52 45 50 45 41
-54 00 87 12 72 D0 34 D0 2A C2 0E D0 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 C3 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 CF 84 4C 4F 4F 50 00 39 40 12 C4 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C6 85 2B 4C 4F 4F 50 39 40
-00 C4 E5 3F C4 D0 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 C4 00 00 BA 40 DC C3 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 D1 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D0 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 CE 34 C2 10 00
-34 C2 00 00 F0 C3 34 C2 00 00 6E CA 12 C4 86 D1
-C6 C5 34 C2 CE 1D 44 C2 F2 C2 6E CA FA C2 1A CE
-34 C2 D0 1D FA C2 2A C2 9E CC 05 46 4F 52 54 48
-84 12 A0 D1 0A D5 E0 D4 78 DD AA D1 C8 D4 F8 D0
-42 DD 7A DC 94 D2 AE D2 50 DD 98 DC 46 C3 0E DC
-C8 CC 8E DC 00 00 6C D0 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 CD 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A C8 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C D0 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 D1 0E D2 22 D2
-32 D2 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D1 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D2 C4 D4 02 DE 8A D0 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D2 92 42 0C 18 76 D2
-EF 3F 66 D2 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 D2 92 42 CC 1D 76 D2 30 4D 7A D2 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C D1 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12
-C2 CB B2 40 02 DE 0C 18 B2 40 C4 D4 0E 18 CA 3F
-F0 CF 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C7 06 0D 1B 5B 37 6D 23 00 80 C7 B0 C5 C2 C7
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C7 34 C2 30 FF C6 C5
-28 C3 7C C5 C2 C7 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CC C8 D2 04 57 41 52 4D 00 30 40 FA D2
-40 D0 04 43 4F 4C 44 00 B2 40 04 A5 20 01 92 D3
-30 01 B2 40 88 5A 5C 01 B2 D0 EF 7F 06 02 B2 D0
-00 04 04 02 B2 40 08 FF 02 02 B2 D0 08 FF 06 02
-B2 D0 F0 00 04 02 F2 40 F0 00 22 03 F2 D0 F0 00
-26 03 F2 D0 0F 00 24 03 F2 40 A5 00 61 01 B2 40
-80 00 62 01 82 43 66 01 39 40 80 00 B2 40 33 00
-64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
-01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
-B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A1 04 3A 40 6E D3 39 40 CE FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
-39 40 00 1C 89 43 00 00 29 53 39 90 FF 1F FA 2B
-31 40 E0 1C 3F 40 80 1C 37 40 00 C2 36 40 BC C2
-35 40 08 C2 34 40 14 C2 B2 40 0A 00 E2 1D B2 43
-B4 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20
-38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40
-05 00 C6 05 B2 40 00 49 C8 05 F2 D0 03 00 0D 02
-92 C3 C0 05 92 D3 DA 05 3D 40 92 D4 18 42 08 18
-38 90 0A 00 24 27 38 90 16 00 21 2F 28 93 FA 22
-EF 26 5C D3 D8 D1 09 41 53 53 45 4D 42 4C 45 52
-84 12 A0 D1 EA DA 96 DB 9E DA EA DB 64 DA 1E DB
-68 D7 00 00 5A DA 0A DB BC DA FA DA 78 D8 00 00
-00 00 FC DB D4 D1 F2 D2 85 48 49 32 4C 4F 87 12
-C6 C5 08 D0 6E CA C0 CC DE D1 A0 D4 2A C2 62 D3
-04 43 4F 44 45 00 B0 12 8A CD A2 82 CC 1D 87 12
-5C CE DC C3 D8 D4 00 00 07 45 4E 44 43 4F 44 45
-87 12 F8 D1 6E CD 2A C2 96 D4 03 41 53 4D 92 42
-E0 1D C0 1D B2 40 A4 D4 E0 1D E5 3F F8 D4 06 45
-4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00
-05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00
-A2 53 CC 1D B2 43 BE 1D 30 40 F8 D1 00 00 05 4C
-4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40
-2A C2 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48
-2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27
-1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A C2
-42 C8 A0 C8 EA C3 A0 D5 62 C9 E0 C3 AE CC C2 D5
-A2 D5 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
-85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
-2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A C2
-42 C8 62 C9 E0 C3 F4 D5 EA D5 21 53 3E 90 10 00
-BB 2D 30 41 F6 D5 B2 41 CA 1D 22 D3 30 41 87 12
-6E C4 6A D5 06 D6 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20
-92 53 CA 1D B0 12 8C D5 0E 93 04 20 B2 40 00 03
-C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C
-2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20
-B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02
-C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C
-B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E
-00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
-B2 40 10 02 C4 1D 92 53 CA 1D 30 12 76 D6 76 3F
-FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53
-CA 1D B0 12 D4 D5 0E 20 B2 50 10 00 C4 1D 3E 40
-2B 00 B0 12 D4 D5 32 24 92 92 C6 1D CA 1D 02 24
-92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 D4 D5
-F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12 8C D5
-30 12 C6 D6 67 3F 87 12 6E C4 6A D5 FE D6 FE 90
-26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D
-C2 3F B0 12 D4 D5 DF 23 B2 50 80 00 C4 1D 3E 40
-28 00 B0 12 8C D5 B0 12 C4 D5 D5 23 3D 40 AE CC
-30 4D 8C C6 04 52 45 54 49 00 87 12 34 C2 00 13
-6E CA 2A C2 34 C2 2C 00 FE D5 F6 D6 4E D7 2E 4E
-1E D2 C4 1D 19 42 C2 1D 92 3F 4E D5 03 4D 4F 56
-84 12 44 D7 00 40 5C D7 05 4D 4F 56 2E 42 84 12
-44 D7 40 40 00 00 03 41 44 44 84 12 44 D7 00 50
-76 D7 05 41 44 44 2E 42 84 12 44 D7 40 50 82 D7
-04 41 44 44 43 00 84 12 44 D7 00 60 90 D7 06 41
-44 44 43 2E 42 00 84 12 44 D7 40 60 34 D7 04 53
-55 42 43 00 84 12 44 D7 00 70 AE D7 06 53 55 42
-43 2E 42 00 84 12 44 D7 40 70 BC D7 03 53 55 42
-84 12 44 D7 00 80 CC D7 05 53 55 42 2E 42 84 12
-44 D7 40 80 30 D5 03 43 4D 50 84 12 44 D7 00 90
-E6 D7 05 43 4D 50 2E 42 84 12 44 D7 40 90 1E D5
-04 44 41 44 44 00 84 12 44 D7 00 A0 00 D8 06 44
-41 44 44 2E 42 00 84 12 44 D7 40 A0 F2 D7 03 42
-49 54 84 12 44 D7 00 B0 1E D8 05 42 49 54 2E 42
-84 12 44 D7 40 B0 2A D8 03 42 49 43 84 12 44 D7
-00 C0 38 D8 05 42 49 43 2E 42 84 12 44 D7 40 C0
-44 D8 03 42 49 53 84 12 44 D7 00 D0 52 D8 05 42
-49 53 2E 42 84 12 44 D7 40 D0 00 00 03 58 4F 52
-84 12 44 D7 00 E0 6C D8 05 58 4F 52 2E 42 84 12
-44 D7 40 E0 9E D7 03 41 4E 44 84 12 44 D7 00 F0
-86 D8 05 41 4E 44 2E 42 84 12 44 D7 40 F0 6E C4
-FE D5 A4 D8 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10
-3A F0 0F 00 82 DA C4 1D 4A 3F D8 D7 03 52 52 43
-84 12 9E D8 00 10 BC D8 05 52 52 43 2E 42 84 12
-9E D8 40 10 C8 D8 04 53 57 50 42 00 84 12 9E D8
-80 10 D6 D8 03 52 52 41 84 12 9E D8 00 11 E4 D8
-05 52 52 41 2E 42 84 12 9E D8 40 11 F0 D8 03 53
-58 54 84 12 9E D8 80 11 00 00 04 50 55 53 48 00
-84 12 9E D8 00 12 0A D9 06 50 55 53 48 2E 42 00
-84 12 9E D8 40 12 5E D8 04 43 41 4C 4C 00 84 12
-9E D8 80 12 34 C2 2C 00 FE D5 F6 D6 3E D9 59 42
-C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00
-02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
-5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C5 C2 C7 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 14 CC 18 D9
-05 50 55 53 48 4D 84 12 34 D9 00 15 80 D9 04 50
-4F 50 4D 00 84 12 34 D9 00 17 6E C4 6A D5 A0 D9
-82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53
-CA 1D 3E 40 2C 00 B0 12 2A C2 42 C8 62 C9 E0 C3
-AE CC F6 D6 C6 D9 0A 4E 3E 4F 1A 83 2A 92 CA 2F
-8A 10 5A 06 6F 3F FE D8 04 52 52 43 4D 00 84 12
-9A D9 50 00 D8 D9 04 52 52 41 4D 00 84 12 9A D9
-50 01 E6 D9 04 52 4C 41 4D 00 84 12 9A D9 50 02
-F4 D9 04 52 52 55 4D 00 84 12 9A D9 50 03 85 12
-00 3C 02 DA 03 53 3E 3D 85 12 00 38 14 DA 02 53
-3C 00 85 12 00 34 8E D9 03 30 3E 3D 85 12 00 30
-28 DA 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
-85 12 00 2C 3C DA 03 55 3E 3D 85 12 00 28 32 DA
-03 30 3C 3E 85 12 00 24 50 DA 02 30 3D 00 85 12
-00 20 98 C6 02 49 46 00 1A 42 CC 1D 8A 4E 00 00
-A2 53 CC 1D 0E 4A 30 4D 46 DA 04 54 48 45 4E 00
-1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 68 2F 88 DA 00 00 30 4D 0E D8 04 45
-4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53
-CC 1D 2F 83 8F 4A 00 00 E3 3F 7A DA 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 CC 1D 30 4D 92 D8 05 41 47 41 49 4E
-87 12 0E DA C2 DA 2A C2 00 00 05 57 48 49 4C 45
-87 12 68 DA 78 C2 2A C2 1E DA 06 52 45 50 45 41
-54 00 87 12 0E DA C2 DA 80 DA 2A C2 00 00 03 4A
-4D 50 87 12 A0 CC 0E DA C2 DA 2A C2 3E B0 00 10
-03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
-3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
-4A 4D 50 00 87 12 2C DB A0 CC 78 C2 C2 DA 2A C2
-62 DB 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
-CC 1D 00 00 30 4D 88 43 00 00 A4 3F 28 D9 03 42
-57 31 84 12 60 DB 00 00 7E DB 03 42 57 32 84 12
-60 DB 00 00 8A DB 03 42 57 33 84 12 60 DB 00 00
-A2 DB 3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F
-00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43
-00 00 61 3F 00 00 03 46 57 31 84 12 A0 DB 00 00
-C6 DB 03 46 57 32 84 12 A0 DB 00 00 D2 DB 03 46
-57 33 84 12 A0 DB 00 00 DE DB 04 47 4F 54 4F 00
-87 12 0E DA A0 CC 64 CA 2A C2 4E DB 05 3F 47 4F
-54 4F 87 12 2C DB A0 CC 64 CA 2A C2 8C CF 09 7B
-55 54 49 4C 49 54 59 7D 30 4D 08 D2 02 2E 53 00
-8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C
-2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12
-34 C2 3C 00 12 C7 B0 C5 34 C2 08 00 12 C7 34 C2
-3E 00 12 C7 48 C7 8A C2 8A C2 C4 C3 EA C3 66 DC
-62 C2 62 C2 2A C2 F0 C3 28 C4 F2 C2 7C C5 34 C2
-02 00 00 C4 68 DC 2A C2 1C DC 03 2E 52 53 8F 4E
-FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F 08 C9 01 3F
-2E 4E 30 40 7C C5 56 D3 05 57 4F 52 44 53 87 12
-BE C7 34 C2 03 00 5E C7 34 C2 D0 1D F2 C2 50 C4
-34 C2 10 00 44 C2 20 C3 3A D1 34 C2 00 00 44 C2
-34 C2 10 00 44 C2 20 C3 34 C2 00 00 F0 C3 44 C2
-28 C4 50 C4 20 C3 F2 C2 D2 C3 E0 C3 EC DC 62 C2
-62 C2 28 C4 44 C2 50 C4 20 C3 F2 C2 34 C2 02 00
-00 C4 CE DC 54 C2 E0 C3 2E DD 44 C2 34 C2 02 00
-28 C3 F2 C2 9A C2 50 C4 20 C3 FA C2 44 C2 B6 CA
-34 C2 7F 00 36 C3 80 C7 08 C3 34 C2 0F 00 36 C3
-34 C2 10 00 78 C2 28 C3 5E C7 DC C3 BA DC 62 C2
-2A C2 34 D1 03 4D 41 58 2E 9F 07 38 2F 53 30 4D
-34 DD 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 4C D0
-03 55 2E 52 87 12 B0 C2 9A C4 34 C2 00 00 FA C4
-2C C5 46 C5 BC C2 8A C2 28 C3 34 C2 00 00 38 DD
-5E C7 80 C7 2A C2 16 D2 04 44 55 4D 50 00 0D 12
-12 12 E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A C2
-78 C2 8A C2 8A C2 7C C5 34 C2 01 00 28 C3 7C C5
-34 C2 FE FF 36 C3 F0 C3 BE C7 28 C4 34 C2 07 00
-54 DD 48 C7 28 C4 34 C2 10 00 20 C3 28 C4 F0 C3
-28 C4 08 C3 34 C2 03 00 54 DD 12 C4 C0 DD 48 C7
-48 C7 28 C4 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4
-08 C3 34 C2 7E 00 46 DD 6E C4 38 DD 12 C7 12 C4
-DE DD 34 C2 10 00 00 C4 A8 DD BC C2 84 C4 FA C2
-2A C2
-@FFFE
-6E D3
-q
diff --git a/MSP_EXP430FR5739_16MHz_6Mbds.txt b/MSP_EXP430FR5739_16MHz_6Mbds.txt
deleted file mode 100644 (file)
index 2d5f3e1..0000000
+++ /dev/null
@@ -1,455 +0,0 @@
-@1800
-10 00 A6 C6 80 3E 60 EA 05 00 18 00 FA DD BC D4
-66 C6 78 C6 00 00 00 00
-@C200
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C2
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 C2 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 C2 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 C2 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E C2 02 3E 52 00
-0E 12 3E 4F 30 4D 96 C2 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 C2 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C C2 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 C2 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C3 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 C2 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C2 03 41 4E 44 3E FF 30 4D 84 C2 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C3
-06 4E 45 47 41 54 45 00 E8 3F 32 C3 03 41 42 53
-0E 93 E3 33 30 4D D8 C2 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C3 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C3 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C3 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C3 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C3 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C3 03 50 41 44
-85 12 E4 1C 0E C3 03 43 49 42 85 12 3C 1D 56 C4
-03 43 50 4C 85 12 54 00 60 C4 02 42 4C 00 85 12
-20 00 C2 C3 03 3E 49 4E 85 12 CA 1D 6A C4 04 42
-41 53 45 00 85 12 E2 1D C8 C2 05 53 54 41 54 45
-85 12 BE 1D B4 C3 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A C4 06 55 4D 2F 4D 4F 44 00 30 12 62 C2
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C2 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C4 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 C4 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 C4 02 23 53 00 87 12 FA C4
-32 C5 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C5 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C4 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C4 02 55 2E 00 87 12 9A C4
-34 C2 00 00 2C C5 46 C5 7A C7 42 C7 2A C2 68 C3
-02 44 2E 00 87 12 9A C4 78 C2 8A C2 6E C3 2C C5
-9A C2 6A C5 46 C5 7A C7 42 C7 2A C2 50 C3 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C5
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C C3 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 C5 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 C5 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 C6 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 C6 30 4D 38 C4
-03 4B 45 59 30 40 00 C6 D4 C5 06 41 43 43 45 50
-54 00 3C 40 DE C6 3B 40 AE C6 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D2 C6 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 C6 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C2 03 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C6 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 0E 20 2E 9F 0C 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 82 48 CE 05
-30 4D D4 C6 2D 83 92 B3 DC 05 E7 23 FC 27 B2 40
-18 00 0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F
-3D 41 30 4D FA C5 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E4 3F 90 C5 04 45 4D 49 54 00 30 40 FE C6
-06 C7 04 45 43 48 4F 00 B2 40 82 48 CC C6 82 43
-E4 1D 30 4D AE C5 06 4E 4F 45 43 48 4F 00 B2 40
-30 4D CC C6 92 43 E4 1D 30 4D 64 C5 05 53 50 41
-43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F 3C C7
-06 53 50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40
-64 C7 EF 3F 66 C7 2D 83 1E 83 EB 23 3D 41 3E 4F
-30 4D 78 C5 04 54 59 50 45 00 0E 93 BE 24 1E 15
-3D 40 90 C7 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F
-92 C7 2D 83 91 83 02 00 F5 23 1D 17 AE 3C F6 C6
-04 28 43 52 29 00 87 12 BC C7 02 0D 0A 00 7A C7
-2A C2 E4 C5 02 43 52 00 30 40 A6 C7 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-B4 C7 07 43 41 50 53 5F 4F 4E B2 43 B4 1D 30 4D
-D2 C7 08 43 41 50 53 5F 4F 46 46 00 82 43 B4 1D
-30 4D 50 C7 82 53 22 00 87 12 34 C2 BC C7 68 CA
-EC C7 34 C2 22 00 3C C8 DA C7 0C C8 3D 41 6E 4E
-1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D A2 63 CC 1D
-30 4D 26 C7 82 2E 22 00 87 12 F8 C7 34 C2 7A C7
-68 CA 2A C2 00 00 04 57 4F 52 44 00 3C 40 C6 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 B4 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 D0 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C2 34 40 14 C2 30 4D 2F 53 2F 53 3E 4F 30 4D
-74 C4 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C
-82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04
-18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48
-02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42
-E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40 AC C9
-3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00
-10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80
-2C 00 5A 93 B8 23 B1 43 02 00 CE 3F AE C9 0E 93
-32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00
-00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20
-0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
-39 80 30 00 79 90 0A 00 05 28 79 80 07 00 79 90
-0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15
-B0 12 B0 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
-04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24
-3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00
-BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
-00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
-2F 53 30 4D 12 C7 07 45 58 45 43 55 54 45 0A 4E
-3E 4F 00 4A 26 C3 01 2C 1A 42 CC 1D A2 53 CC 1D
-8A 4E 00 00 3E 4F 30 4D 66 CA 87 4C 49 54 45 52
-41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D
-BA 40 34 C2 00 00 8A 4E 02 00 3E 4F 32 B0 00 02
-32 C0 00 02 F1 23 30 4D E2 C7 05 43 4F 55 4E 54
-2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D 87 12 6E C4
-3C C8 D4 CA 3D 40 E0 CA E0 22 3E 4F 3D 41 30 4D
-E2 CA 0A 4E 3E 4F 3D 40 F8 CA 38 27 3D 40 CE CA
-1A E2 BE 1D B9 27 B3 23 FA CA 3E 4F 3D 40 CE CA
-C0 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00
-3D 40 82 CD CD 3F 56 CA 08 45 56 41 4C 55 41 54
-45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12
-2A C2 BE CA 36 CB B2 41 CA 1D B2 41 C8 1D B2 41
-C6 1D 3D 41 30 4D 4C C4 04 51 55 49 54 00 31 40
-E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18
-B0 12 2A C2 BC C7 05 0D 0A 6F 6B 20 7A C7 5A C4
-44 C2 64 C4 32 C6 42 C7 BE CA DE C2 92 C3 BC C7
-0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20 08 CC
-34 C2 30 FF C6 C5 D2 C3 BC C7 0B 46 52 41 4D 20
-66 75 6C 6C 21 20 08 CC 90 C4 F2 C2 E0 C3 64 CB
-BC C7 05 0D 0A 20 20 20 DC C3 6C CB B2 40 F4 D2
-58 D3 B2 40 A0 C6 94 C6 B2 40 FE C6 0E C7 B2 40
-A6 C7 BA C7 B2 40 00 C6 26 C6 82 43 7E DB 82 43
-8A DB 82 43 96 DB 82 43 C6 DB 82 43 D2 DB 82 43
-DE DB B2 40 0A 00 E2 1D 30 41 2A C6 05 41 42 4F
-52 54 3F 40 80 1C A3 3F 8F 93 02 00 75 26 B2 40
-82 48 CC C6 B0 12 C8 CB A2 B3 DC 05 FD 27 B2 40
-11 00 CE 05 E2 C2 03 02 92 C3 DC 05 38 40 A0 AA
-39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05
-F3 23 87 12 BC C7 04 1B 5B 37 6D 00 7A C7 34 C2
-E4 1D F2 C2 54 C2 E0 C3 6E CC BC C7 05 6C 69 6E
-65 3A 7A C7 34 C2 01 00 28 C3 7C C5 18 C7 7A C7
-BC C7 04 1B 5B 30 6D 00 7A C7 6A D2 DA C7 02 CC
-FC CB 86 41 42 4F 52 54 22 00 87 12 F8 C7 34 C2
-08 CC 68 CA 2A C2 94 C8 01 27 87 12 6E C4 3C C8
-9A C8 E0 C3 A8 CC 2A C2 02 CB 96 C4 81 5C 92 42
-C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
-AC CC 01 5D B2 43 BE 1D 30 4D B8 CC 83 5B 27 5D
-87 12 9A CC 34 C2 34 C2 68 CA 68 CA 2A C2 BE 4F
-02 00 3E 4F 30 4D A0 C7 82 49 53 00 87 12 90 C4
-F2 C2 E0 C3 00 CD D0 CC 34 C2 DE CC 68 CA 2A C2
-9A CC DE CC 2A C2 E8 CC 09 49 4D 4D 45 44 49 41
-54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D F4 C7
-87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
-00 00 A2 53 CC 1D 30 4D 48 CB 88 50 4F 53 54 50
-4F 4E 45 00 87 12 6E C4 3C C8 9A C8 54 C2 E0 C3
-A8 CC 92 C3 E0 C3 64 CD 34 C2 34 C2 68 CA 68 CA
-34 C2 68 CA 68 CA 2A C2 82 9F BC 1D 34 25 87 12
-BC C7 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
-68 21 0E CC 87 12 18 C8 6E C4 3C C8 8E CD 08 4E
-7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0
-1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D
-2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 36 C8 08 56
-41 52 49 41 42 4C 45 00 B0 12 84 CD BA 40 86 12
-FC FF 05 3D AA CA 08 43 4F 4E 53 54 41 4E 54 00
-B0 12 84 CD BA 40 85 12 FC FF 8A 4E FE FF 3E 4F
-F6 3C D6 CD 06 43 52 45 41 54 45 00 B0 12 84 CD
-BA 40 85 12 FC FF 8A 4A FE FF E9 3C 18 CB 05 44
-4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00 8A 4D
-02 00 3D 41 30 4D 0E CE 05 44 45 46 45 52 B0 12
-84 CD BA 40 30 40 FC FF BA 40 24 CE FE FF CF 3C
-CC CC 01 3A B0 12 84 CD BA 40 87 12 FC FF A2 83
-CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D 42 CE 81 3B
-82 93 BE 1D 24 27 87 12 34 C2 2A C2 68 CA 68 CD
-BA CC 2A C2 F4 CD 07 43 4F 4D 50 41 52 45 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
-1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
-30 4D 1E 43 30 4D 5E CE 86 5B 54 48 45 4E 5D 00
-30 4D 1E 83 30 4D A8 CE 86 5B 45 4C 53 45 5D 00
-87 12 34 C2 01 00 6E C4 3C C8 B0 CA 44 C2 E0 C3
-2E CF 8A C2 8A C2 BC C7 04 5B 49 46 5D 00 7E CE
-EA C3 EC CE FA C8 2C C3 DC C3 22 CF 8A C2 8A C2
-BC C7 06 5B 45 4C 53 45 5D 00 7E CE EA C3 10 CF
-FA C8 B2 CE 44 C2 E0 C3 0C CF 2C C3 DC C3 22 CF
-BC C7 06 5B 54 48 45 4E 5D 00 7E CE EA C3 22 CF
-B2 CE 54 C2 EA C3 2A CF 2A C2 DC C3 C6 CE FA C8
-BC C7 05 0D 0A 6B 6F 20 7A C7 5A C4 44 C2 64 C4
-32 C6 44 CF 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
-3E 4F 3D 40 C6 CE 30 4D B8 CE 84 5B 49 46 5D 00
-0E 93 3E 4F AD 27 30 4D 5A CF 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 87 12 6E C4 3C C8 9A C8 6C C2
-86 C3 2A C2 6A CF 89 5B 44 45 46 49 4E 45 44 5D
-87 12 6E C4 3C C8 9A C8 6C C2 2A C2 9E CF 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 7A CA 06 4D
-41 52 4B 45 52 00 B0 12 84 CD BA 40 84 12 FC FF
-BA 40 9C CF FE FF 9A 42 CE 1D 00 00 28 83 8A 48
-02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D A8 49
-FE FF 89 48 00 00 30 4D 08 CD 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40 E0 C3
-00 00 2E 53 30 4D 28 CE 84 45 4C 53 45 00 A2 52
-CC 1D 1A 42 CC 1D BA 40 DC C3 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D 74 C7 84 54 48 45 4E 00 9E 42
-CC 1D 00 00 3E 4F 30 4D 76 CE 85 42 45 47 49 4E
-30 40 C6 C5 28 D0 85 55 4E 54 49 4C 39 40 E0 C3
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 82 CC 85 41 47 41 49 4E 39 40 DC C3
-EF 3F BE CD 85 57 48 49 4C 45 87 12 EE CF 78 C2
-2A C2 20 CD 86 52 45 50 45 41 54 00 87 12 6C D0
-2E D0 2A C2 08 D0 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 CC 1D 1E 42 CC 1D BE 40 F0 C3 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D AE CF 84 4C
-4F 4F 50 00 39 40 12 C4 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-20 C6 85 2B 4C 4F 4F 50 39 40 00 C4 E5 3F BE D0
-85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 C4 00 00
-BA 40 DC C3 02 00 B2 50 06 00 CC 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D 00 D1 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 74 D0 0A 56 4F 43 41 42 55 4C 41 52
-59 00 87 12 FC CD 34 C2 10 00 34 C2 00 00 F0 C3
-34 C2 00 00 68 CA 12 C4 80 D1 C6 C5 34 C2 CE 1D
-44 C2 F2 C2 68 CA FA C2 14 CE 34 C2 D0 1D FA C2
-2A C2 98 CC 05 46 4F 52 54 48 84 12 9A D1 02 D5
-D8 D4 70 DD A4 D1 C0 D4 F2 D0 3A DD 72 DC 8E D2
-A8 D2 48 DD 90 DC 46 C3 06 DC C2 CC 86 DC 00 00
-66 D0 04 41 4C 53 4F 00 3A 40 0E 00 39 40 D0 1D
-38 40 D2 1D B6 3F 3A CD 08 50 52 45 56 49 4F 55
-53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D A3 3F
-24 C8 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D 96 D0
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 D0 1D
-E0 1D 30 4D AA D1 08 D2 1C D2 2C D2 3A 4E 82 4A
-CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D E8 D1
-09 50 57 52 5F 53 54 41 54 45 84 12 24 D2 BC D4
-FA DD 84 D0 09 52 53 54 5F 53 54 41 54 45 92 42
-0E 18 6E D2 92 42 0C 18 70 D2 EF 3F 60 D2 08 50
-57 52 5F 48 45 52 45 00 92 42 CE 1D 6E D2 92 42
-CC 1D 70 D2 30 4D 74 D2 08 52 53 54 5F 48 45 52
-45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18 EC 3F
-66 D1 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
-29 53 39 90 CE FF FA 23 B0 12 BC CB B2 40 FA DD
-0C 18 B2 40 BC D4 0E 18 CA 3F EA CF 06 28 57 41
-52 4D 29 00 1E 42 08 18 87 12 BC C7 06 0D 1B 5B
-37 6D 23 00 7A C7 B0 C5 BC C7 27 20 46 61 73 74
-46 6F 72 74 68 20 56 32 30 33 20 31 36 4D 48 7A
-20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 7A C7 34 C2 30 FF C6 C5 28 C3 7C C5 BC C7
-0B 62 79 74 65 73 20 66 72 65 65 20 14 CC C2 D2
-04 57 41 52 4D 00 30 40 F4 D2 3A D0 04 43 4F 4C
-44 00 B2 40 04 A5 20 01 92 D3 30 01 B2 40 88 5A
-5C 01 B2 D0 EF 7F 06 02 B2 D0 00 04 04 02 B2 40
-08 FF 02 02 B2 D0 08 FF 06 02 B2 D0 F0 00 04 02
-F2 40 F0 00 22 03 F2 D0 F0 00 26 03 F2 D0 0F 00
-24 03 F2 40 A5 00 61 01 B2 40 80 00 62 01 82 43
-66 01 39 40 80 00 B2 40 33 00 64 01 D2 43 61 01
-92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
-C2 A2 18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3
-B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 3A 40
-68 D3 39 40 CE FF 89 4A 00 00 29 53 FC 23 92 42
-02 18 F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43
-00 00 29 53 39 90 FF 1F FA 2B 31 40 E0 1C 3F 40
-80 1C 37 40 00 C2 36 40 BC C2 35 40 08 C2 34 40
-14 C2 B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01
-18 42 08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48
-08 18 B2 40 81 00 C0 05 A2 43 C6 05 B2 40 00 B6
-C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05
-3D 40 8A D4 18 42 08 18 38 90 0A 00 25 27 38 90
-16 00 22 2F 28 93 FB 22 F0 26 56 D3 D2 D1 09 41
-53 53 45 4D 42 4C 45 52 84 12 9A D1 E2 DA 8E DB
-96 DA E2 DB 5C DA 16 DB 60 D7 00 00 52 DA 02 DB
-B4 DA F2 DA 70 D8 00 00 00 00 F4 DB CE D1 EC D2
-85 48 49 32 4C 4F 87 12 C6 C5 02 D0 68 CA BA CC
-D8 D1 98 D4 2A C2 5C D3 04 43 4F 44 45 00 B0 12
-84 CD A2 82 CC 1D 87 12 56 CE DC C3 D0 D4 00 00
-07 45 4E 44 43 4F 44 45 87 12 F2 D1 68 CD 2A C2
-8E D4 03 41 53 4D 92 42 E0 1D C0 1D B2 40 9C D4
-E0 1D E5 3F F0 D4 06 45 4E 44 41 53 4D 00 92 42
-C0 1D E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
-CC 1D BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D
-30 40 F2 D1 00 00 05 4C 4F 32 48 49 1A 42 CC 1D
-BA 40 B0 12 00 00 BA 40 2A C2 02 00 A2 52 CC 1D
-ED 3F 38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D
-09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
-CA 1D 30 4D B0 12 2A C2 3C C8 9A C8 EA C3 98 D5
-5C C9 E0 C3 A8 CC BA D5 9A D5 29 4E 39 90 86 12
-02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
-30 41 39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D
-92 53 CA 1D B0 12 2A C2 3C C8 5C C9 E0 C3 EC D5
-E2 D5 21 53 3E 90 10 00 BB 2D 30 41 EE D5 B2 41
-CA 1D 22 D3 30 41 87 12 6E C4 62 D5 FE D5 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F
-FA 90 23 00 00 00 34 20 92 53 CA 1D B0 12 84 D5
-0E 93 04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20
-B2 40 10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03
-C4 1D 1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C
-3E 92 04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20
-B2 40 30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42
-CC 1D A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-FA 90 26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53
-CA 1D 30 12 6E D6 76 3F FA 90 40 00 00 00 1A 20
-B2 40 20 00 C4 1D 92 53 CA 1D B0 12 CC D5 0E 20
-B2 50 10 00 C4 1D 3E 40 2B 00 B0 12 CC D5 32 24
-92 92 C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E
-C4 1D D3 3F B0 12 CC D5 F9 23 B2 50 10 00 C4 1D
-3E 40 28 00 B0 12 84 D5 30 12 BE D6 67 3F 87 12
-6E C4 62 D5 F6 D6 FE 90 26 00 00 00 3E 40 20 00
-04 20 B2 50 82 00 C4 1D C2 3F B0 12 CC D5 DF 23
-B2 50 80 00 C4 1D 3E 40 28 00 B0 12 84 D5 B0 12
-BC D5 D5 23 3D 40 A8 CC 30 4D 8C C6 04 52 45 54
-49 00 87 12 34 C2 00 13 68 CA 2A C2 34 C2 2C 00
-F6 D5 EE D6 46 D7 2E 4E 1E D2 C4 1D 19 42 C2 1D
-92 3F 46 D5 03 4D 4F 56 84 12 3C D7 00 40 54 D7
-05 4D 4F 56 2E 42 84 12 3C D7 40 40 00 00 03 41
-44 44 84 12 3C D7 00 50 6E D7 05 41 44 44 2E 42
-84 12 3C D7 40 50 7A D7 04 41 44 44 43 00 84 12
-3C D7 00 60 88 D7 06 41 44 44 43 2E 42 00 84 12
-3C D7 40 60 2C D7 04 53 55 42 43 00 84 12 3C D7
-00 70 A6 D7 06 53 55 42 43 2E 42 00 84 12 3C D7
-40 70 B4 D7 03 53 55 42 84 12 3C D7 00 80 C4 D7
-05 53 55 42 2E 42 84 12 3C D7 40 80 28 D5 03 43
-4D 50 84 12 3C D7 00 90 DE D7 05 43 4D 50 2E 42
-84 12 3C D7 40 90 16 D5 04 44 41 44 44 00 84 12
-3C D7 00 A0 F8 D7 06 44 41 44 44 2E 42 00 84 12
-3C D7 40 A0 EA D7 03 42 49 54 84 12 3C D7 00 B0
-16 D8 05 42 49 54 2E 42 84 12 3C D7 40 B0 22 D8
-03 42 49 43 84 12 3C D7 00 C0 30 D8 05 42 49 43
-2E 42 84 12 3C D7 40 C0 3C D8 03 42 49 53 84 12
-3C D7 00 D0 4A D8 05 42 49 53 2E 42 84 12 3C D7
-40 D0 00 00 03 58 4F 52 84 12 3C D7 00 E0 64 D8
-05 58 4F 52 2E 42 84 12 3C D7 40 E0 96 D7 03 41
-4E 44 84 12 3C D7 00 F0 7E D8 05 41 4E 44 2E 42
-84 12 3C D7 40 F0 6E C4 F6 D5 9C D8 1A 42 C4 1D
-B2 F0 70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D
-4A 3F D0 D7 03 52 52 43 84 12 96 D8 00 10 B4 D8
-05 52 52 43 2E 42 84 12 96 D8 40 10 C0 D8 04 53
-57 50 42 00 84 12 96 D8 80 10 CE D8 03 52 52 41
-84 12 96 D8 00 11 DC D8 05 52 52 41 2E 42 84 12
-96 D8 40 11 E8 D8 03 53 58 54 84 12 96 D8 80 11
-00 00 04 50 55 53 48 00 84 12 96 D8 00 12 02 D9
-06 50 55 53 48 2E 42 00 84 12 96 D8 40 12 56 D8
-04 43 41 4C 4C 00 84 12 96 D8 80 12 34 C2 2C 00
-F6 D5 EE D6 36 D9 59 42 C4 1D 5A 42 C5 1D 82 4A
-C4 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
-0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
-87 12 B0 C5 BC C7 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 0E CC 10 D9 05 50 55 53 48 4D 84 12
-2C D9 00 15 78 D9 04 50 4F 50 4D 00 84 12 2C D9
-00 17 6E C4 62 D5 98 D9 82 43 C4 1D 92 42 CC 1D
-C2 1D A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12
-2A C2 3C C8 5C C9 E0 C3 A8 CC EE D6 BE D9 0A 4E
-3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F F6 D8
-04 52 52 43 4D 00 84 12 92 D9 50 00 D0 D9 04 52
-52 41 4D 00 84 12 92 D9 50 01 DE D9 04 52 4C 41
-4D 00 84 12 92 D9 50 02 EC D9 04 52 52 55 4D 00
-84 12 92 D9 50 03 85 12 00 3C FA D9 03 53 3E 3D
-85 12 00 38 0C DA 02 53 3C 00 85 12 00 34 86 D9
-03 30 3E 3D 85 12 00 30 20 DA 02 30 3C 00 85 12
-00 30 00 00 02 55 3C 00 85 12 00 2C 34 DA 03 55
-3E 3D 85 12 00 28 2A DA 03 30 3C 3E 85 12 00 24
-48 DA 02 30 3D 00 85 12 00 20 98 C6 02 49 46 00
-1A 42 CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D
-3E DA 04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
-00 00 30 4D 06 D8 04 45 4C 53 45 00 1A 42 CC 1D
-BA 40 00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00
-E3 3F 72 DA 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D
-8A D8 05 41 47 41 49 4E 87 12 06 DA BA DA 2A C2
-00 00 05 57 48 49 4C 45 87 12 60 DA 78 C2 2A C2
-16 DA 06 52 45 50 45 41 54 00 87 12 06 DA BA DA
-78 DA 2A C2 00 00 03 4A 4D 50 87 12 9A CC 06 DA
-BA DA 2A C2 3E B0 00 10 03 20 3E E0 00 04 30 4D
-3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
-00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 24 DB
-9A CC 78 C2 BA DA 2A C2 5A DB 3D 41 08 4E 3E 4F
-2A 48 0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43
-00 00 A4 3F 20 D9 03 42 57 31 84 12 58 DB 00 00
-76 DB 03 42 57 32 84 12 58 DB 00 00 82 DB 03 42
-57 33 84 12 58 DB 00 00 9A DB 3D 41 1A 42 CC 1D
-28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A
-00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
-57 31 84 12 98 DB 00 00 BE DB 03 46 57 32 84 12
-98 DB 00 00 CA DB 03 46 57 33 84 12 98 DB 00 00
-D6 DB 04 47 4F 54 4F 00 87 12 06 DA 9A CC 5E CA
-2A C2 46 DB 05 3F 47 4F 54 4F 87 12 24 DB 9A CC
-5E CA 2A C2 86 CF 09 7B 55 54 49 4C 49 54 59 7D
-30 4D 02 D2 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
-8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
-06 00 2E 8F 0E 11 87 12 34 C2 3C 00 0C C7 B0 C5
-34 C2 08 00 0C C7 34 C2 3E 00 0C C7 42 C7 8A C2
-8A C2 C4 C3 EA C3 5E DC 62 C2 62 C2 2A C2 F0 C3
-28 C4 F2 C2 7C C5 34 C2 02 00 00 C4 60 DC 2A C2
-14 DC 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
-E0 1C D3 3F 02 C9 01 3F 2E 4E 30 40 7C C5 50 D3
-05 57 4F 52 44 53 87 12 B8 C7 34 C2 03 00 58 C7
-34 C2 D0 1D F2 C2 50 C4 34 C2 10 00 44 C2 20 C3
-34 D1 34 C2 00 00 44 C2 34 C2 10 00 44 C2 20 C3
-34 C2 00 00 F0 C3 44 C2 28 C4 50 C4 20 C3 F2 C2
-D2 C3 E0 C3 E4 DC 62 C2 62 C2 28 C4 44 C2 50 C4
-20 C3 F2 C2 34 C2 02 00 00 C4 C6 DC 54 C2 E0 C3
-26 DD 44 C2 34 C2 02 00 28 C3 F2 C2 9A C2 50 C4
-20 C3 FA C2 44 C2 B0 CA 34 C2 7F 00 36 C3 7A C7
-08 C3 34 C2 0F 00 36 C3 34 C2 10 00 78 C2 28 C3
-58 C7 DC C3 B2 DC 62 C2 2A C2 2E D1 03 4D 41 58
-2E 9F 07 38 2F 53 30 4D 2C DD 03 4D 49 4E 2E 9F
-F9 3B 3E 4F 30 4D 46 D0 03 55 2E 52 87 12 B0 C2
-9A C4 34 C2 00 00 FA C4 2C C5 46 C5 BC C2 8A C2
-28 C3 34 C2 00 00 30 DD 58 C7 7A C7 2A C2 10 D2
-04 44 55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00
-E2 1D 2E 5F B0 12 2A C2 78 C2 8A C2 8A C2 7C C5
-34 C2 01 00 28 C3 7C C5 34 C2 FE FF 36 C3 F0 C3
-B8 C7 28 C4 34 C2 07 00 4C DD 42 C7 28 C4 34 C2
-10 00 20 C3 28 C4 F0 C3 28 C4 08 C3 34 C2 03 00
-4C DD 12 C4 B8 DD 42 C7 42 C7 28 C4 34 C2 10 00
-20 C3 28 C4 F0 C3 28 C4 08 C3 34 C2 7E 00 3E DD
-6E C4 30 DD 0C C7 12 C4 D6 DD 34 C2 10 00 00 C4
-A0 DD BC C2 84 C4 FA C2 2A C2
-@FFFE
-68 D3
-q
diff --git a/MSP_EXP430FR5739_16MHz_921600bds.txt b/MSP_EXP430FR5739_16MHz_921600bds.txt
deleted file mode 100644 (file)
index f7f33aa..0000000
+++ /dev/null
@@ -1,456 +0,0 @@
-@1800
-10 00 A6 C6 80 3E 00 24 05 00 18 00 02 DE C4 D4
-66 C6 78 C6 00 00 00 00
-@C200
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C2
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 C2 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 C2 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 C2 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E C2 02 3E 52 00
-0E 12 3E 4F 30 4D 96 C2 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 C2 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C C2 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 C2 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C3 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 C2 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C2 03 41 4E 44 3E FF 30 4D 84 C2 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C3
-06 4E 45 47 41 54 45 00 E8 3F 32 C3 03 41 42 53
-0E 93 E3 33 30 4D D8 C2 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C3 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C3 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C3 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C3 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C3 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C3 03 50 41 44
-85 12 E4 1C 0E C3 03 43 49 42 85 12 3C 1D 56 C4
-03 43 50 4C 85 12 54 00 60 C4 02 42 4C 00 85 12
-20 00 C2 C3 03 3E 49 4E 85 12 CA 1D 6A C4 04 42
-41 53 45 00 85 12 E2 1D C8 C2 05 53 54 41 54 45
-85 12 BE 1D B4 C3 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A C4 06 55 4D 2F 4D 4F 44 00 30 12 62 C2
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C2 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C4 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 C4 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 C4 02 23 53 00 87 12 FA C4
-32 C5 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C5 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C4 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C4 02 55 2E 00 87 12 9A C4
-34 C2 00 00 2C C5 46 C5 80 C7 48 C7 2A C2 68 C3
-02 44 2E 00 87 12 9A C4 78 C2 8A C2 6E C3 2C C5
-9A C2 6A C5 46 C5 80 C7 48 C7 2A C2 50 C3 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C5
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C C3 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 C5 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 C5 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 C6 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 C6 30 4D 38 C4
-03 4B 45 59 30 40 00 C6 D4 C5 06 41 43 43 45 50
-54 00 3C 40 E4 C6 3B 40 AE C6 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 C6 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 C6 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C2 03 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C6 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05
-FD 27 82 48 CE 05 30 4D DA C6 2D 83 92 B3 DC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA C5 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 C5 04 45 4D 49
-54 00 30 40 04 C7 0C C7 04 45 43 48 4F 00 B2 40
-82 48 D2 C6 82 43 E4 1D 30 4D AE C5 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 C6 92 43 E4 1D 30 4D
-64 C5 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 C7 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A C7 EF 3F 6C C7 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 C5 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 C7 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 C7 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC C6 04 28 43 52 29 00 87 12 C2 C7
-02 0D 0A 00 80 C7 2A C2 E4 C5 02 43 52 00 30 40
-AC C7 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA C7 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 C7 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 C7 82 53 22 00 87 12
-34 C2 C2 C7 6E CA F2 C7 34 C2 22 00 42 C8 E0 C7
-12 C8 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C C7 82 2E 22 00 87 12
-FE C7 34 C2 80 C7 6E CA 2A C2 00 00 04 57 4F 52
-44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 C2 34 40 14 C2 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 C4 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 C9 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 C9 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 C4 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 C7 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C3 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C CA
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 C2 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 C7
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E C4 42 C8 DA CA 3D 40 E6 CA E0 22
-3E 4F 3D 41 30 4D E8 CA 0A 4E 3E 4F 3D 40 FE CA
-38 27 3D 40 D4 CA 1A E2 BE 1D B9 27 B3 23 00 CB
-3E 4F 3D 40 D4 CA C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 CD CD 3F 5C CA 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C2 C4 CA 3C CB B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C C4 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A C2 C2 C7 05 0D 0A 6F
-6B 20 80 C7 5A C4 44 C2 64 C4 32 C6 48 C7 C4 CA
-DE C2 92 C3 C2 C7 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E CC 34 C2 30 FF C6 C5 D2 C3 C2 C7
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E CC 90 C4
-F2 C2 E0 C3 6A CB C2 C7 05 0D 0A 20 20 20 DC C3
-72 CB B2 40 FA D2 5E D3 B2 40 A0 C6 94 C6 B2 40
-04 C7 14 C7 B2 40 AC C7 C0 C7 B2 40 00 C6 26 C6
-82 43 86 DB 82 43 92 DB 82 43 9E DB 82 43 CE DB
-82 43 DA DB 82 43 E6 DB B2 40 0A 00 E2 1D 30 41
-2A C6 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 C6 B0 12 CE CB A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 C2 C7 04 1B 5B 37
-6D 00 80 C7 34 C2 E4 1D F2 C2 54 C2 E0 C3 74 CC
-C2 C7 05 6C 69 6E 65 3A 80 C7 34 C2 01 00 28 C3
-7C C5 1E C7 80 C7 C2 C7 04 1B 5B 30 6D 00 80 C7
-70 D2 E0 C7 08 CC 02 CC 86 41 42 4F 52 54 22 00
-87 12 FE C7 34 C2 0E CC 6E CA 2A C2 9A C8 01 27
-87 12 6E C4 42 C8 A0 C8 E0 C3 AE CC 2A C2 08 CB
-96 C4 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 CC 01 5D B2 43 BE 1D 30 4D
-BE CC 83 5B 27 5D 87 12 A0 CC 34 C2 34 C2 6E CA
-6E CA 2A C2 BE 4F 02 00 3E 4F 30 4D A6 C7 82 49
-53 00 87 12 90 C4 F2 C2 E0 C3 06 CD D6 CC 34 C2
-E4 CC 6E CA 2A C2 A0 CC E4 CC 2A C2 EE CC 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA C7 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E CB
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E C4 42 C8
-A0 C8 54 C2 E0 C3 AE CC 92 C3 E0 C3 6A CD 34 C2
-34 C2 6E CA 6E CA 34 C2 6E CA 6E CA 2A C2 82 9F
-BC 1D 34 25 87 12 C2 C7 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 CC 87 12 1E C8 6E C4
-42 C8 94 CD 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C C8 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A CD BA 40 86 12 FC FF 05 3D B0 CA 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A CD BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC CD 06 43 52 45 41 54
-45 00 B0 12 8A CD BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E CB 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 CE 05 44
-45 46 45 52 B0 12 8A CD BA 40 30 40 FC FF BA 40
-2A CE FE FF CF 3C D2 CC 01 3A B0 12 8A CD BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 CE 81 3B 82 93 BE 1D 24 27 87 12 34 C2
-2A C2 6E CA 6E CD C0 CC 2A C2 FA CD 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 CE 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE CE 86 5B
-45 4C 53 45 5D 00 87 12 34 C2 01 00 6E C4 42 C8
-B6 CA 44 C2 E0 C3 34 CF 8A C2 8A C2 C2 C7 04 5B
-49 46 5D 00 84 CE EA C3 F2 CE 00 C9 2C C3 DC C3
-28 CF 8A C2 8A C2 C2 C7 06 5B 45 4C 53 45 5D 00
-84 CE EA C3 16 CF 00 C9 B8 CE 44 C2 E0 C3 12 CF
-2C C3 DC C3 28 CF C2 C7 06 5B 54 48 45 4E 5D 00
-84 CE EA C3 28 CF B8 CE 54 C2 EA C3 30 CF 2A C2
-DC C3 CC CE 00 C9 C2 C7 05 0D 0A 6B 6F 20 80 C7
-5A C4 44 C2 64 C4 32 C6 4A CF 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC CE 30 4D BE CE
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 CF
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E C4
-42 C8 A0 C8 6C C2 86 C3 2A C2 70 CF 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E C4 42 C8 A0 C8 6C C2
-2A C2 A4 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 CA 06 4D 41 52 4B 45 52 00 B0 12 8A CD
-BA 40 84 12 FC FF BA 40 A2 CF FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E CD
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 C3 00 00 2E 53 30 4D 2E CE 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC C3
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A C7 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C CE
-85 42 45 47 49 4E 30 40 C6 C5 2E D0 85 55 4E 54
-49 4C 39 40 E0 C3 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 CC 85 41 47 41
-49 4E 39 40 DC C3 EF 3F C4 CD 85 57 48 49 4C 45
-87 12 F4 CF 78 C2 2A C2 26 CD 86 52 45 50 45 41
-54 00 87 12 72 D0 34 D0 2A C2 0E D0 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 C3 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 CF 84 4C 4F 4F 50 00 39 40 12 C4 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 C6 85 2B 4C 4F 4F 50 39 40
-00 C4 E5 3F C4 D0 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 C4 00 00 BA 40 DC C3 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 D1 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A D0 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 CE 34 C2 10 00
-34 C2 00 00 F0 C3 34 C2 00 00 6E CA 12 C4 86 D1
-C6 C5 34 C2 CE 1D 44 C2 F2 C2 6E CA FA C2 1A CE
-34 C2 D0 1D FA C2 2A C2 9E CC 05 46 4F 52 54 48
-84 12 A0 D1 0A D5 E0 D4 78 DD AA D1 C8 D4 F8 D0
-42 DD 7A DC 94 D2 AE D2 50 DD 98 DC 46 C3 0E DC
-C8 CC 8E DC 00 00 6C D0 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 CD 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A C8 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C D0 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 D1 0E D2 22 D2
-32 D2 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE D1 09 50 57 52 5F 53 54 41 54 45
-84 12 2A D2 C4 D4 02 DE 8A D0 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 D2 92 42 0C 18 76 D2
-EF 3F 66 D2 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 D2 92 42 CC 1D 76 D2 30 4D 7A D2 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C D1 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12
-C2 CB B2 40 02 DE 0C 18 B2 40 C4 D4 0E 18 CA 3F
-F0 CF 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 C7 06 0D 1B 5B 37 6D 23 00 80 C7 B0 C5 C2 C7
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 C7 34 C2 30 FF C6 C5
-28 C3 7C C5 C2 C7 0B 62 79 74 65 73 20 66 72 65
-65 20 1A CC C8 D2 04 57 41 52 4D 00 30 40 FA D2
-40 D0 04 43 4F 4C 44 00 B2 40 04 A5 20 01 92 D3
-30 01 B2 40 88 5A 5C 01 B2 D0 EF 7F 06 02 B2 D0
-00 04 04 02 B2 40 08 FF 02 02 B2 D0 08 FF 06 02
-B2 D0 F0 00 04 02 F2 40 F0 00 22 03 F2 D0 F0 00
-26 03 F2 D0 0F 00 24 03 F2 40 A5 00 61 01 B2 40
-80 00 62 01 82 43 66 01 39 40 80 00 B2 40 33 00
-64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
-01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
-B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A1 04 3A 40 6E D3 39 40 CE FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
-39 40 00 1C 89 43 00 00 29 53 39 90 FF 1F FA 2B
-31 40 E0 1C 3F 40 80 1C 37 40 00 C2 36 40 BC C2
-35 40 08 C2 34 40 14 C2 B2 40 0A 00 E2 1D B2 43
-B4 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20
-38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40
-11 00 C6 05 B2 40 00 4A C8 05 F2 D0 03 00 0D 02
-92 C3 C0 05 92 D3 DA 05 3D 40 92 D4 18 42 08 18
-38 90 0A 00 24 27 38 90 16 00 21 2F 28 93 FA 22
-EF 26 5C D3 D8 D1 09 41 53 53 45 4D 42 4C 45 52
-84 12 A0 D1 EA DA 96 DB 9E DA EA DB 64 DA 1E DB
-68 D7 00 00 5A DA 0A DB BC DA FA DA 78 D8 00 00
-00 00 FC DB D4 D1 F2 D2 85 48 49 32 4C 4F 87 12
-C6 C5 08 D0 6E CA C0 CC DE D1 A0 D4 2A C2 62 D3
-04 43 4F 44 45 00 B0 12 8A CD A2 82 CC 1D 87 12
-5C CE DC C3 D8 D4 00 00 07 45 4E 44 43 4F 44 45
-87 12 F8 D1 6E CD 2A C2 96 D4 03 41 53 4D 92 42
-E0 1D C0 1D B2 40 A4 D4 E0 1D E5 3F F8 D4 06 45
-4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00
-05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00
-A2 53 CC 1D B2 43 BE 1D 30 40 F8 D1 00 00 05 4C
-4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40
-2A C2 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48
-2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27
-1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A C2
-42 C8 A0 C8 EA C3 A0 D5 62 C9 E0 C3 AE CC C2 D5
-A2 D5 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
-85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
-2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A C2
-42 C8 62 C9 E0 C3 F4 D5 EA D5 21 53 3E 90 10 00
-BB 2D 30 41 F6 D5 B2 41 CA 1D 22 D3 30 41 87 12
-6E C4 6A D5 06 D6 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20
-92 53 CA 1D B0 12 8C D5 0E 93 04 20 B2 40 00 03
-C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C
-2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20
-B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02
-C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C
-B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E
-00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
-B2 40 10 02 C4 1D 92 53 CA 1D 30 12 76 D6 76 3F
-FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53
-CA 1D B0 12 D4 D5 0E 20 B2 50 10 00 C4 1D 3E 40
-2B 00 B0 12 D4 D5 32 24 92 92 C6 1D CA 1D 02 24
-92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 D4 D5
-F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12 8C D5
-30 12 C6 D6 67 3F 87 12 6E C4 6A D5 FE D6 FE 90
-26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D
-C2 3F B0 12 D4 D5 DF 23 B2 50 80 00 C4 1D 3E 40
-28 00 B0 12 8C D5 B0 12 C4 D5 D5 23 3D 40 AE CC
-30 4D 8C C6 04 52 45 54 49 00 87 12 34 C2 00 13
-6E CA 2A C2 34 C2 2C 00 FE D5 F6 D6 4E D7 2E 4E
-1E D2 C4 1D 19 42 C2 1D 92 3F 4E D5 03 4D 4F 56
-84 12 44 D7 00 40 5C D7 05 4D 4F 56 2E 42 84 12
-44 D7 40 40 00 00 03 41 44 44 84 12 44 D7 00 50
-76 D7 05 41 44 44 2E 42 84 12 44 D7 40 50 82 D7
-04 41 44 44 43 00 84 12 44 D7 00 60 90 D7 06 41
-44 44 43 2E 42 00 84 12 44 D7 40 60 34 D7 04 53
-55 42 43 00 84 12 44 D7 00 70 AE D7 06 53 55 42
-43 2E 42 00 84 12 44 D7 40 70 BC D7 03 53 55 42
-84 12 44 D7 00 80 CC D7 05 53 55 42 2E 42 84 12
-44 D7 40 80 30 D5 03 43 4D 50 84 12 44 D7 00 90
-E6 D7 05 43 4D 50 2E 42 84 12 44 D7 40 90 1E D5
-04 44 41 44 44 00 84 12 44 D7 00 A0 00 D8 06 44
-41 44 44 2E 42 00 84 12 44 D7 40 A0 F2 D7 03 42
-49 54 84 12 44 D7 00 B0 1E D8 05 42 49 54 2E 42
-84 12 44 D7 40 B0 2A D8 03 42 49 43 84 12 44 D7
-00 C0 38 D8 05 42 49 43 2E 42 84 12 44 D7 40 C0
-44 D8 03 42 49 53 84 12 44 D7 00 D0 52 D8 05 42
-49 53 2E 42 84 12 44 D7 40 D0 00 00 03 58 4F 52
-84 12 44 D7 00 E0 6C D8 05 58 4F 52 2E 42 84 12
-44 D7 40 E0 9E D7 03 41 4E 44 84 12 44 D7 00 F0
-86 D8 05 41 4E 44 2E 42 84 12 44 D7 40 F0 6E C4
-FE D5 A4 D8 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10
-3A F0 0F 00 82 DA C4 1D 4A 3F D8 D7 03 52 52 43
-84 12 9E D8 00 10 BC D8 05 52 52 43 2E 42 84 12
-9E D8 40 10 C8 D8 04 53 57 50 42 00 84 12 9E D8
-80 10 D6 D8 03 52 52 41 84 12 9E D8 00 11 E4 D8
-05 52 52 41 2E 42 84 12 9E D8 40 11 F0 D8 03 53
-58 54 84 12 9E D8 80 11 00 00 04 50 55 53 48 00
-84 12 9E D8 00 12 0A D9 06 50 55 53 48 2E 42 00
-84 12 9E D8 40 12 5E D8 04 43 41 4C 4C 00 84 12
-9E D8 80 12 34 C2 2C 00 FE D5 F6 D6 3E D9 59 42
-C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00
-02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
-5A 0E A8 3F 1A 53 0E 4A 87 12 B0 C5 C2 C7 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 14 CC 18 D9
-05 50 55 53 48 4D 84 12 34 D9 00 15 80 D9 04 50
-4F 50 4D 00 84 12 34 D9 00 17 6E C4 6A D5 A0 D9
-82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53
-CA 1D 3E 40 2C 00 B0 12 2A C2 42 C8 62 C9 E0 C3
-AE CC F6 D6 C6 D9 0A 4E 3E 4F 1A 83 2A 92 CA 2F
-8A 10 5A 06 6F 3F FE D8 04 52 52 43 4D 00 84 12
-9A D9 50 00 D8 D9 04 52 52 41 4D 00 84 12 9A D9
-50 01 E6 D9 04 52 4C 41 4D 00 84 12 9A D9 50 02
-F4 D9 04 52 52 55 4D 00 84 12 9A D9 50 03 85 12
-00 3C 02 DA 03 53 3E 3D 85 12 00 38 14 DA 02 53
-3C 00 85 12 00 34 8E D9 03 30 3E 3D 85 12 00 30
-28 DA 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
-85 12 00 2C 3C DA 03 55 3E 3D 85 12 00 28 32 DA
-03 30 3C 3E 85 12 00 24 50 DA 02 30 3D 00 85 12
-00 20 98 C6 02 49 46 00 1A 42 CC 1D 8A 4E 00 00
-A2 53 CC 1D 0E 4A 30 4D 46 DA 04 54 48 45 4E 00
-1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 68 2F 88 DA 00 00 30 4D 0E D8 04 45
-4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53
-CC 1D 2F 83 8F 4A 00 00 E3 3F 7A DA 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 CC 1D 30 4D 92 D8 05 41 47 41 49 4E
-87 12 0E DA C2 DA 2A C2 00 00 05 57 48 49 4C 45
-87 12 68 DA 78 C2 2A C2 1E DA 06 52 45 50 45 41
-54 00 87 12 0E DA C2 DA 80 DA 2A C2 00 00 03 4A
-4D 50 87 12 A0 CC 0E DA C2 DA 2A C2 3E B0 00 10
-03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
-3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
-4A 4D 50 00 87 12 2C DB A0 CC 78 C2 C2 DA 2A C2
-62 DB 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
-CC 1D 00 00 30 4D 88 43 00 00 A4 3F 28 D9 03 42
-57 31 84 12 60 DB 00 00 7E DB 03 42 57 32 84 12
-60 DB 00 00 8A DB 03 42 57 33 84 12 60 DB 00 00
-A2 DB 3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F
-00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43
-00 00 61 3F 00 00 03 46 57 31 84 12 A0 DB 00 00
-C6 DB 03 46 57 32 84 12 A0 DB 00 00 D2 DB 03 46
-57 33 84 12 A0 DB 00 00 DE DB 04 47 4F 54 4F 00
-87 12 0E DA A0 CC 64 CA 2A C2 4E DB 05 3F 47 4F
-54 4F 87 12 2C DB A0 CC 64 CA 2A C2 8C CF 09 7B
-55 54 49 4C 49 54 59 7D 30 4D 08 D2 02 2E 53 00
-8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C
-2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12
-34 C2 3C 00 12 C7 B0 C5 34 C2 08 00 12 C7 34 C2
-3E 00 12 C7 48 C7 8A C2 8A C2 C4 C3 EA C3 66 DC
-62 C2 62 C2 2A C2 F0 C3 28 C4 F2 C2 7C C5 34 C2
-02 00 00 C4 68 DC 2A C2 1C DC 03 2E 52 53 8F 4E
-FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F 08 C9 01 3F
-2E 4E 30 40 7C C5 56 D3 05 57 4F 52 44 53 87 12
-BE C7 34 C2 03 00 5E C7 34 C2 D0 1D F2 C2 50 C4
-34 C2 10 00 44 C2 20 C3 3A D1 34 C2 00 00 44 C2
-34 C2 10 00 44 C2 20 C3 34 C2 00 00 F0 C3 44 C2
-28 C4 50 C4 20 C3 F2 C2 D2 C3 E0 C3 EC DC 62 C2
-62 C2 28 C4 44 C2 50 C4 20 C3 F2 C2 34 C2 02 00
-00 C4 CE DC 54 C2 E0 C3 2E DD 44 C2 34 C2 02 00
-28 C3 F2 C2 9A C2 50 C4 20 C3 FA C2 44 C2 B6 CA
-34 C2 7F 00 36 C3 80 C7 08 C3 34 C2 0F 00 36 C3
-34 C2 10 00 78 C2 28 C3 5E C7 DC C3 BA DC 62 C2
-2A C2 34 D1 03 4D 41 58 2E 9F 07 38 2F 53 30 4D
-34 DD 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 4C D0
-03 55 2E 52 87 12 B0 C2 9A C4 34 C2 00 00 FA C4
-2C C5 46 C5 BC C2 8A C2 28 C3 34 C2 00 00 38 DD
-5E C7 80 C7 2A C2 16 D2 04 44 55 4D 50 00 0D 12
-12 12 E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A C2
-78 C2 8A C2 8A C2 7C C5 34 C2 01 00 28 C3 7C C5
-34 C2 FE FF 36 C3 F0 C3 BE C7 28 C4 34 C2 07 00
-54 DD 48 C7 28 C4 34 C2 10 00 20 C3 28 C4 F0 C3
-28 C4 08 C3 34 C2 03 00 54 DD 12 C4 C0 DD 48 C7
-48 C7 28 C4 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4
-08 C3 34 C2 7E 00 46 DD 6E C4 38 DD 12 C7 12 C4
-DE DD 34 C2 10 00 00 C4 A8 DD BC C2 84 C4 FA C2
-2A C2
-@FFFE
-6E D3
-q
index 29983a4..fe2cc4e 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 C6 C0 5D 60 EA 05 00 18 00 F8 DD BA D4
-66 C6 78 C6 00 00 00 00
+10 00 54 C6 C0 5D 60 EA 05 00 18 00 64 DF 58 D4
+20 C6 2C C6 00 00 00 00
 @C200
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,435 +21,458 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C3 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 C2 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 C2 03 41 4E 44 3E FF 30 4D 84 C2 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C3
-06 4E 45 47 41 54 45 00 E8 3F 32 C3 03 41 42 53
-0E 93 E3 33 30 4D D8 C2 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C3 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C3 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 C3 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE C3 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E C3 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C C3 03 50 41 44
-85 12 E4 1C 0E C3 03 43 49 42 85 12 3C 1D 56 C4
-03 43 50 4C 85 12 54 00 60 C4 02 42 4C 00 85 12
-20 00 C2 C3 03 3E 49 4E 85 12 CA 1D 6A C4 04 42
-41 53 45 00 85 12 E2 1D C8 C2 05 53 54 41 54 45
-85 12 BE 1D B4 C3 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A C4 06 55 4D 2F 4D 4F 44 00 30 12 62 C2
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 C2 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E C4 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 C4 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 C4 02 23 53 00 87 12 FA C4
-32 C5 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 C5 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A C4 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 C4 02 55 2E 00 87 12 9A C4
-34 C2 00 00 2C C5 46 C5 7A C7 42 C7 2A C2 68 C3
-02 44 2E 00 87 12 9A C4 78 C2 8A C2 6E C3 2C C5
-9A C2 6A C5 46 C5 7A C7 42 C7 2A C2 50 C3 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 C5
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C C3 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 C5 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 C5 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 C6 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 C6 30 4D 38 C4
-03 4B 45 59 30 40 00 C6 D4 C5 06 41 43 43 45 50
-54 00 3C 40 DE C6 3B 40 AE C6 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D2 C6 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 C6 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C2 03 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D2 03 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 C6 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 0E 20 2E 9F 0C 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 82 48 CE 05
-30 4D D4 C6 2D 83 92 B3 DC 05 E7 23 FC 27 B2 40
-18 00 0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F
-3D 41 30 4D FA C5 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E4 3F 90 C5 04 45 4D 49 54 00 30 40 FE C6
-06 C7 04 45 43 48 4F 00 B2 40 82 48 CC C6 82 43
-E4 1D 30 4D AE C5 06 4E 4F 45 43 48 4F 00 B2 40
-30 4D CC C6 92 43 E4 1D 30 4D 64 C5 05 53 50 41
-43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F 3C C7
-06 53 50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40
-64 C7 EF 3F 66 C7 2D 83 1E 83 EB 23 3D 41 3E 4F
-30 4D 78 C5 04 54 59 50 45 00 0E 93 BE 24 1E 15
-3D 40 90 C7 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F
-92 C7 2D 83 91 83 02 00 F5 23 1D 17 AE 3C F6 C6
-04 28 43 52 29 00 87 12 BC C7 02 0D 0A 00 7A C7
-2A C2 E4 C5 02 43 52 00 30 40 A6 C7 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-B4 C7 07 43 41 50 53 5F 4F 4E B2 43 B4 1D 30 4D
-D2 C7 08 43 41 50 53 5F 4F 46 46 00 82 43 B4 1D
-30 4D 50 C7 82 53 22 00 87 12 34 C2 BC C7 68 CA
-EC C7 34 C2 22 00 3C C8 DA C7 0C C8 3D 41 6E 4E
-1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D A2 63 CC 1D
-30 4D 26 C7 82 2E 22 00 87 12 F8 C7 34 C2 7A C7
-68 CA 2A C2 00 00 04 57 4F 52 44 00 3C 40 C6 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 B4 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 D0 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C2 34 40 14 C2 30 4D 2F 53 2F 53 3E 4F 30 4D
-74 C4 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C
-82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04
-18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48
-02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42
-E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40 AC C9
-3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00
-10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80
-2C 00 5A 93 B8 23 B1 43 02 00 CE 3F AE C9 0E 93
-32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00
-00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20
-0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
-39 80 30 00 79 90 0A 00 05 28 79 80 07 00 79 90
-0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15
-B0 12 B0 C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
-04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24
-3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00
-BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
-00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
-2F 53 30 4D 12 C7 07 45 58 45 43 55 54 45 0A 4E
-3E 4F 00 4A 26 C3 01 2C 1A 42 CC 1D A2 53 CC 1D
-8A 4E 00 00 3E 4F 30 4D 66 CA 87 4C 49 54 45 52
-41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D
-BA 40 34 C2 00 00 8A 4E 02 00 3E 4F 32 B0 00 02
-32 C0 00 02 F1 23 30 4D E2 C7 05 43 4F 55 4E 54
-2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D 87 12 6E C4
-3C C8 D4 CA 3D 40 E0 CA E0 22 3E 4F 3D 41 30 4D
-E2 CA 0A 4E 3E 4F 3D 40 F8 CA 38 27 3D 40 CE CA
-1A E2 BE 1D B9 27 B3 23 FA CA 3E 4F 3D 40 CE CA
-C0 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00
-3D 40 82 CD CD 3F 56 CA 08 45 56 41 4C 55 41 54
-45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12
-2A C2 BE CA 36 CB B2 41 CA 1D B2 41 C8 1D B2 41
-C6 1D 3D 41 30 4D 4C C4 04 51 55 49 54 00 31 40
-E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18
-B0 12 2A C2 BC C7 05 0D 0A 6F 6B 20 7A C7 5A C4
-44 C2 64 C4 32 C6 42 C7 BE CA DE C2 92 C3 BC C7
-0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20 08 CC
-34 C2 30 FF C6 C5 D2 C3 BC C7 0B 46 52 41 4D 20
-66 75 6C 6C 21 20 08 CC 90 C4 F2 C2 E0 C3 64 CB
-BC C7 05 0D 0A 20 20 20 DC C3 6C CB B2 40 F4 D2
-58 D3 B2 40 A0 C6 94 C6 B2 40 FE C6 0E C7 B2 40
-A6 C7 BA C7 B2 40 00 C6 26 C6 82 43 7C DB 82 43
-88 DB 82 43 94 DB 82 43 C4 DB 82 43 D0 DB 82 43
-DC DB B2 40 0A 00 E2 1D 30 41 2A C6 05 41 42 4F
-52 54 3F 40 80 1C A3 3F 8F 93 02 00 75 26 B2 40
-82 48 CC C6 B0 12 C8 CB A2 B3 DC 05 FD 27 B2 40
-11 00 CE 05 E2 C2 03 02 92 C3 DC 05 38 40 F0 FF
-39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05
-F3 23 87 12 BC C7 04 1B 5B 37 6D 00 7A C7 34 C2
-E4 1D F2 C2 54 C2 E0 C3 6E CC BC C7 05 6C 69 6E
-65 3A 7A C7 34 C2 01 00 28 C3 7C C5 18 C7 7A C7
-BC C7 04 1B 5B 30 6D 00 7A C7 6A D2 DA C7 02 CC
-FC CB 86 41 42 4F 52 54 22 00 87 12 F8 C7 34 C2
-08 CC 68 CA 2A C2 94 C8 01 27 87 12 6E C4 3C C8
-9A C8 E0 C3 A8 CC 2A C2 02 CB 96 C4 81 5C 92 42
-C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
-AC CC 01 5D B2 43 BE 1D 30 4D B8 CC 83 5B 27 5D
-87 12 9A CC 34 C2 34 C2 68 CA 68 CA 2A C2 BE 4F
-02 00 3E 4F 30 4D A0 C7 82 49 53 00 87 12 90 C4
-F2 C2 E0 C3 00 CD D0 CC 34 C2 DE CC 68 CA 2A C2
-9A CC DE CC 2A C2 E8 CC 09 49 4D 4D 45 44 49 41
-54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D F4 C7
-87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
-00 00 A2 53 CC 1D 30 4D 48 CB 88 50 4F 53 54 50
-4F 4E 45 00 87 12 6E C4 3C C8 9A C8 54 C2 E0 C3
-A8 CC 92 C3 E0 C3 64 CD 34 C2 34 C2 68 CA 68 CA
-34 C2 68 CA 68 CA 2A C2 82 9F BC 1D 34 25 87 12
-BC C7 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
-68 21 0E CC 87 12 18 C8 6E C4 3C C8 8E CD 08 4E
-7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0
-1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D
-2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 36 C8 08 56
-41 52 49 41 42 4C 45 00 B0 12 84 CD BA 40 86 12
-FC FF 05 3D AA CA 08 43 4F 4E 53 54 41 4E 54 00
-B0 12 84 CD BA 40 85 12 FC FF 8A 4E FE FF 3E 4F
-F6 3C D6 CD 06 43 52 45 41 54 45 00 B0 12 84 CD
-BA 40 85 12 FC FF 8A 4A FE FF E9 3C 18 CB 05 44
-4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00 8A 4D
-02 00 3D 41 30 4D 0E CE 05 44 45 46 45 52 B0 12
-84 CD BA 40 30 40 FC FF BA 40 24 CE FE FF CF 3C
-CC CC 01 3A B0 12 84 CD BA 40 87 12 FC FF A2 83
-CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D 42 CE 81 3B
-82 93 BE 1D 24 27 87 12 34 C2 2A C2 68 CA 68 CD
-BA CC 2A C2 F4 CD 07 43 4F 4D 50 41 52 45 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
-1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
-30 4D 1E 43 30 4D 5E CE 86 5B 54 48 45 4E 5D 00
-30 4D 1E 83 30 4D A8 CE 86 5B 45 4C 53 45 5D 00
-87 12 34 C2 01 00 6E C4 3C C8 B0 CA 44 C2 E0 C3
-2E CF 8A C2 8A C2 BC C7 04 5B 49 46 5D 00 7E CE
-EA C3 EC CE FA C8 2C C3 DC C3 22 CF 8A C2 8A C2
-BC C7 06 5B 45 4C 53 45 5D 00 7E CE EA C3 10 CF
-FA C8 B2 CE 44 C2 E0 C3 0C CF 2C C3 DC C3 22 CF
-BC C7 06 5B 54 48 45 4E 5D 00 7E CE EA C3 22 CF
-B2 CE 54 C2 EA C3 2A CF 2A C2 DC C3 C6 CE FA C8
-BC C7 05 0D 0A 6B 6F 20 7A C7 5A C4 44 C2 64 C4
-32 C6 44 CF 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
-3E 4F 3D 40 C6 CE 30 4D B8 CE 84 5B 49 46 5D 00
-0E 93 3E 4F AD 27 30 4D 5A CF 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 87 12 6E C4 3C C8 9A C8 6C C2
-86 C3 2A C2 6A CF 89 5B 44 45 46 49 4E 45 44 5D
-87 12 6E C4 3C C8 9A C8 6C C2 2A C2 9E CF 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 7A CA 06 4D
-41 52 4B 45 52 00 B0 12 84 CD BA 40 84 12 FC FF
-BA 40 9C CF FE FF 9A 42 CE 1D 00 00 28 83 8A 48
-02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D A8 49
-FE FF 89 48 00 00 30 4D 08 CD 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40 E0 C3
-00 00 2E 53 30 4D 28 CE 84 45 4C 53 45 00 A2 52
-CC 1D 1A 42 CC 1D BA 40 DC C3 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D 74 C7 84 54 48 45 4E 00 9E 42
-CC 1D 00 00 3E 4F 30 4D 76 CE 85 42 45 47 49 4E
-30 40 C6 C5 28 D0 85 55 4E 54 49 4C 39 40 E0 C3
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 82 CC 85 41 47 41 49 4E 39 40 DC C3
-EF 3F BE CD 85 57 48 49 4C 45 87 12 EE CF 78 C2
-2A C2 20 CD 86 52 45 50 45 41 54 00 87 12 6C D0
-2E D0 2A C2 08 D0 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 CC 1D 1E 42 CC 1D BE 40 F0 C3 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D AE CF 84 4C
-4F 4F 50 00 39 40 12 C4 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-20 C6 85 2B 4C 4F 4F 50 39 40 00 C4 E5 3F BE D0
-85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 C4 00 00
-BA 40 DC C3 02 00 B2 50 06 00 CC 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D 00 D1 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 74 D0 0A 56 4F 43 41 42 55 4C 41 52
-59 00 87 12 FC CD 34 C2 10 00 34 C2 00 00 F0 C3
-34 C2 00 00 68 CA 12 C4 80 D1 C6 C5 34 C2 CE 1D
-44 C2 F2 C2 68 CA FA C2 14 CE 34 C2 D0 1D FA C2
-2A C2 98 CC 05 46 4F 52 54 48 84 12 9A D1 00 D5
-D6 D4 6E DD A4 D1 BE D4 F2 D0 38 DD 70 DC 8E D2
-A8 D2 46 DD 8E DC 46 C3 04 DC C2 CC 84 DC 00 00
-66 D0 04 41 4C 53 4F 00 3A 40 0E 00 39 40 D0 1D
-38 40 D2 1D B6 3F 3A CD 08 50 52 45 56 49 4F 55
-53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D A3 3F
-24 C8 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D 96 D0
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 D0 1D
-E0 1D 30 4D AA D1 08 D2 1C D2 2C D2 3A 4E 82 4A
-CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D E8 D1
-09 50 57 52 5F 53 54 41 54 45 84 12 24 D2 BA D4
-F8 DD 84 D0 09 52 53 54 5F 53 54 41 54 45 92 42
-0E 18 6E D2 92 42 0C 18 70 D2 EF 3F 60 D2 08 50
-57 52 5F 48 45 52 45 00 92 42 CE 1D 6E D2 92 42
-CC 1D 70 D2 30 4D 74 D2 08 52 53 54 5F 48 45 52
-45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18 EC 3F
-66 D1 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
-29 53 39 90 CE FF FA 23 B0 12 BC CB B2 40 F8 DD
-0C 18 B2 40 BA D4 0E 18 CA 3F EA CF 06 28 57 41
-52 4D 29 00 1E 42 08 18 87 12 BC C7 06 0D 1B 5B
-37 6D 23 00 7A C7 B0 C5 BC C7 27 20 46 61 73 74
-46 6F 72 74 68 20 56 32 30 33 20 32 34 4D 48 7A
-20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 7A C7 34 C2 30 FF C6 C5 28 C3 7C C5 BC C7
-0B 62 79 74 65 73 20 66 72 65 65 20 14 CC C2 D2
-04 57 41 52 4D 00 30 40 F4 D2 3A D0 04 43 4F 4C
-44 00 B2 40 04 A5 20 01 92 D3 30 01 B2 40 88 5A
-5C 01 B2 D0 EF 7F 06 02 B2 D0 00 04 04 02 B2 40
-08 FF 02 02 B2 D0 08 FF 06 02 B2 D0 F0 00 04 02
-F2 40 F0 00 22 03 F2 D0 F0 00 26 03 F2 D0 0F 00
-24 03 F2 40 A5 00 61 01 B2 40 86 00 62 01 82 43
-66 01 39 40 C0 00 B2 40 33 00 64 01 D2 43 61 01
-92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
-C2 A2 18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3
-B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 3A 40
-68 D3 39 40 CE FF 89 4A 00 00 29 53 FC 23 92 42
-02 18 F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43
-00 00 29 53 39 90 FF 1F FA 2B 31 40 E0 1C 3F 40
-80 1C 37 40 00 C2 36 40 BC C2 35 40 08 C2 34 40
-14 C2 B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01
-18 42 08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48
-08 18 B2 40 81 00 C0 05 A2 42 C6 05 82 43 C8 05
-F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40
-88 D4 18 42 08 18 38 90 0A 00 26 27 38 90 16 00
-23 2F 28 93 FC 22 F1 26 56 D3 D2 D1 09 41 53 53
-45 4D 42 4C 45 52 84 12 9A D1 E0 DA 8C DB 94 DA
-E0 DB 5A DA 14 DB 5E D7 00 00 50 DA 00 DB B2 DA
-F0 DA 6E D8 00 00 00 00 F2 DB CE D1 EC D2 85 48
-49 32 4C 4F 87 12 C6 C5 02 D0 68 CA BA CC D8 D1
-96 D4 2A C2 5C D3 04 43 4F 44 45 00 B0 12 84 CD
-A2 82 CC 1D 87 12 56 CE DC C3 CE D4 00 00 07 45
-4E 44 43 4F 44 45 87 12 F2 D1 68 CD 2A C2 8C D4
-03 41 53 4D 92 42 E0 1D C0 1D B2 40 9A D4 E0 1D
-E5 3F EE D4 06 45 4E 44 41 53 4D 00 92 42 C0 1D
-E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D
-BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40
-F2 D1 00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40
-B0 12 00 00 BA 40 2A C2 02 00 A2 52 CC 1D ED 3F
-38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D
-30 4D B0 12 2A C2 3C C8 9A C8 EA C3 96 D5 5C C9
-E0 C3 A8 CC B8 D5 98 D5 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53
-CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53
-CA 1D B0 12 2A C2 3C C8 5C C9 E0 C3 EA D5 E0 D5
-21 53 3E 90 10 00 BB 2D 30 41 EC D5 B2 41 CA 1D
-22 D3 30 41 87 12 6E C4 60 D5 FC D5 82 43 C4 1D
-92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 CA 1D B0 12 82 D5 0E 93
-04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40
-10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D
-1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92
-04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40
-30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D
-30 12 6C D6 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 C4 1D 92 53 CA 1D B0 12 CA D5 0E 20 B2 50
-10 00 C4 1D 3E 40 2B 00 B0 12 CA D5 32 24 92 92
-C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D
-D3 3F B0 12 CA D5 F9 23 B2 50 10 00 C4 1D 3E 40
-28 00 B0 12 82 D5 30 12 BC D6 67 3F 87 12 6E C4
-60 D5 F4 D6 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 C4 1D C2 3F B0 12 CA D5 DF 23 B2 50
-80 00 C4 1D 3E 40 28 00 B0 12 82 D5 B0 12 BA D5
-D5 23 3D 40 A8 CC 30 4D 8C C6 04 52 45 54 49 00
-87 12 34 C2 00 13 68 CA 2A C2 34 C2 2C 00 F4 D5
-EC D6 44 D7 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F
-44 D5 03 4D 4F 56 84 12 3A D7 00 40 52 D7 05 4D
-4F 56 2E 42 84 12 3A D7 40 40 00 00 03 41 44 44
-84 12 3A D7 00 50 6C D7 05 41 44 44 2E 42 84 12
-3A D7 40 50 78 D7 04 41 44 44 43 00 84 12 3A D7
-00 60 86 D7 06 41 44 44 43 2E 42 00 84 12 3A D7
-40 60 2A D7 04 53 55 42 43 00 84 12 3A D7 00 70
-A4 D7 06 53 55 42 43 2E 42 00 84 12 3A D7 40 70
-B2 D7 03 53 55 42 84 12 3A D7 00 80 C2 D7 05 53
-55 42 2E 42 84 12 3A D7 40 80 26 D5 03 43 4D 50
-84 12 3A D7 00 90 DC D7 05 43 4D 50 2E 42 84 12
-3A D7 40 90 14 D5 04 44 41 44 44 00 84 12 3A D7
-00 A0 F6 D7 06 44 41 44 44 2E 42 00 84 12 3A D7
-40 A0 E8 D7 03 42 49 54 84 12 3A D7 00 B0 14 D8
-05 42 49 54 2E 42 84 12 3A D7 40 B0 20 D8 03 42
-49 43 84 12 3A D7 00 C0 2E D8 05 42 49 43 2E 42
-84 12 3A D7 40 C0 3A D8 03 42 49 53 84 12 3A D7
-00 D0 48 D8 05 42 49 53 2E 42 84 12 3A D7 40 D0
-00 00 03 58 4F 52 84 12 3A D7 00 E0 62 D8 05 58
-4F 52 2E 42 84 12 3A D7 40 E0 94 D7 03 41 4E 44
-84 12 3A D7 00 F0 7C D8 05 41 4E 44 2E 42 84 12
-3A D7 40 F0 6E C4 F4 D5 9A D8 1A 42 C4 1D B2 F0
-70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F
-CE D7 03 52 52 43 84 12 94 D8 00 10 B2 D8 05 52
-52 43 2E 42 84 12 94 D8 40 10 BE D8 04 53 57 50
-42 00 84 12 94 D8 80 10 CC D8 03 52 52 41 84 12
-94 D8 00 11 DA D8 05 52 52 41 2E 42 84 12 94 D8
-40 11 E6 D8 03 53 58 54 84 12 94 D8 80 11 00 00
-04 50 55 53 48 00 84 12 94 D8 00 12 00 D9 06 50
-55 53 48 2E 42 00 84 12 94 D8 40 12 54 D8 04 43
-41 4C 4C 00 84 12 94 D8 80 12 34 C2 2C 00 F4 D5
-EC D6 34 D9 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-B0 C5 BC C7 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 0E CC 0E D9 05 50 55 53 48 4D 84 12 2A D9
-00 15 76 D9 04 50 4F 50 4D 00 84 12 2A D9 00 17
-6E C4 60 D5 96 D9 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A C2
-3C C8 5C C9 E0 C3 A8 CC EC D6 BC D9 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F F4 D8 04 52
-52 43 4D 00 84 12 90 D9 50 00 CE D9 04 52 52 41
-4D 00 84 12 90 D9 50 01 DC D9 04 52 4C 41 4D 00
-84 12 90 D9 50 02 EA D9 04 52 52 55 4D 00 84 12
-90 D9 50 03 85 12 00 3C F8 D9 03 53 3E 3D 85 12
-00 38 0A DA 02 53 3C 00 85 12 00 34 84 D9 03 30
-3E 3D 85 12 00 30 1E DA 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 32 DA 03 55 3E 3D
-85 12 00 28 28 DA 03 30 3C 3E 85 12 00 24 46 DA
-02 30 3D 00 85 12 00 20 98 C6 02 49 46 00 1A 42
-CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D 3C DA
-04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D 04 D8 04 45 4C 53 45 00 1A 42 CC 1D BA 40
-00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F
-70 DA 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D 88 D8
-05 41 47 41 49 4E 87 12 04 DA B8 DA 2A C2 00 00
-05 57 48 49 4C 45 87 12 5E DA 78 C2 2A C2 14 DA
-06 52 45 50 45 41 54 00 87 12 04 DA B8 DA 76 DA
-2A C2 00 00 03 4A 4D 50 87 12 9A CC 04 DA B8 DA
-2A C2 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 22 DB 9A CC
-78 C2 B8 DA 2A C2 58 DB 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00
-A4 3F 1E D9 03 42 57 31 84 12 56 DB 00 00 74 DB
-03 42 57 32 84 12 56 DB 00 00 80 DB 03 42 57 33
-84 12 56 DB 00 00 98 DB 3D 41 1A 42 CC 1D 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 96 DB 00 00 BC DB 03 46 57 32 84 12 96 DB
-00 00 C8 DB 03 46 57 33 84 12 96 DB 00 00 D4 DB
-04 47 4F 54 4F 00 87 12 04 DA 9A CC 5E CA 2A C2
-44 DB 05 3F 47 4F 54 4F 87 12 22 DB 9A CC 5E CA
-2A C2 86 CF 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-02 D2 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 C2 3C 00 0C C7 B0 C5 34 C2
-08 00 0C C7 34 C2 3E 00 0C C7 42 C7 8A C2 8A C2
-C4 C3 EA C3 5C DC 62 C2 62 C2 2A C2 F0 C3 28 C4
-F2 C2 7C C5 34 C2 02 00 00 C4 5E DC 2A C2 12 DC
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F 02 C9 01 3F 2E 4E 30 40 7C C5 50 D3 05 57
-4F 52 44 53 87 12 B8 C7 34 C2 03 00 58 C7 34 C2
-D0 1D F2 C2 50 C4 34 C2 10 00 44 C2 20 C3 34 D1
+00 00 02 31 2B 00 1E 53 30 4D 32 C3 02 31 2D 00
+1E 83 30 4D D8 C2 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C3
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C3 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C3 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C2 01 3E 3E 8F F9 3F 6C C3 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C3 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C3
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C3 03 43 49 42 85 12 3C 1D 24 C4 02 42
+4C 00 85 12 20 00 2E C4 04 42 41 53 45 00 85 12
+E2 1D C8 C2 05 53 54 41 54 45 85 12 BE 1D 86 C3
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 C3 06 55
+4D 2F 4D 4F 44 00 30 12 62 C2 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C2 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C4 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 C4
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 C4 02 23 53 00 87 12 B4 C4 EC C4 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C4 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE C3
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C4 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C4 02 55 2E 00 87 12 54 C4 34 C2 00 00 E6 C4
+00 C5 50 C7 18 C7 2A C2 46 C3 02 44 2E 00 87 12
+54 C4 78 C2 8A C2 4C C3 E6 C4 9A C2 24 C5 00 C5
+50 C7 18 C7 2A C2 84 C2 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C5 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 C2 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC C4 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A C5 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 20 C6 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 2C C6 30 4D 10 C4 03 4B 45 59 30 40
+BA C5 8E C5 06 41 43 43 45 50 54 00 3C 40 8C C6
+3B 40 5C C6 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 C6 92 B3 DC 05 05 24 18 42
+CC 05 38 90 0A 00 04 20 21 53 39 40 40 C6 4D 15
+B2 40 11 00 CE 05 E2 C2 03 02 30 41 B2 40 13 00
+CE 05 E2 D2 03 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E C6 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 CE 05 30 4D
+82 C6 2D 83 92 B3 DC 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41
+30 4D B4 C5 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 1D 00 00 AF 4F 02 00 91 3F 82 43 CA 1D 82 4E
+C6 1D B2 4F C8 1D 3E 4F 30 4D 4A C5 04 45 4D 49
+54 00 30 40 AC C6 DC C6 04 45 43 48 4F 00 B2 40
+82 48 7A C6 82 43 E4 1D 30 4D 68 C5 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A C6 92 43 E4 1D 30 4D
+1E C5 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 C7 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A C7 EF 3F 3C C7 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 C5 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 C7 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 C7 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 C6 04 28 43 52 29 00
+87 12 96 C7 02 0D 0A 00 50 C7 2A C2 9E C5 02 43
+52 00 30 40 80 C7 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E C7 07 43 41 50
+53 5F 4F 4E B2 43 B4 1D 30 4D AC C7 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 1D 30 4D 26 C7 82 53
+22 00 87 12 34 C2 96 C7 3A CA C6 C7 34 C2 22 00
+16 C8 B4 C7 E6 C7 3D 41 6E 4E 1E 83 82 5E CC 1D
+3E 4F 92 B3 CC 1D A2 63 CC 1D 30 4D FC C6 82 2E
+22 00 87 12 D2 C7 34 C2 50 C7 3A CA 2A C2 00 00
+04 57 4F 52 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 1D F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D
+1E 42 CC 1D 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 1D 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 C2 34 40 14 C2
+30 4D 94 C3 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+7E C9 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F 80 C9
+0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90
+2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00
+23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 6A C4 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D E8 C6 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 C3 01 2C 1A 42 CC 1D A2 53
+CC 1D 8A 4E 00 00 3E 4F 30 4D 38 CA 87 4C 49 54
+45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52
+CC 1D BA 40 34 C2 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D BC C7 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+87 12 CA C6 32 C4 16 C8 9A CA 3D 40 A2 CA EA 22
+50 3E A4 CA 0A 4E 3E 4F 3D 40 BA CA 40 27 3D 40
+94 CA 1A E2 BE 1D C1 27 BB 23 BC CA 3E 4F 3D 40
+94 CA C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 46 CD D5 3F 28 CA 08 45 56 41 4C 55
+41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15
+B0 12 2A C2 90 CA F8 CA B2 41 CA 1D B2 41 C8 1D
+B2 41 C6 1D 3D 41 30 4D 9C C3 04 51 55 49 54 00
+31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43
+08 18 B0 12 2A C2 96 C7 05 0D 0A 6F 6B 20 50 C7
+B2 C6 18 C7 90 CA DE C2 70 C3 96 C7 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 CA CB 34 C2 30 FF
+80 C5 AC C3 96 C7 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 CA CB 4A C4 F2 C2 B8 C3 26 CB 96 C7 05 0D
+0A 20 20 20 B4 C3 2E CB E4 C5 05 41 42 4F 52 54
+3F 40 80 1C C5 3F B2 40 9A D2 F6 D2 B2 40 4E C6
+42 C6 B2 40 3C 1D 2A C4 B2 40 AC C6 E4 C6 B2 40
+80 C7 94 C7 B2 40 BA C5 E0 C5 82 43 1A DB 82 43
+26 DB 82 43 32 DB 82 43 62 DB 82 43 6E DB 82 43
+7A DB B2 40 0A 00 E2 1D 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 7A C6 B0 12 92 CB
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02
+92 C3 DC 05 38 40 F0 FF 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 DC 05 F3 23 87 12 96 C7 04 1B
+5B 37 6D 00 50 C7 34 C2 E4 1D F2 C2 54 C2 B8 C3
+32 CC 96 C7 05 6C 69 6E 65 3A 50 C7 40 C3 36 C5
+EE C6 50 C7 96 C7 04 1B 5B 30 6D 00 50 C7 10 D2
+B4 C7 80 CB 7A CB 86 41 42 4F 52 54 22 00 87 12
+D2 C7 34 C2 CA CB 3A CA 2A C2 6E C8 01 27 87 12
+32 C4 16 C8 74 C8 B8 C3 6C CC 2A C2 C4 CA 50 C4
+81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B 82 43
+BE 1D 30 4D 70 CC 01 5D B2 43 BE 1D 30 4D 7C CC
+83 5B 27 5D 87 12 5E CC 34 C2 34 C2 3A CA 3A CA
+2A C2 BE 4F 02 00 3E 4F 30 4D 7A C7 82 49 53 00
+87 12 4A C4 F2 C2 B8 C3 C4 CC 94 CC 34 C2 A2 CC
+3A CA 2A C2 5E CC A2 CC 2A C2 AC CC 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00 00 00
+30 4D CE C7 87 52 45 43 55 52 53 45 19 42 CC 1D
+99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 0A CB 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 C4 16 C8 74 C8
+54 C2 B8 C3 6C CC 70 C3 B8 C3 28 CD 34 C2 34 C2
+3A CA 3A CA 34 C2 3A CA 3A CA 2A C2 82 9F BC 1D
+25 25 87 12 96 C7 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 D6 CB 90 CC 81 3B 82 93 BE 1D
+90 27 87 12 34 C2 2A C2 3A CA 2C CD 7E CC 2A C2
+BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
+BC 1D 30 4D 4A CD 01 3A 30 12 60 CD 87 12 F2 C7
+32 C4 16 C8 86 CD 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
+82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
+3D 41 30 41 10 C8 08 56 41 52 49 41 42 4C 45 00
+B0 12 7C CD BA 40 86 12 FC FF DC 3C 7C CA 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 7C CD BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C CE CD 06 43 52 45
+41 54 45 00 B0 12 7C CD BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C DA CA 05 44 4F 45 53 3E 1A 42 BA 1D
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 06 CE
+05 44 45 46 45 52 B0 12 7C CD BA 40 30 40 FC FF
+BA 40 1C CE FE FF A6 3C EC CD 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 76 CD 86 5B 54 48
+45 4E 5D 00 30 4D 6C CE 86 5B 45 4C 53 45 5D 00
+87 12 34 C2 01 00 32 C4 16 C8 82 CA 44 C2 B8 C3
+EA CE 8A C2 8A C2 96 C7 04 5B 49 46 5D 00 42 CE
+C2 C3 AC CE 72 C7 36 C3 B4 C3 E2 CE 8A C2 8A C2
+96 C7 06 5B 45 4C 53 45 5D 00 42 CE C2 C3 D0 CE
+72 C7 40 C3 44 C2 B8 C3 E2 CE 36 C3 B4 C3 E2 CE
+96 C7 06 5B 54 48 45 4E 5D 00 42 CE C2 C3 E2 CE
+40 C3 54 C2 C2 C3 86 CE 2A C2 72 C7 96 C7 05 0D
+0A 6B 6F 20 50 C7 B2 C6 CA C6 B4 C3 86 CE 78 CE
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 00 CF
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 C4
+16 C8 74 C8 6C C2 64 C3 2A C2 10 CF 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 C4 16 C8 74 C8 6C C2
+2A C2 44 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D 4C CA 06 4D 41 52 4B 45 52 00 B0 12 7C CD
+BA 40 84 12 FC FF BA 40 42 CF FE FF 9A 42 CE 1D
+00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
+19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D CC CC
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
+CC 1D BE 40 B8 C3 00 00 2E 53 30 4D 20 CE 84 45
+4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 B4 C3
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 4A C7 84 54
+48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 3A CE
+85 42 45 47 49 4E 30 40 80 C5 CE CF 85 55 4E 54
+49 4C 39 40 B8 C3 A2 52 CC 1D 1A 42 CC 1D 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D 46 CC 85 41 47 41
+49 4E 39 40 B4 C3 EF 3F B6 CD 85 57 48 49 4C 45
+87 12 94 CF 78 C2 2A C2 E4 CC 86 52 45 50 45 41
+54 00 87 12 12 D0 D4 CF 2A C2 AE CF 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
+C8 C3 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
+30 4D 54 CF 84 4C 4F 4F 50 00 39 40 EA C3 A2 52
+CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
+00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA C5 85 2B 4C 4F 4F 50 39 40
+D8 C3 E5 3F 64 D0 85 4C 45 41 56 45 1A 42 CC 1D
+BA 40 FA C3 00 00 BA 40 B4 C3 02 00 B2 50 06 00
+CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
+30 4D A6 D0 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D 1A D0 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 F4 CD 34 C2 10 00
+34 C2 00 00 C8 C3 34 C2 00 00 3A CA EA C3 26 D1
+80 C5 34 C2 CE 1D 44 C2 F2 C2 3A CA FA C2 0C CE
+34 C2 D0 1D FA C2 2A C2 5C CC 05 46 4F 52 54 48
+84 12 40 D1 AE DB 74 D4 1E DD 06 DF BA DD 98 D0
+E8 DC 16 DC 34 DC 4C DF F6 DC 3E DC 00 00 AA DD
+86 CC 2A DC 00 00 0C D0 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 1D 38 40 D2 1D B6 3F FE CC 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
+38 40 D0 1D A3 3F FE C7 04 4F 4E 4C 59 00 82 43
+D2 1D 30 4D 3C D0 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 1D E0 1D 30 4D 50 D1 AE D1 C2 D1
+D2 D1 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 8E D1 09 50 57 52 5F 53 54 41 54 45
+84 12 CA D1 58 D4 64 DF 2A D0 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 14 D2 92 42 0C 18 16 D2
+EF 3F 06 D2 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 1D 14 D2 92 42 CC 1D 16 D2 30 4D 1A D2 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
+CC 1D 0C 18 EC 3F 0C D1 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12
+86 CB B2 40 64 DF 0C 18 B2 40 58 D4 0E 18 CA 3F
+90 CF 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+96 C7 06 0D 1B 5B 37 6D 23 00 50 C7 6A C5 96 C7
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+50 C7 34 C2 30 FF 80 C5 28 C3 36 C5 96 C7 0B 62
+79 74 65 73 20 66 72 65 65 20 DC CB 68 D2 04 57
+41 52 4D 00 30 40 9A D2 E0 CF 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 92 D3 30 01 B2 40 88 5A 5C 01
+B2 D0 EF 7F 06 02 B2 D0 00 04 04 02 B2 40 08 FF
+02 02 B2 D0 08 FF 06 02 B2 D0 F0 00 04 02 F2 40
+F0 00 22 03 F2 D0 F0 00 26 03 F2 D0 0F 00 24 03
+F2 40 A5 00 61 01 B2 40 86 00 62 01 82 43 66 01
+39 40 80 01 B2 40 33 00 64 01 D2 43 61 01 92 D2
+9E 01 08 18 A2 93 08 18 01 24 59 07 38 40 59 14
+18 83 FE 23 19 83 FA 23 B2 D2 B0 01 92 C3 B0 01
+F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 3A 40 06 D3
+39 40 CE FF 89 4A 00 00 29 53 FC 23 92 42 02 18
+F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43 00 00
+29 53 39 90 FF 1F FA 2B 31 40 E0 1C 3F 40 80 1C
+37 40 00 C2 36 40 BC C2 35 40 08 C2 34 40 14 C2
+B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01 18 42
+08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
+B2 40 81 00 C0 05 A2 42 C6 05 82 43 C8 05 F2 D0
+03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 26 D4
+18 42 08 18 38 90 0A 00 2A 27 38 90 16 00 27 2F
+28 93 00 23 F5 26 F4 D2 78 D1 09 41 53 53 45 4D
+42 4C 45 52 84 12 40 D1 7E DA 2A DB 32 DA 7E DB
+F8 D9 B2 DA FC D6 00 00 EE D9 9E DA 50 DA 8E DA
+0C D8 00 00 00 00 90 DB 74 D1 92 D2 85 48 49 32
+4C 4F 87 12 80 C5 A8 CF 3A CA 7E CC 7E D1 34 D4
+2A C2 FA D2 04 43 4F 44 45 00 B0 12 7C CD A2 82
+CC 1D 87 12 6E CD B4 C3 6C D4 00 00 07 45 4E 44
+43 4F 44 45 87 12 98 D1 2C CD 2A C2 2A D4 03 41
+53 4D 92 42 E0 1D C0 1D B2 40 38 D4 E0 1D E5 3F
+8C D4 06 45 4E 44 41 53 4D 00 92 42 C0 1D E0 1D
+E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40
+87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40 98 D1
+00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40 B0 12
+00 00 BA 40 2A C2 02 00 A2 52 CC 1D ED 3F 38 40
+C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A 03 24
+7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D
+B0 12 2A C2 16 C8 74 C8 C2 C3 34 D5 2E C9 B8 C3
+6C CC 56 D5 36 D5 29 4E 39 90 86 12 02 20 2E 53
+30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
+84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53 CC 1D
+89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53 CA 1D
+B0 12 2A C2 16 C8 2E C9 B8 C3 88 D5 7E D5 21 53
+3E 90 10 00 BB 2D 30 41 8A D5 B2 41 CA 1D 22 D3
+30 41 87 12 32 C4 FE D4 9A D5 82 43 C4 1D 92 42
+CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00
+00 00 34 20 92 53 CA 1D B0 12 20 D5 0E 93 04 20
+B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40 10 03
+C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D 1B 3C
+2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92 04 20
+B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40 30 03
+C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D A2 53
+CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
+00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D 30 12
+0A D6 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
+C4 1D 92 53 CA 1D B0 12 68 D5 0E 20 B2 50 10 00
+C4 1D 3E 40 2B 00 B0 12 68 D5 32 24 92 92 C6 1D
+CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D D3 3F
+B0 12 68 D5 F9 23 B2 50 10 00 C4 1D 3E 40 28 00
+B0 12 20 D5 30 12 5A D6 67 3F 87 12 32 C4 FE D4
+92 D6 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
+82 00 C4 1D C2 3F B0 12 68 D5 DF 23 B2 50 80 00
+C4 1D 3E 40 28 00 B0 12 20 D5 B0 12 58 D5 D5 23
+3D 40 6C CC 30 4D 3A C6 04 52 45 54 49 00 87 12
+34 C2 00 13 3A CA 2A C2 34 C2 2C 00 92 D5 8A D6
+E2 D6 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F E2 D4
+03 4D 4F 56 84 12 D8 D6 00 40 F0 D6 05 4D 4F 56
+2E 42 84 12 D8 D6 40 40 00 00 03 41 44 44 84 12
+D8 D6 00 50 0A D7 05 41 44 44 2E 42 84 12 D8 D6
+40 50 16 D7 04 41 44 44 43 00 84 12 D8 D6 00 60
+24 D7 06 41 44 44 43 2E 42 00 84 12 D8 D6 40 60
+C8 D6 04 53 55 42 43 00 84 12 D8 D6 00 70 42 D7
+06 53 55 42 43 2E 42 00 84 12 D8 D6 40 70 50 D7
+03 53 55 42 84 12 D8 D6 00 80 60 D7 05 53 55 42
+2E 42 84 12 D8 D6 40 80 C4 D4 03 43 4D 50 84 12
+D8 D6 00 90 7A D7 05 43 4D 50 2E 42 84 12 D8 D6
+40 90 B2 D4 04 44 41 44 44 00 84 12 D8 D6 00 A0
+94 D7 06 44 41 44 44 2E 42 00 84 12 D8 D6 40 A0
+86 D7 03 42 49 54 84 12 D8 D6 00 B0 B2 D7 05 42
+49 54 2E 42 84 12 D8 D6 40 B0 BE D7 03 42 49 43
+84 12 D8 D6 00 C0 CC D7 05 42 49 43 2E 42 84 12
+D8 D6 40 C0 D8 D7 03 42 49 53 84 12 D8 D6 00 D0
+E6 D7 05 42 49 53 2E 42 84 12 D8 D6 40 D0 00 00
+03 58 4F 52 84 12 D8 D6 00 E0 00 D8 05 58 4F 52
+2E 42 84 12 D8 D6 40 E0 32 D7 03 41 4E 44 84 12
+D8 D6 00 F0 1A D8 05 41 4E 44 2E 42 84 12 D8 D6
+40 F0 32 C4 92 D5 38 D8 1A 42 C4 1D B2 F0 70 00
+C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F 6C D7
+03 52 52 43 84 12 32 D8 00 10 50 D8 05 52 52 43
+2E 42 84 12 32 D8 40 10 5C D8 04 53 57 50 42 00
+84 12 32 D8 80 10 6A D8 03 52 52 41 84 12 32 D8
+00 11 78 D8 05 52 52 41 2E 42 84 12 32 D8 40 11
+84 D8 03 53 58 54 84 12 32 D8 80 11 00 00 04 50
+55 53 48 00 84 12 32 D8 00 12 9E D8 06 50 55 53
+48 2E 42 00 84 12 32 D8 40 12 F2 D7 04 43 41 4C
+4C 00 84 12 32 D8 80 12 34 C2 2C 00 92 D5 8A D6
+D2 D8 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90
+00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
+10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A C5
+96 C7 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
+D6 CB AC D8 05 50 55 53 48 4D 84 12 C8 D8 00 15
+14 D9 04 50 4F 50 4D 00 84 12 C8 D8 00 17 32 C4
+FE D4 34 D9 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
+CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A C2 16 C8
+2E C9 B8 C3 6C CC 8A D6 5A D9 0A 4E 3E 4F 1A 83
+2A 92 CA 2F 8A 10 5A 06 6F 3F 92 D8 04 52 52 43
+4D 00 84 12 2E D9 50 00 6C D9 04 52 52 41 4D 00
+84 12 2E D9 50 01 7A D9 04 52 4C 41 4D 00 84 12
+2E D9 50 02 88 D9 04 52 52 55 4D 00 84 12 2E D9
+50 03 85 12 00 3C 96 D9 03 53 3E 3D 85 12 00 38
+A8 D9 02 53 3C 00 85 12 00 34 22 D9 03 30 3E 3D
+85 12 00 30 BC D9 02 30 3C 00 85 12 00 30 00 00
+02 55 3C 00 85 12 00 2C D0 D9 03 55 3E 3D 85 12
+00 28 C6 D9 03 30 3C 3E 85 12 00 24 E4 D9 02 30
+3D 00 85 12 00 20 46 C6 02 49 46 00 1A 42 CC 1D
+8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D DA D9 04 54
+48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
+A2 D7 04 45 4C 53 45 00 1A 42 CC 1D BA 40 00 3C
+00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F 0E DA
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D
+2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 CC 1D 30 4D 26 D8 05 41
+47 41 49 4E 87 12 A2 D9 56 DA 2A C2 00 00 05 57
+48 49 4C 45 87 12 FC D9 78 C2 2A C2 B2 D9 06 52
+45 50 45 41 54 00 87 12 A2 D9 56 DA 14 DA 2A C2
+00 00 03 4A 4D 50 87 12 5E CC A2 D9 56 DA 2A C2
+3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
+06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
+00 00 04 3F 4A 4D 50 00 87 12 C0 DA 5E CC 78 C2
+56 DA 2A C2 F6 DA 3D 41 08 4E 3E 4F 2A 48 0A 93
+04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00 A4 3F
+BC D8 03 42 57 31 84 12 F4 DA 00 00 12 DB 03 42
+57 32 84 12 F4 DA 00 00 1E DB 03 42 57 33 84 12
+F4 DA 00 00 36 DB 3D 41 1A 42 CC 1D 28 4E 08 93
+08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F
+30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
+34 DB 00 00 5A DB 03 46 57 32 84 12 34 DB 00 00
+66 DB 03 46 57 33 84 12 34 DB 00 00 72 DB 04 47
+4F 54 4F 00 87 12 A2 D9 5E CC 30 CA 2A C2 E2 DA
+05 3F 47 4F 54 4F 87 12 C0 DA 5E CC 30 CA 2A C2
+2C CF 07 7B 54 4F 4F 4C 53 7D 30 4D 9E D4 03 41
+4E 44 3E FF 30 4D A8 D1 02 2E 53 00 8F 4E FE FF
+0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
+FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C2 3C 00
+E2 C6 6A C5 34 C2 08 00 E2 C6 34 C2 3E 00 E2 C6
+18 C7 8A C2 8A C2 96 C3 C2 C3 02 DC 62 C2 62 C2
+2A C2 C8 C3 00 C4 F2 C2 36 C5 34 C2 02 00 D8 C3
+04 DC 2A C2 B8 DB 03 2E 52 53 8F 4E FE FF 8F 41
+FA FF 3E 40 E0 1C D3 3F D4 C8 01 3F 2E 4E 30 40
+36 C5 34 D2 03 50 41 44 85 12 E4 1C EE D2 05 57
+4F 52 44 53 87 12 92 C7 34 C2 03 00 2E C7 34 C2
+D0 1D F2 C2 38 DC 34 C2 10 00 44 C2 20 C3 DA D0
 34 C2 00 00 44 C2 34 C2 10 00 44 C2 20 C3 34 C2
-00 00 F0 C3 44 C2 28 C4 50 C4 20 C3 F2 C2 D2 C3
-E0 C3 E2 DC 62 C2 62 C2 28 C4 44 C2 50 C4 20 C3
-F2 C2 34 C2 02 00 00 C4 C4 DC 54 C2 E0 C3 24 DD
-44 C2 34 C2 02 00 28 C3 F2 C2 9A C2 50 C4 20 C3
-FA C2 44 C2 B0 CA 34 C2 7F 00 36 C3 7A C7 08 C3
-34 C2 0F 00 36 C3 34 C2 10 00 78 C2 28 C3 58 C7
-DC C3 B0 DC 62 C2 2A C2 2E D1 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 2A DD 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 46 D0 03 55 2E 52 87 12 B0 C2 9A C4
-34 C2 00 00 FA C4 2C C5 46 C5 BC C2 8A C2 28 C3
-34 C2 00 00 2E DD 58 C7 7A C7 2A C2 10 D2 04 44
+00 00 C8 C3 44 C2 00 C4 38 DC 20 C3 F2 C2 AC C3
+B8 C3 92 DC 62 C2 62 C2 00 C4 44 C2 38 DC 20 C3
+F2 C2 34 C2 02 00 D8 C3 74 DC 54 C2 B8 C3 D4 DC
+44 C2 34 C2 02 00 28 C3 F2 C2 9A C2 38 DC 20 C3
+FA C2 44 C2 82 CA 34 C2 7F 00 B2 DB 50 C7 08 C3
+34 C2 0F 00 B2 DB 34 C2 10 00 78 C2 28 C3 2E C7
+B4 C3 60 DC 62 C2 2A C2 D4 D0 03 4D 41 58 2E 9F
+07 38 2F 53 30 4D DA DC 03 4D 49 4E 2E 9F F9 3B
+3E 4F 30 4D EC CF 03 55 2E 52 87 12 B0 C2 54 C4
+34 C2 00 00 B4 C4 E6 C4 00 C5 BC C2 8A C2 28 C3
+34 C2 00 00 DE DC 2E C7 50 C7 2A C2 B6 D1 04 44
 55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D
-2E 5F B0 12 2A C2 78 C2 8A C2 8A C2 7C C5 34 C2
-01 00 28 C3 7C C5 34 C2 FE FF 36 C3 F0 C3 B8 C7
-28 C4 34 C2 07 00 4A DD 42 C7 28 C4 34 C2 10 00
-20 C3 28 C4 F0 C3 28 C4 08 C3 34 C2 03 00 4A DD
-12 C4 B6 DD 42 C7 42 C7 28 C4 34 C2 10 00 20 C3
-28 C4 F0 C3 28 C4 08 C3 34 C2 7E 00 3C DD 6E C4
-2E DD 0C C7 12 C4 D4 DD 34 C2 10 00 00 C4 9E DD
-BC C2 84 C4 FA C2 2A C2
+2E 5F B0 12 2A C2 78 C2 8A C2 8A C2 36 C5 34 C2
+01 00 28 C3 36 C5 34 C2 FE FF B2 DB C8 C3 92 C7
+00 C4 34 C2 07 00 FA DC 18 C7 00 C4 34 C2 10 00
+20 C3 00 C4 C8 C3 00 C4 08 C3 34 C2 03 00 FA DC
+EA C3 66 DD 18 C7 18 C7 00 C4 34 C2 10 00 20 C3
+00 C4 C8 C3 00 C4 08 C3 34 C2 7E 00 EC DC 32 C4
+DE DC E2 C6 EA C3 84 DD 34 C2 10 00 D8 C3 4E DD
+BC C2 3E C4 FA C2 2A C2 A2 DB 0A 7B 46 49 58 50
+4F 49 4E 54 7D 00 30 4D 5C D4 05 48 4F 4C 44 53
+39 4F 09 5E 18 42 B2 1D 19 83 1E 83 04 28 18 83
+E8 49 00 00 F9 3F 82 48 B2 1D 3E 4F 30 4D 4A D1
+02 46 2B 00 BF 5F 02 00 3E 6F 30 4D E0 DD 02 46
+2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D EE DD
+02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00
+19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53
+09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E
+01 20 09 96 02 28 09 86 0A 7E 07 67 04 64 15 83
+09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E
+12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3
+06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
+00 DE 03 46 23 53 29 4F 8F 4E 00 00 2F 83 8F 49
+00 00 2B 42 B2 90 0A 00 E2 1D 01 20 1B 53 0C 43
+A2 4F C0 04 92 42 E2 1D C8 04 9F 42 E4 04 00 00
+1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50
+30 00 CC 4E 90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43
+00 00 39 40 90 1D 75 3F 82 DE 02 46 2A 00 92 4F
+04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E
+E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42
+E8 04 30 4D DA DE 02 46 2E 00 87 12 54 C4 44 C2
+B0 C2 4C C3 86 DE 34 C2 2C 00 16 C5 E6 C4 BC C2
+24 C5 00 C5 50 C7 18 C7 2A C2 4E D2 03 53 3E 46
+2F 83 8F 43 00 00 30 4D 2C DF 02 32 40 00 2F 83
+9F 4E 02 00 00 00 2E 4E 30 4D 3A DF 09 32 43 4F
+4E 53 54 41 4E 54 87 12 F4 CD 3A CA 3A CA 0C CE
+3E DF 2A C2
 @FFFE
-68 D3
+06 D3
 q
diff --git a/MSP_EXP430FR5739_24MHz_921600bds.txt b/MSP_EXP430FR5739_24MHz_921600bds.txt
new file mode 100644 (file)
index 0000000..e974106
--- /dev/null
@@ -0,0 +1,479 @@
+@1800
+10 00 5A C6 C0 5D 00 24 05 00 18 00 72 DF 66 D4
+20 C6 32 C6 00 00 00 00
+@C200
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C2
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 C2 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 C2 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 C2 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E C2 02 3E 52 00
+0E 12 3E 4F 30 4D 96 C2 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 C2 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C C2 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 C2 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C3 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 C2 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 C3 02 31 2D 00
+1E 83 30 4D D8 C2 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C C3
+02 30 3D 00 1E 83 0E 7E 30 4D 60 C3 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A C3 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC C2 01 3E 3E 8F F9 3F 6C C3 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 C3 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E C3
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E C3 03 43 49 42 85 12 3C 1D 24 C4 02 42
+4C 00 85 12 20 00 2E C4 04 42 41 53 45 00 85 12
+E2 1D C8 C2 05 53 54 41 54 45 85 12 BE 1D 86 C3
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 C3 06 55
+4D 2F 4D 4F 44 00 30 12 62 C2 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 C2 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 C4 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 C4
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 C4 02 23 53 00 87 12 B4 C4 EC C4 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 C4 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE C3
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 C4 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E C4 02 55 2E 00 87 12 54 C4 34 C2 00 00 E6 C4
+00 C5 5C C7 24 C7 2A C2 46 C3 02 44 2E 00 87 12
+54 C4 78 C2 8A C2 4C C3 E6 C4 9A C2 24 C5 00 C5
+5C C7 24 C7 2A C2 84 C2 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 C5 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 C2 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC C4 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A C5 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 20 C6 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 32 C6 30 4D 10 C4 03 4B 45 59 30 40
+BA C5 8E C5 06 41 43 43 45 50 54 00 3C 40 98 C6
+3B 40 62 C6 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C C6 92 B3 DC 05 05 24 18 42
+CC 05 38 90 0A 00 04 20 21 53 39 40 46 C6 4D 15
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02
+30 41 B2 40 13 00 CE 05 E2 D2 03 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 C6 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+CC 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 DC 05 FD 27 82 48 CE 05 30 4D 8E C6 2D 83
+92 B3 DC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41 30 4D B4 C5
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00
+AF 4F 02 00 8B 3F 82 43 CA 1D 82 4E C6 1D B2 4F
+C8 1D 3E 4F 30 4D 4A C5 04 45 4D 49 54 00 30 40
+B8 C6 E8 C6 04 45 43 48 4F 00 B2 40 82 48 86 C6
+82 43 E4 1D 30 4D 68 C5 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 C6 92 43 E4 1D 30 4D 1E C5 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E C7 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 C7 EF 3F 48 C7 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 C5 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 C7 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 C7 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 C6 04 28 43 52 29 00 87 12 A2 C7
+02 0D 0A 00 5C C7 2A C2 9E C5 02 43 52 00 30 40
+8C C7 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A C7 07 43 41 50 53 5F 4F 4E
+B2 43 B4 1D 30 4D B8 C7 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 1D 30 4D 32 C7 82 53 22 00 87 12
+34 C2 A2 C7 46 CA D2 C7 34 C2 22 00 22 C8 C0 C7
+F2 C7 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
+CC 1D A2 63 CC 1D 30 4D 08 C7 82 2E 22 00 87 12
+DE C7 34 C2 5C C7 46 CA 2A C2 00 00 04 57 4F 52
+44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
+09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
+00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
+F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
+20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D
+08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
+2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B
+0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
+1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
+F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
+19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
+8F 4C 00 00 35 40 08 C2 34 40 14 C2 30 4D 94 C3
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A C9 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C C9 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 C6 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 C3 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
+00 00 3E 4F 30 4D 44 CA 87 4C 49 54 45 52 41 4C
+82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
+34 C2 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 C7 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 C6
+32 C4 22 C8 A6 CA 3D 40 AE CA EA 22 50 3E B0 CA
+0A 4E 3E 4F 3D 40 C6 CA 40 27 3D 40 A0 CA 1A E2
+BE 1D C1 27 BB 23 C8 CA 3E 4F 3D 40 A0 CA C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 CD D5 3F 34 CA 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A C2
+9C CA 04 CB B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
+3D 41 30 4D 9C C3 04 51 55 49 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12
+2A C2 A2 C7 05 0D 0A 6F 6B 20 5C C7 BE C6 24 C7
+9C CA DE C2 70 C3 A2 C7 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 CB 34 C2 30 FF 80 C5 AC C3
+A2 C7 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 CB
+4A C4 F2 C2 B8 C3 32 CB A2 C7 05 0D 0A 20 20 20
+B4 C3 3A CB E4 C5 05 41 42 4F 52 54 3F 40 80 1C
+C5 3F B2 40 A6 D2 02 D3 B2 40 54 C6 48 C6 B2 40
+3C 1D 2A C4 B2 40 B8 C6 F0 C6 B2 40 8C C7 A0 C7
+B2 40 BA C5 E0 C5 82 43 28 DB 82 43 34 DB 82 43
+40 DB 82 43 70 DB 82 43 7C DB 82 43 88 DB B2 40
+0A 00 E2 1D 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 C6 B0 12 9E CB A2 B3 DC 05
+FD 27 B2 40 11 00 CE 05 E2 C2 03 02 92 C3 DC 05
+38 40 F0 FF 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 DC 05 F3 23 87 12 A2 C7 04 1B 5B 37 6D 00
+5C C7 34 C2 E4 1D F2 C2 54 C2 B8 C3 3E CC A2 C7
+05 6C 69 6E 65 3A 5C C7 40 C3 36 C5 FA C6 5C C7
+A2 C7 04 1B 5B 30 6D 00 5C C7 1C D2 C0 C7 8C CB
+86 CB 86 41 42 4F 52 54 22 00 87 12 DE C7 34 C2
+D6 CB 46 CA 2A C2 7A C8 01 27 87 12 32 C4 22 C8
+80 C8 B8 C3 78 CC 2A C2 D0 CA 50 C4 81 5C 92 42
+C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
+7C CC 01 5D B2 43 BE 1D 30 4D 88 CC 83 5B 27 5D
+87 12 6A CC 34 C2 34 C2 46 CA 46 CA 2A C2 BE 4F
+02 00 3E 4F 30 4D 86 C7 82 49 53 00 87 12 4A C4
+F2 C2 B8 C3 D0 CC A0 CC 34 C2 AE CC 46 CA 2A C2
+6A CC AE CC 2A C2 B8 CC 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D DA C7
+87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
+00 00 A2 53 CC 1D 30 4D 16 CB 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 C4 22 C8 80 C8 54 C2 B8 C3
+78 CC 70 C3 B8 C3 34 CD 34 C2 34 C2 46 CA 46 CA
+34 C2 46 CA 46 CA 2A C2 82 9F BC 1D 25 25 87 12
+A2 C7 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 CB 9C CC 81 3B 82 93 BE 1D 90 27 87 12
+34 C2 2A C2 46 CA 38 CD 8A CC 2A C2 BA 40 87 12
+FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D
+56 CD 01 3A 30 12 6C CD 87 12 FE C7 32 C4 22 C8
+92 CD 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49 B8 1D
+82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41 30 41
+1C C8 08 56 41 52 49 41 42 4C 45 00 B0 12 88 CD
+BA 40 86 12 FC FF DC 3C 88 CA 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 CD BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA CD 06 43 52 45 41 54 45 00
+B0 12 88 CD BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 CA 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 CE 05 44 45 46
+45 52 B0 12 88 CD BA 40 30 40 FC FF BA 40 28 CE
+FE FF A6 3C F8 CD 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 CD 86 5B 54 48 45 4E 5D 00
+30 4D 78 CE 86 5B 45 4C 53 45 5D 00 87 12 34 C2
+01 00 32 C4 22 C8 8E CA 44 C2 B8 C3 F6 CE 8A C2
+8A C2 A2 C7 04 5B 49 46 5D 00 4E CE C2 C3 B8 CE
+7E C7 36 C3 B4 C3 EE CE 8A C2 8A C2 A2 C7 06 5B
+45 4C 53 45 5D 00 4E CE C2 C3 DC CE 7E C7 40 C3
+44 C2 B8 C3 EE CE 36 C3 B4 C3 EE CE A2 C7 06 5B
+54 48 45 4E 5D 00 4E CE C2 C3 EE CE 40 C3 54 C2
+C2 C3 92 CE 2A C2 7E C7 A2 C7 05 0D 0A 6B 6F 20
+5C C7 BE C6 D6 C6 B4 C3 92 CE 84 CE 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C CF 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 C4 22 C8 80 C8
+6C C2 64 C3 2A C2 1C CF 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 C4 22 C8 80 C8 6C C2 2A C2 50 CF
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 CA
+06 4D 41 52 4B 45 52 00 B0 12 88 CD BA 40 84 12
+FC FF BA 40 4E CF FE FF 9A 42 CE 1D 00 00 28 83
+8A 48 02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D
+A8 49 FE FF 89 48 00 00 30 4D D8 CC 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40
+B8 C3 00 00 2E 53 30 4D 2C CE 84 45 4C 53 45 00
+A2 52 CC 1D 1A 42 CC 1D BA 40 B4 C3 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 C7 84 54 48 45 4E 00
+9E 42 CC 1D 00 00 3E 4F 30 4D 46 CE 85 42 45 47
+49 4E 30 40 80 C5 DA CF 85 55 4E 54 49 4C 39 40
+B8 C3 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 CC 85 41 47 41 49 4E 39 40
+B4 C3 EF 3F C2 CD 85 57 48 49 4C 45 87 12 A0 CF
+78 C2 2A C2 F0 CC 86 52 45 50 45 41 54 00 87 12
+1E D0 E0 CF 2A C2 BA CF 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 1D 1E 42 CC 1D BE 40 C8 C3 FE FF
+A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D 60 CF
+84 4C 4F 4F 50 00 39 40 EA C3 A2 52 CC 1D 1A 42
+CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83
+00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA C5 85 2B 4C 4F 4F 50 39 40 D8 C3 E5 3F
+70 D0 85 4C 45 41 56 45 1A 42 CC 1D BA 40 FA C3
+00 00 BA 40 B4 C3 02 00 B2 50 06 00 CC 1D A2 53
+00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D B2 D0
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 D0 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 CE 34 C2 10 00 34 C2 00 00
+C8 C3 34 C2 00 00 46 CA EA C3 32 D1 80 C5 34 C2
+CE 1D 44 C2 F2 C2 46 CA FA C2 18 CE 34 C2 D0 1D
+FA C2 2A C2 68 CC 05 46 4F 52 54 48 84 12 4C D1
+BC DB 82 D4 2C DD 14 DF C8 DD A4 D0 F6 DC 24 DC
+42 DC 5A DF 04 DD 4C DC 00 00 B8 DD 92 CC 38 DC
+00 00 18 D0 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 1D 38 40 D2 1D B6 3F 0A CD 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D
+A3 3F 0A C8 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D
+48 D0 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 1D E0 1D 30 4D 5C D1 BA D1 CE D1 DE D1 3A 4E
+82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A D1 09 50 57 52 5F 53 54 41 54 45 84 12 D6 D1
+66 D4 72 DF 36 D0 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 D2 92 42 0C 18 22 D2 EF 3F 12 D2
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 1D 20 D2
+92 42 CC 1D 22 D2 30 4D 26 D2 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18
+EC 3F 18 D1 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CE FF FA 23 B0 12 92 CB B2 40
+72 DF 0C 18 B2 40 66 D4 0E 18 CA 3F 9C CF 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 C7 06 0D
+1B 5B 37 6D 23 00 5C C7 6A C5 A2 C7 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C C7 34 C2
+30 FF 80 C5 28 C3 36 C5 A2 C7 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 CB 74 D2 04 57 41 52 4D 00
+30 40 A6 D2 EC CF 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 92 D3 30 01 B2 40 88 5A 5C 01 B2 D0 EF 7F
+06 02 B2 D0 00 04 04 02 B2 40 08 FF 02 02 B2 D0
+08 FF 06 02 B2 D0 F0 00 04 02 F2 40 F0 00 22 03
+F2 D0 F0 00 26 03 F2 D0 0F 00 24 03 F2 40 A5 00
+61 01 B2 40 86 00 62 01 82 43 66 01 39 40 80 01
+B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18
+A2 93 08 18 01 24 59 07 38 40 59 14 18 83 FE 23
+19 83 FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00
+2A 03 F2 C0 40 00 A1 04 3A 40 12 D3 39 40 CE FF
+89 4A 00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40
+18 00 0A 18 39 40 00 1C 89 43 00 00 29 53 39 90
+FF 1F FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 C2
+36 40 BC C2 35 40 08 C2 34 40 14 C2 B2 40 0A 00
+E2 1D B2 43 B4 1D 92 C3 30 01 18 42 08 18 D2 B3
+01 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
+C0 05 92 43 C6 05 B2 40 A1 00 C8 05 F2 D0 03 00
+0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 34 D4 18 42
+08 18 38 90 0A 00 29 27 38 90 16 00 26 2F 28 93
+FF 22 F4 26 00 D3 84 D1 09 41 53 53 45 4D 42 4C
+45 52 84 12 4C D1 8C DA 38 DB 40 DA 8C DB 06 DA
+C0 DA 0A D7 00 00 FC D9 AC DA 5E DA 9C DA 1A D8
+00 00 00 00 9E DB 80 D1 9E D2 85 48 49 32 4C 4F
+87 12 80 C5 B4 CF 46 CA 8A CC 8A D1 42 D4 2A C2
+06 D3 04 43 4F 44 45 00 B0 12 88 CD A2 82 CC 1D
+87 12 7A CD B4 C3 7A D4 00 00 07 45 4E 44 43 4F
+44 45 87 12 A4 D1 38 CD 2A C2 38 D4 03 41 53 4D
+92 42 E0 1D C0 1D B2 40 46 D4 E0 1D E5 3F 9A D4
+06 45 4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F
+00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12
+00 00 A2 53 CC 1D B2 43 BE 1D 30 40 A4 D1 00 00
+05 4C 4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00
+BA 40 2A C2 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D
+39 48 2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A
+FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12
+2A C2 22 C8 80 C8 C2 C3 42 D5 3A C9 B8 C3 78 CC
+64 D5 44 D5 29 4E 39 90 86 12 02 20 2E 53 30 41
+39 90 85 12 03 20 1E 4E 02 00 30 41 39 90 84 12
+01 20 2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E
+00 00 3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12
+2A C2 22 C8 3A C9 B8 C3 96 D5 8C D5 21 53 3E 90
+10 00 BB 2D 30 41 98 D5 B2 41 CA 1D 22 D3 30 41
+87 12 32 C4 0C D5 A8 D5 82 43 C4 1D 92 42 CC 1D
+C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00
+34 20 92 53 CA 1D B0 12 2E D5 0E 93 04 20 B2 40
+00 03 C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D
+21 3C 2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92
+04 20 B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40
+30 02 C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D
+09 3C B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D
+89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00
+08 20 B2 40 10 02 C4 1D 92 53 CA 1D 30 12 18 D6
+76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D
+92 53 CA 1D B0 12 76 D5 0E 20 B2 50 10 00 C4 1D
+3E 40 2B 00 B0 12 76 D5 32 24 92 92 C6 1D CA 1D
+02 24 92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12
+76 D5 F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12
+2E D5 30 12 68 D6 67 3F 87 12 32 C4 0C D5 A0 D6
+FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50 82 00
+C4 1D C2 3F B0 12 76 D5 DF 23 B2 50 80 00 C4 1D
+3E 40 28 00 B0 12 2E D5 B0 12 66 D5 D5 23 3D 40
+78 CC 30 4D 40 C6 04 52 45 54 49 00 87 12 34 C2
+00 13 46 CA 2A C2 34 C2 2C 00 A0 D5 98 D6 F0 D6
+2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F F0 D4 03 4D
+4F 56 84 12 E6 D6 00 40 FE D6 05 4D 4F 56 2E 42
+84 12 E6 D6 40 40 00 00 03 41 44 44 84 12 E6 D6
+00 50 18 D7 05 41 44 44 2E 42 84 12 E6 D6 40 50
+24 D7 04 41 44 44 43 00 84 12 E6 D6 00 60 32 D7
+06 41 44 44 43 2E 42 00 84 12 E6 D6 40 60 D6 D6
+04 53 55 42 43 00 84 12 E6 D6 00 70 50 D7 06 53
+55 42 43 2E 42 00 84 12 E6 D6 40 70 5E D7 03 53
+55 42 84 12 E6 D6 00 80 6E D7 05 53 55 42 2E 42
+84 12 E6 D6 40 80 D2 D4 03 43 4D 50 84 12 E6 D6
+00 90 88 D7 05 43 4D 50 2E 42 84 12 E6 D6 40 90
+C0 D4 04 44 41 44 44 00 84 12 E6 D6 00 A0 A2 D7
+06 44 41 44 44 2E 42 00 84 12 E6 D6 40 A0 94 D7
+03 42 49 54 84 12 E6 D6 00 B0 C0 D7 05 42 49 54
+2E 42 84 12 E6 D6 40 B0 CC D7 03 42 49 43 84 12
+E6 D6 00 C0 DA D7 05 42 49 43 2E 42 84 12 E6 D6
+40 C0 E6 D7 03 42 49 53 84 12 E6 D6 00 D0 F4 D7
+05 42 49 53 2E 42 84 12 E6 D6 40 D0 00 00 03 58
+4F 52 84 12 E6 D6 00 E0 0E D8 05 58 4F 52 2E 42
+84 12 E6 D6 40 E0 40 D7 03 41 4E 44 84 12 E6 D6
+00 F0 28 D8 05 41 4E 44 2E 42 84 12 E6 D6 40 F0
+32 C4 A0 D5 46 D8 1A 42 C4 1D B2 F0 70 00 C4 1D
+8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F 7A D7 03 52
+52 43 84 12 40 D8 00 10 5E D8 05 52 52 43 2E 42
+84 12 40 D8 40 10 6A D8 04 53 57 50 42 00 84 12
+40 D8 80 10 78 D8 03 52 52 41 84 12 40 D8 00 11
+86 D8 05 52 52 41 2E 42 84 12 40 D8 40 11 92 D8
+03 53 58 54 84 12 40 D8 80 11 00 00 04 50 55 53
+48 00 84 12 40 D8 00 12 AC D8 06 50 55 53 48 2E
+42 00 84 12 40 D8 40 12 00 D8 04 43 41 4C 4C 00
+84 12 40 D8 80 12 34 C2 2C 00 A0 D5 98 D6 E0 D8
+59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15
+00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00
+03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A C5 A2 C7
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 E2 CB
+BA D8 05 50 55 53 48 4D 84 12 D6 D8 00 15 22 D9
+04 50 4F 50 4D 00 84 12 D6 D8 00 17 32 C4 0C D5
+42 D9 82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D
+92 53 CA 1D 3E 40 2C 00 B0 12 2A C2 22 C8 3A C9
+B8 C3 78 CC 98 D6 68 D9 0A 4E 3E 4F 1A 83 2A 92
+CA 2F 8A 10 5A 06 6F 3F A0 D8 04 52 52 43 4D 00
+84 12 3C D9 50 00 7A D9 04 52 52 41 4D 00 84 12
+3C D9 50 01 88 D9 04 52 4C 41 4D 00 84 12 3C D9
+50 02 96 D9 04 52 52 55 4D 00 84 12 3C D9 50 03
+85 12 00 3C A4 D9 03 53 3E 3D 85 12 00 38 B6 D9
+02 53 3C 00 85 12 00 34 30 D9 03 30 3E 3D 85 12
+00 30 CA D9 02 30 3C 00 85 12 00 30 00 00 02 55
+3C 00 85 12 00 2C DE D9 03 55 3E 3D 85 12 00 28
+D4 D9 03 30 3C 3E 85 12 00 24 F2 D9 02 30 3D 00
+85 12 00 20 4C C6 02 49 46 00 1A 42 CC 1D 8A 4E
+00 00 A2 53 CC 1D 0E 4A 30 4D E8 D9 04 54 48 45
+4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D B0 D7
+04 45 4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00
+A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F 1C DA 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 CC 1D 30 4D 34 D8 05 41 47 41
+49 4E 87 12 B0 D9 64 DA 2A C2 00 00 05 57 48 49
+4C 45 87 12 0A DA 78 C2 2A C2 C0 D9 06 52 45 50
+45 41 54 00 87 12 B0 D9 64 DA 22 DA 2A C2 00 00
+03 4A 4D 50 87 12 6A CC B0 D9 64 DA 2A C2 3E B0
+00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28
+03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00
+04 3F 4A 4D 50 00 87 12 CE DA 6A CC 78 C2 64 DA
+2A C2 04 DB 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
+98 42 CC 1D 00 00 30 4D 88 43 00 00 A4 3F CA D8
+03 42 57 31 84 12 02 DB 00 00 20 DB 03 42 57 32
+84 12 02 DB 00 00 2C DB 03 42 57 33 84 12 02 DB
+00 00 44 DB 3D 41 1A 42 CC 1D 28 4E 08 93 08 20
+BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D
+8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 42 DB
+00 00 68 DB 03 46 57 32 84 12 42 DB 00 00 74 DB
+03 46 57 33 84 12 42 DB 00 00 80 DB 04 47 4F 54
+4F 00 87 12 B0 D9 6A CC 3C CA 2A C2 F0 DA 05 3F
+47 4F 54 4F 87 12 CE DA 6A CC 3C CA 2A C2 38 CF
+07 7B 54 4F 4F 4C 53 7D 30 4D AC D4 03 41 4E 44
+3E FF 30 4D B4 D1 02 2E 53 00 8F 4E FE FF 0E 4F
+2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF
+3F 80 06 00 2E 8F 0E 11 87 12 34 C2 3C 00 EE C6
+6A C5 34 C2 08 00 EE C6 34 C2 3E 00 EE C6 24 C7
+8A C2 8A C2 96 C3 C2 C3 10 DC 62 C2 62 C2 2A C2
+C8 C3 00 C4 F2 C2 36 C5 34 C2 02 00 D8 C3 12 DC
+2A C2 C6 DB 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
+3E 40 E0 1C D3 3F E0 C8 01 3F 2E 4E 30 40 36 C5
+40 D2 03 50 41 44 85 12 E4 1C FA D2 05 57 4F 52
+44 53 87 12 9E C7 34 C2 03 00 3A C7 34 C2 D0 1D
+F2 C2 46 DC 34 C2 10 00 44 C2 20 C3 E6 D0 34 C2
+00 00 44 C2 34 C2 10 00 44 C2 20 C3 34 C2 00 00
+C8 C3 44 C2 00 C4 46 DC 20 C3 F2 C2 AC C3 B8 C3
+A0 DC 62 C2 62 C2 00 C4 44 C2 46 DC 20 C3 F2 C2
+34 C2 02 00 D8 C3 82 DC 54 C2 B8 C3 E2 DC 44 C2
+34 C2 02 00 28 C3 F2 C2 9A C2 46 DC 20 C3 FA C2
+44 C2 8E CA 34 C2 7F 00 C0 DB 5C C7 08 C3 34 C2
+0F 00 C0 DB 34 C2 10 00 78 C2 28 C3 3A C7 B4 C3
+6E DC 62 C2 2A C2 E0 D0 03 4D 41 58 2E 9F 07 38
+2F 53 30 4D E8 DC 03 4D 49 4E 2E 9F F9 3B 3E 4F
+30 4D F8 CF 03 55 2E 52 87 12 B0 C2 54 C4 34 C2
+00 00 B4 C4 E6 C4 00 C5 BC C2 8A C2 28 C3 34 C2
+00 00 EC DC 3A C7 5C C7 2A C2 C2 D1 04 44 55 4D
+50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F
+B0 12 2A C2 78 C2 8A C2 8A C2 36 C5 34 C2 01 00
+28 C3 36 C5 34 C2 FE FF C0 DB C8 C3 9E C7 00 C4
+34 C2 07 00 08 DD 24 C7 00 C4 34 C2 10 00 20 C3
+00 C4 C8 C3 00 C4 08 C3 34 C2 03 00 08 DD EA C3
+74 DD 24 C7 24 C7 00 C4 34 C2 10 00 20 C3 00 C4
+C8 C3 00 C4 08 C3 34 C2 7E 00 FA DC 32 C4 EC DC
+EE C6 EA C3 92 DD 34 C2 10 00 D8 C3 5C DD BC C2
+3E C4 FA C2 2A C2 B0 DB 0A 7B 46 49 58 50 4F 49
+4E 54 7D 00 30 4D 6A D4 05 48 4F 4C 44 53 39 4F
+09 5E 18 42 B2 1D 19 83 1E 83 04 28 18 83 E8 49
+00 00 F9 3F 82 48 B2 1D 3E 4F 30 4D 56 D1 02 46
+2B 00 BF 5F 02 00 3E 6F 30 4D EE DD 02 46 2D 00
+BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D FC DD 02 46
+2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F
+02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63
+3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20
+09 96 02 28 09 86 0A 7E 07 67 04 64 15 83 09 30
+0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3
+F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 0E DE
+03 46 23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00
+2B 42 B2 90 0A 00 E2 1D 01 20 1B 53 0C 43 A2 4F
+C0 04 92 42 E2 1D C8 04 9F 42 E4 04 00 00 1E 42
+E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00
+CC 4E 90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00
+39 40 90 1D 75 3F 90 DE 02 46 2A 00 92 4F 04 00
+D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04
+2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04
+30 4D E8 DE 02 46 2E 00 87 12 54 C4 44 C2 B0 C2
+4C C3 94 DE 34 C2 2C 00 16 C5 E6 C4 BC C2 24 C5
+00 C5 5C C7 24 C7 2A C2 5A D2 03 53 3E 46 2F 83
+8F 43 00 00 30 4D 3A DF 02 32 40 00 2F 83 9F 4E
+02 00 00 00 2E 4E 30 4D 48 DF 09 32 43 4F 4E 53
+54 41 4E 54 87 12 00 CE 46 CA 46 CA 18 CE 4C DF
+2A C2
+@FFFE
+12 D3
+q
index cb3250a..1275009 100644 (file)
@@ -316,44 +316,45 @@ CTS         .equ  1 ; P4.0
 ; ----------------------------------------------------------------------
 
 ; DCOCLK: Internal digitally controlled oscillator (DCO).
-; Startup clock system in max. DCO setting ~8MHz
 
+
+; CS code for MSP430FR5948
             MOV.B   #CSKEY,&CSCTL0_H ;  Unlock CS registers
 
     .IF FREQUENCY = 0.25
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1      ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_32 + DIVM_32,&CSCTL3
-            MOV     #2,X
+            MOV     #4,X
 
     .ELSEIF FREQUENCY = 0.5
             MOV     #0,&CSCTL1                  ; Set 1MHZ DCO setting
             MOV     #DIVA_2 + DIVS_2 + DIVM_2,&CSCTL3             ; set all dividers as 2
-            MOV     #4,X
+            MOV     #8,X
 
     .ELSEIF FREQUENCY = 1
             MOV     #0,&CSCTL1                  ; Set 1MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #8,X
+            MOV     #16,X
 
     .ELSEIF FREQUENCY = 2
             MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1  ; Set 4MHZ DCO setting
             MOV     #DIVA_0 + DIVS_2 + DIVM_2,&CSCTL3
-            MOV     #16,X
+            MOV     #32,X
 
     .ELSEIF FREQUENCY = 4
             MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1  ; Set 4MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #32,X
+            MOV     #64,X
 
     .ELSEIF FREQUENCY = 8
 ;            MOV     #DCOFSEL2+DCOFSEL1,&CSCTL1  ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #64,X
+            MOV     #128,X
 
     .ELSEIF FREQUENCY = 16
             MOV     #DCORSEL+DCOFSEL2,&CSCTL1   ; Set 16MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #128,X
+            MOV     #256,X
 
     .ELSEIF
     .error "bad frequency setting, only 0.5,1,2,4,8,16 MHz"
@@ -361,7 +362,7 @@ CTS         .equ  1 ; P4.0
 
     .IFDEF LF_XTAL
             MOV     #SELA_LFXCLK+SELS_DCOCLK+SELM_DCOCLK,&CSCTL2
-    .ELSEIF
+    .ELSE
             MOV     #SELA_VLOCLK+SELS_DCOCLK+SELM_DCOCLK,&CSCTL2
     .ENDIF
             MOV.B   #01h, &CSCTL0_H                               ; Lock CS Registers
@@ -370,11 +371,11 @@ CTS         .equ  1 ; P4.0
             CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
             JZ      ClockWaitX      ; yes
             .word   0759h           ; no  RRUM #2,X --> wait only 125 ms
-ClockWaitX  MOV     #41666,Y        ; wait 0.5s before starting after POWER ON
-ClockWaitY  SUB     #1,Y            ;
-            JNZ     ClockWaitY      ; 41666x3 = 125000 cycles delay = 125ms @ 1MHz
-            SUB     #1,X            ; x 4 @ 1 MHZ
-            JNZ     ClockWaitX      ; time to stabilize power source ( 1s )
+ClockWaitX  MOV     #5209,Y         ; wait 0.5s before starting after POWER ON
+ClockWaitY  SUB     #1,Y            ;1
+            JNZ     ClockWaitY      ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X            ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX      ; time to stabilize power source ( 500ms )
 
 ; ----------------------------------------------------------------------
 ; POWER ON RESET AND INITIALIZATION : REF
index cb9b4f0..b534de6 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 48 80 3E 80 04 05 00 18 00 FE 5F C0 56
-66 48 78 48 00 00 00 00
+10 00 5A 48 80 3E 80 04 05 00 18 00 70 61 64 56
+20 48 32 48 00 00 00 00
 @4400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 80 49 48 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 80 49 48 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 E4 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 48 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C3 23 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D3 23 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05
-FD 27 82 48 CE 05 30 4D DA 48 2D 83 92 B3 DC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA 47 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 47 04 45 4D 49
-54 00 30 40 04 49 0C 49 04 45 43 48 4F 00 B2 40
-82 48 D2 48 82 43 E4 1D 30 4D AE 47 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 48 92 43 E4 1D 30 4D
-64 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A 49 EF 3F 6C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 47 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 49 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 49 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC 48 04 28 43 52 29 00 87 12 C2 49
-02 0D 0A 00 80 49 2A 44 E4 47 02 43 52 00 30 40
-AC 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA 49 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 49 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 49 82 53 22 00 87 12
-34 44 C2 49 6E 4C F2 49 34 44 22 00 42 4A E0 49
-12 4A 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C 49 82 2E 22 00 87 12
-FE 49 34 44 80 49 6E 4C 2A 44 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 45 02 31 2D 00
+1E 83 30 4D D8 44 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 45
+02 30 3D 00 1E 83 0E 7E 30 4D 60 45 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 45 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 44 01 3E 3E 8F F9 3F 6C 45 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 45 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 45
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 45 03 43 49 42 85 12 3C 1D 24 46 02 42
+4C 00 85 12 20 00 2E 46 04 42 41 53 45 00 85 12
+E2 1D C8 44 05 53 54 41 54 45 85 12 BE 1D 86 45
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 45 06 55
+4D 2F 4D 4F 44 00 30 12 62 44 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 46 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 46
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 46 02 23 53 00 87 12 B4 46 EC 46 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 46 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 45
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 46 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 46 02 55 2E 00 87 12 54 46 34 44 00 00 E6 46
+00 47 5C 49 24 49 2A 44 46 45 02 44 2E 00 87 12
+54 46 78 44 8A 44 4C 45 E6 46 9A 44 24 47 00 47
+5C 49 24 49 2A 44 84 44 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 47 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 44 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 46 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 47 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 20 48 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 32 48 30 4D 10 46 03 4B 45 59 30 40
+BA 47 8E 47 06 41 43 43 45 50 54 00 3C 40 98 48
+3B 40 62 48 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C 48 92 B3 DC 05 05 24 18 42
+CC 05 38 90 0A 00 04 20 21 53 39 40 46 48 4D 15
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02
+30 41 B2 40 13 00 CE 05 E2 D3 23 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 48 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+CC 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 DC 05 FD 27 82 48 CE 05 30 4D 8E 48 2D 83
+92 B3 DC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41 30 4D B4 47
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00
+AF 4F 02 00 8B 3F 82 43 CA 1D 82 4E C6 1D B2 4F
+C8 1D 3E 4F 30 4D 4A 47 04 45 4D 49 54 00 30 40
+B8 48 E8 48 04 45 43 48 4F 00 B2 40 82 48 86 48
+82 43 E4 1D 30 4D 68 47 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 48 92 43 E4 1D 30 4D 1E 47 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E 49 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 49 EF 3F 48 49 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 47 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 49 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 49 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 48 04 28 43 52 29 00 87 12 A2 49
+02 0D 0A 00 5C 49 2A 44 9E 47 02 43 52 00 30 40
+8C 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A 49 07 43 41 50 53 5F 4F 4E
+B2 43 B4 1D 30 4D B8 49 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 1D 30 4D 32 49 82 53 22 00 87 12
+34 44 A2 49 46 4C D2 49 34 44 22 00 22 4A C0 49
+F2 49 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
+CC 1D A2 63 CC 1D 30 4D 08 49 82 2E 22 00 87 12
+DE 49 34 44 5C 49 46 4C 2A 44 00 00 04 57 4F 52
 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,343 +111,368 @@ F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 46 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 4B 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 46 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 49 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C 4C
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E 46 42 4A DA 4C 3D 40 E6 4C E0 22
-3E 4F 3D 41 30 4D E8 4C 0A 4E 3E 4F 3D 40 FE 4C
-38 27 3D 40 D4 4C 1A E2 BE 1D B9 27 B3 23 00 4D
-3E 4F 3D 40 D4 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 4F CD 3F 5C 4C 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 C4 4C 3C 4D B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A 44 C2 49 05 0D 0A 6F
-6B 20 80 49 5A 46 44 44 64 46 32 48 48 49 C4 4C
-DE 44 92 45 C2 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E 4E 34 44 30 FF C6 47 D2 45 C2 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E 4E 90 46
-F2 44 E0 45 6A 4D C2 49 05 0D 0A 20 20 20 DC 45
-72 4D B2 40 FA 54 5E 55 B2 40 A0 48 94 48 B2 40
-04 49 14 49 B2 40 AC 49 C0 49 B2 40 00 48 26 48
-82 43 82 5D 82 43 8E 5D 82 43 9A 5D 82 43 CA 5D
-82 43 D6 5D 82 43 E2 5D B2 40 0A 00 E2 1D 30 41
-2A 48 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 48 B0 12 CE 4D A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 C2 49 04 1B 5B 37
-6D 00 80 49 34 44 E4 1D F2 44 54 44 E0 45 74 4E
-C2 49 05 6C 69 6E 65 3A 80 49 34 44 01 00 28 45
-7C 47 1E 49 80 49 C2 49 04 1B 5B 30 6D 00 80 49
-70 54 E0 49 08 4E 02 4E 86 41 42 4F 52 54 22 00
-87 12 FE 49 34 44 0E 4E 6E 4C 2A 44 9A 4A 01 27
-87 12 6E 46 42 4A A0 4A E0 45 AE 4E 2A 44 08 4D
-96 46 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 4E 01 5D B2 43 BE 1D 30 4D
-BE 4E 83 5B 27 5D 87 12 A0 4E 34 44 34 44 6E 4C
-6E 4C 2A 44 BE 4F 02 00 3E 4F 30 4D A6 49 82 49
-53 00 87 12 90 46 F2 44 E0 45 06 4F D6 4E 34 44
-E4 4E 6E 4C 2A 44 A0 4E E4 4E 2A 44 EE 4E 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA 49 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E 4D
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 46 42 4A
-A0 4A 54 44 E0 45 AE 4E 92 45 E0 45 6A 4F 34 44
-34 44 6E 4C 6E 4C 34 44 6E 4C 6E 4C 2A 44 82 9F
-BC 1D 34 25 87 12 C2 49 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 4E 87 12 1E 4A 6E 46
-42 4A 94 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A 4F BA 40 86 12 FC FF 05 3D B0 4C 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A 4F BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC 4F 06 43 52 45 41 54
-45 00 B0 12 8A 4F BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E 4D 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 50 05 44
-45 46 45 52 B0 12 8A 4F BA 40 30 40 FC FF BA 40
-2A 50 FE FF CF 3C D2 4E 01 3A B0 12 8A 4F BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 50 81 3B 82 93 BE 1D 24 27 87 12 34 44
-2A 44 6E 4C 6E 4F C0 4E 2A 44 FA 4F 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 50 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE 50 86 5B
-45 4C 53 45 5D 00 87 12 34 44 01 00 6E 46 42 4A
-B6 4C 44 44 E0 45 34 51 8A 44 8A 44 C2 49 04 5B
-49 46 5D 00 84 50 EA 45 F2 50 00 4B 2C 45 DC 45
-28 51 8A 44 8A 44 C2 49 06 5B 45 4C 53 45 5D 00
-84 50 EA 45 16 51 00 4B B8 50 44 44 E0 45 12 51
-2C 45 DC 45 28 51 C2 49 06 5B 54 48 45 4E 5D 00
-84 50 EA 45 28 51 B8 50 54 44 EA 45 30 51 2A 44
-DC 45 CC 50 00 4B C2 49 05 0D 0A 6B 6F 20 80 49
-5A 46 44 44 64 46 32 48 4A 51 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC 50 30 4D BE 50
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 51
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E 46
-42 4A A0 4A 6C 44 86 45 2A 44 70 51 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E 46 42 4A A0 4A 6C 44
-2A 44 A4 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 4C 06 4D 41 52 4B 45 52 00 B0 12 8A 4F
-BA 40 84 12 FC FF BA 40 A2 51 FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E 4F
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 45 00 00 2E 53 30 4D 2E 50 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC 45
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A 49 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C 50
-85 42 45 47 49 4E 30 40 C6 47 2E 52 85 55 4E 54
-49 4C 39 40 E0 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 4E 85 41 47 41
-49 4E 39 40 DC 45 EF 3F C4 4F 85 57 48 49 4C 45
-87 12 F4 51 78 44 2A 44 26 4F 86 52 45 50 45 41
-54 00 87 12 72 52 34 52 2A 44 0E 52 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 51 84 4C 4F 4F 50 00 39 40 12 46 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 48 85 2B 4C 4F 4F 50 39 40
-00 46 E5 3F C4 52 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 53 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A 52 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 50 34 44 10 00
-34 44 00 00 F0 45 34 44 00 00 6E 4C 12 46 86 53
-C6 47 34 44 CE 1D 44 44 F2 44 6E 4C FA 44 1A 50
-34 44 D0 1D FA 44 2A 44 9E 4E 05 46 4F 52 54 48
-84 12 A0 53 06 57 DC 56 74 5F AA 53 C4 56 F8 52
-3E 5F 76 5E 94 54 AE 54 4C 5F 94 5E 46 45 0A 5E
-C8 4E 8A 5E 00 00 6C 52 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 4F 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A 4A 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C 52 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 53 0E 54 22 54
-32 54 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE 53 09 50 57 52 5F 53 54 41 54 45
-84 12 2A 54 C0 56 FE 5F 8A 52 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 54 92 42 0C 18 76 54
-EF 3F 66 54 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 54 92 42 CC 1D 76 54 30 4D 7A 54 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C 53 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 CC FF FA 23 B0 12
-C2 4D B2 40 FE 5F 0C 18 B2 40 C0 56 0E 18 CA 3F
-F0 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 49 06 0D 1B 5B 37 6D 23 00 80 49 B0 47 C2 49
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 49 34 44 30 FF C6 47
-28 45 7C 47 C2 49 0B 62 79 74 65 73 20 66 72 65
-65 20 1A 4E C8 54 04 57 41 52 4D 00 30 40 FA 54
-40 52 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A 5C 01 92 D3 04 02 B2 40 FE FF 02 02 A2 83
-06 02 B2 D0 00 42 24 02 B2 D3 26 02 B2 40 FF BF
-22 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00 41 01
-F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01
-B2 40 48 00 62 01 82 43 66 01 39 40 80 00 B2 40
-33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93
-08 18 01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83
-FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00
-A1 04 3A 40 6E 55 39 40 CC FF 89 4A 00 00 29 53
-FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18 39 40
-00 1C 89 43 00 00 29 53 39 90 FF 23 FA 2B 31 40
-E0 1C 3F 40 80 1C 37 40 00 44 36 40 BC 44 35 40
-08 44 34 40 14 44 B2 40 0A 00 E2 1D B2 43 B4 1D
-92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20 38 E3
-18 53 82 48 08 18 B2 40 81 00 C0 05 B2 42 C6 05
-B2 40 A1 F7 C8 05 F2 D0 03 00 0D 02 92 C3 C0 05
-92 D3 DA 05 3D 40 8E 56 18 42 08 18 38 90 0A 00
-26 27 38 90 16 00 23 2F 28 93 FC 22 F1 26 5C 55
-D8 53 09 41 53 53 45 4D 42 4C 45 52 84 12 A0 53
-E6 5C 92 5D 9A 5C E6 5D 60 5C 1A 5D 64 59 00 00
-56 5C 06 5D B8 5C F6 5C 74 5A 00 00 00 00 F8 5D
-D4 53 F2 54 85 48 49 32 4C 4F 87 12 C6 47 08 52
-6E 4C C0 4E DE 53 9C 56 2A 44 62 55 04 43 4F 44
-45 00 B0 12 8A 4F A2 82 CC 1D 87 12 5C 50 DC 45
-D4 56 00 00 07 45 4E 44 43 4F 44 45 87 12 F8 53
-6E 4F 2A 44 92 56 03 41 53 4D 92 42 E0 1D C0 1D
-B2 40 A0 56 E0 1D E5 3F F4 56 06 45 4E 44 41 53
-4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43 4F 4C
-4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53 CC 1D
-B2 43 BE 1D 30 40 F8 53 00 00 05 4C 4F 32 48 49
-1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A 44 02 00
-A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48 09 5A
-1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
-2A 88 82 4A CA 1D 30 4D B0 12 2A 44 42 4A A0 4A
-EA 45 9C 57 62 4B E0 45 AE 4E BE 57 9E 57 29 4E
-39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
-1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
-19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40 29 00
-12 12 CA 1D 92 53 CA 1D B0 12 2A 44 42 4A 62 4B
-E0 45 F0 57 E6 57 21 53 3E 90 10 00 BB 2D 30 41
-F2 57 B2 41 CA 1D 22 D3 30 41 87 12 6E 46 66 57
-02 58 82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D
-0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 CA 1D
-B0 12 88 57 0E 93 04 20 B2 40 00 03 C4 1D 27 3C
-1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93 04 20
-B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40 20 02
-C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D 0F 3C
-3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40 30 00
-C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 4F
-3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
-C4 1D 92 53 CA 1D 30 12 72 58 76 3F FA 90 40 00
-00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D B0 12
-D0 57 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00 B0 12
-D0 57 32 24 92 92 C6 1D CA 1D 02 24 92 53 CA 1D
-8E 10 82 5E C4 1D D3 3F B0 12 D0 57 F9 23 B2 50
-10 00 C4 1D 3E 40 28 00 B0 12 88 57 30 12 C2 58
-67 3F 87 12 6E 46 66 57 FA 58 FE 90 26 00 00 00
-3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F B0 12
-D0 57 DF 23 B2 50 80 00 C4 1D 3E 40 28 00 B0 12
-88 57 B0 12 C0 57 D5 23 3D 40 AE 4E 30 4D 8C 48
-04 52 45 54 49 00 87 12 34 44 00 13 6E 4C 2A 44
-34 44 2C 00 FA 57 F2 58 4A 59 2E 4E 1E D2 C4 1D
-19 42 C2 1D 92 3F 4A 57 03 4D 4F 56 84 12 40 59
-00 40 58 59 05 4D 4F 56 2E 42 84 12 40 59 40 40
-00 00 03 41 44 44 84 12 40 59 00 50 72 59 05 41
-44 44 2E 42 84 12 40 59 40 50 7E 59 04 41 44 44
-43 00 84 12 40 59 00 60 8C 59 06 41 44 44 43 2E
-42 00 84 12 40 59 40 60 30 59 04 53 55 42 43 00
-84 12 40 59 00 70 AA 59 06 53 55 42 43 2E 42 00
-84 12 40 59 40 70 B8 59 03 53 55 42 84 12 40 59
-00 80 C8 59 05 53 55 42 2E 42 84 12 40 59 40 80
-2C 57 03 43 4D 50 84 12 40 59 00 90 E2 59 05 43
-4D 50 2E 42 84 12 40 59 40 90 1A 57 04 44 41 44
-44 00 84 12 40 59 00 A0 FC 59 06 44 41 44 44 2E
-42 00 84 12 40 59 40 A0 EE 59 03 42 49 54 84 12
-40 59 00 B0 1A 5A 05 42 49 54 2E 42 84 12 40 59
-40 B0 26 5A 03 42 49 43 84 12 40 59 00 C0 34 5A
-05 42 49 43 2E 42 84 12 40 59 40 C0 40 5A 03 42
-49 53 84 12 40 59 00 D0 4E 5A 05 42 49 53 2E 42
-84 12 40 59 40 D0 00 00 03 58 4F 52 84 12 40 59
-00 E0 68 5A 05 58 4F 52 2E 42 84 12 40 59 40 E0
-9A 59 03 41 4E 44 84 12 40 59 00 F0 82 5A 05 41
-4E 44 2E 42 84 12 40 59 40 F0 6E 46 FA 57 A0 5A
-1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0 0F 00
-82 DA C4 1D 4A 3F D4 59 03 52 52 43 84 12 9A 5A
-00 10 B8 5A 05 52 52 43 2E 42 84 12 9A 5A 40 10
-C4 5A 04 53 57 50 42 00 84 12 9A 5A 80 10 D2 5A
-03 52 52 41 84 12 9A 5A 00 11 E0 5A 05 52 52 41
-2E 42 84 12 9A 5A 40 11 EC 5A 03 53 58 54 84 12
-9A 5A 80 11 00 00 04 50 55 53 48 00 84 12 9A 5A
-00 12 06 5B 06 50 55 53 48 2E 42 00 84 12 9A 5A
-40 12 5A 5A 04 43 41 4C 4C 00 84 12 9A 5A 80 12
-34 44 2C 00 FA 57 F2 58 3A 5B 59 42 C4 1D 5A 42
-C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20 0A 89
-02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
-1A 53 0E 4A 87 12 B0 47 C2 49 0D 6F 75 74 20 6F
-66 20 62 6F 75 6E 64 73 14 4E 14 5B 05 50 55 53
-48 4D 84 12 30 5B 00 15 7C 5B 04 50 4F 50 4D 00
-84 12 30 5B 00 17 6E 46 66 57 9C 5B 82 43 C4 1D
-92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D 3E 40
-2C 00 B0 12 2A 44 42 4A 62 4B E0 45 AE 4E F2 58
-C2 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
-6F 3F FA 5A 04 52 52 43 4D 00 84 12 96 5B 50 00
-D4 5B 04 52 52 41 4D 00 84 12 96 5B 50 01 E2 5B
-04 52 4C 41 4D 00 84 12 96 5B 50 02 F0 5B 04 52
-52 55 4D 00 84 12 96 5B 50 03 85 12 00 3C FE 5B
-03 53 3E 3D 85 12 00 38 10 5C 02 53 3C 00 85 12
-00 34 8A 5B 03 30 3E 3D 85 12 00 30 24 5C 02 30
-3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
-38 5C 03 55 3E 3D 85 12 00 28 2E 5C 03 30 3C 3E
-85 12 00 24 4C 5C 02 30 3D 00 85 12 00 20 98 48
-02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53 CC 1D
-0E 4A 30 4D 42 5C 04 54 48 45 4E 00 1A 42 CC 1D
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-68 2F 88 DA 00 00 30 4D 0A 5A 04 45 4C 53 45 00
-1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D 2F 83
-8F 4A 00 00 E3 3F 76 5C 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11 3A 90
-00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-CC 1D 30 4D 8E 5A 05 41 47 41 49 4E 87 12 0A 5C
-BE 5C 2A 44 00 00 05 57 48 49 4C 45 87 12 64 5C
-78 44 2A 44 1A 5C 06 52 45 50 45 41 54 00 87 12
-0A 5C BE 5C 7C 5C 2A 44 00 00 03 4A 4D 50 87 12
-A0 4E 0A 5C BE 5C 2A 44 3E B0 00 10 03 20 3E E0
-00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
-30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
-87 12 28 5D A0 4E 78 44 BE 5C 2A 44 5E 5D 3D 41
-08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D 00 00
-30 4D 88 43 00 00 A4 3F 24 5B 03 42 57 31 84 12
-5C 5D 00 00 7A 5D 03 42 57 32 84 12 5C 5D 00 00
-86 5D 03 42 57 33 84 12 5C 5D 00 00 9E 5D 3D 41
-1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53
-CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
-00 00 03 46 57 31 84 12 9C 5D 00 00 C2 5D 03 46
-57 32 84 12 9C 5D 00 00 CE 5D 03 46 57 33 84 12
-9C 5D 00 00 DA 5D 04 47 4F 54 4F 00 87 12 0A 5C
-A0 4E 64 4C 2A 44 4A 5D 05 3F 47 4F 54 4F 87 12
-28 5D A0 4E 64 4C 2A 44 8C 51 09 7B 55 54 49 4C
-49 54 59 7D 30 4D 08 54 02 2E 53 00 8F 4E FE FF
-0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
-FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44 3C 00
-12 49 B0 47 34 44 08 00 12 49 34 44 3E 00 12 49
-48 49 8A 44 8A 44 C4 45 EA 45 62 5E 62 44 62 44
-2A 44 F0 45 28 46 F2 44 7C 47 34 44 02 00 00 46
-64 5E 2A 44 18 5E 03 2E 52 53 8F 4E FE FF 8F 41
-FA FF 3E 40 E0 1C D3 3F 08 4B 01 3F 2E 4E 30 40
-7C 47 56 55 05 57 4F 52 44 53 87 12 BE 49 34 44
-03 00 5E 49 34 44 D0 1D F2 44 50 46 34 44 10 00
-44 44 20 45 3A 53 34 44 00 00 44 44 34 44 10 00
-44 44 20 45 34 44 00 00 F0 45 44 44 28 46 50 46
-20 45 F2 44 D2 45 E0 45 E8 5E 62 44 62 44 28 46
-44 44 50 46 20 45 F2 44 34 44 02 00 00 46 CA 5E
-54 44 E0 45 2A 5F 44 44 34 44 02 00 28 45 F2 44
-9A 44 50 46 20 45 FA 44 44 44 B6 4C 34 44 7F 00
-36 45 80 49 08 45 34 44 0F 00 36 45 34 44 10 00
-78 44 28 45 5E 49 DC 45 B6 5E 62 44 2A 44 34 53
-03 4D 41 58 2E 9F 07 38 2F 53 30 4D 30 5F 03 4D
-49 4E 2E 9F F9 3B 3E 4F 30 4D 4C 52 03 55 2E 52
-87 12 B0 44 9A 46 34 44 00 00 FA 46 2C 47 46 47
-BC 44 8A 44 28 45 34 44 00 00 34 5F 5E 49 80 49
-2A 44 16 54 04 44 55 4D 50 00 0D 12 12 12 E2 1D
-B2 40 10 00 E2 1D 2E 5F B0 12 2A 44 78 44 8A 44
-8A 44 7C 47 34 44 01 00 28 45 7C 47 34 44 FE FF
-36 45 F0 45 BE 49 28 46 34 44 07 00 50 5F 48 49
-28 46 34 44 10 00 20 45 28 46 F0 45 28 46 08 45
-34 44 03 00 50 5F 12 46 BC 5F 48 49 48 49 28 46
-34 44 10 00 20 45 28 46 F0 45 28 46 08 45 34 44
-7E 00 42 5F 6E 46 34 5F 12 49 12 46 DA 5F 34 44
-10 00 00 46 A4 5F BC 44 84 46 FA 44 2A 44
+8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 94 45
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A 4B 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C 4B 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A 46 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 48 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 45 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
+00 00 3E 4F 30 4D 44 4C 87 4C 49 54 45 52 41 4C
+82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
+34 44 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 49 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 48
+32 46 22 4A A6 4C 3D 40 AE 4C EA 22 50 3E B0 4C
+0A 4E 3E 4F 3D 40 C6 4C 40 27 3D 40 A0 4C 1A E2
+BE 1D C1 27 BB 23 C8 4C 3E 4F 3D 40 A0 4C C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 4F D5 3F 34 4C 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44
+9C 4C 04 4D B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
+3D 41 30 4D 9C 45 04 51 55 49 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12
+2A 44 A2 49 05 0D 0A 6F 6B 20 5C 49 BE 48 24 49
+9C 4C DE 44 70 45 A2 49 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 4D 34 44 30 FF 80 47 AC 45
+A2 49 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 4D
+4A 46 F2 44 B8 45 32 4D A2 49 05 0D 0A 20 20 20
+B4 45 3A 4D E4 47 05 41 42 4F 52 54 3F 40 80 1C
+C5 3F B2 40 A6 54 02 55 B2 40 54 48 48 48 B2 40
+3C 1D 2A 46 B2 40 B8 48 F0 48 B2 40 8C 49 A0 49
+B2 40 BA 47 E0 47 82 43 26 5D 82 43 32 5D 82 43
+3E 5D 82 43 6E 5D 82 43 7A 5D 82 43 86 5D B2 40
+0A 00 E2 1D 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 48 B0 12 9E 4D A2 B3 DC 05
+FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3 DC 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 DC 05 F3 23 87 12 A2 49 04 1B 5B 37 6D 00
+5C 49 34 44 E4 1D F2 44 54 44 B8 45 3E 4E A2 49
+05 6C 69 6E 65 3A 5C 49 40 45 36 47 FA 48 5C 49
+A2 49 04 1B 5B 30 6D 00 5C 49 1C 54 C0 49 8C 4D
+86 4D 86 41 42 4F 52 54 22 00 87 12 DE 49 34 44
+D6 4D 46 4C 2A 44 7A 4A 01 27 87 12 32 46 22 4A
+80 4A B8 45 78 4E 2A 44 D0 4C 50 46 81 5C 92 42
+C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
+7C 4E 01 5D B2 43 BE 1D 30 4D 88 4E 83 5B 27 5D
+87 12 6A 4E 34 44 34 44 46 4C 46 4C 2A 44 BE 4F
+02 00 3E 4F 30 4D 86 49 82 49 53 00 87 12 4A 46
+F2 44 B8 45 D0 4E A0 4E 34 44 AE 4E 46 4C 2A 44
+6A 4E AE 4E 2A 44 B8 4E 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D DA 49
+87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
+00 00 A2 53 CC 1D 30 4D 16 4D 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 46 22 4A 80 4A 54 44 B8 45
+78 4E 70 45 B8 45 34 4F 34 44 34 44 46 4C 46 4C
+34 44 46 4C 46 4C 2A 44 82 9F BC 1D 25 25 87 12
+A2 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 4D 9C 4E 81 3B 82 93 BE 1D 90 27 87 12
+34 44 2A 44 46 4C 38 4F 8A 4E 2A 44 BA 40 87 12
+FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D
+56 4F 01 3A 30 12 6C 4F 87 12 FE 49 32 46 22 4A
+92 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49 B8 1D
+82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41 30 41
+1C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12 88 4F
+BA 40 86 12 FC FF DC 3C 88 4C 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 4F BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA 4F 06 43 52 45 41 54 45 00
+B0 12 88 4F BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 4C 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 50 05 44 45 46
+45 52 B0 12 88 4F BA 40 30 40 FC FF BA 40 28 50
+FE FF A6 3C F8 4F 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 4F 86 5B 54 48 45 4E 5D 00
+30 4D 78 50 86 5B 45 4C 53 45 5D 00 87 12 34 44
+01 00 32 46 22 4A 8E 4C 44 44 B8 45 F6 50 8A 44
+8A 44 A2 49 04 5B 49 46 5D 00 4E 50 C2 45 B8 50
+7E 49 36 45 B4 45 EE 50 8A 44 8A 44 A2 49 06 5B
+45 4C 53 45 5D 00 4E 50 C2 45 DC 50 7E 49 40 45
+44 44 B8 45 EE 50 36 45 B4 45 EE 50 A2 49 06 5B
+54 48 45 4E 5D 00 4E 50 C2 45 EE 50 40 45 54 44
+C2 45 92 50 2A 44 7E 49 A2 49 05 0D 0A 6B 6F 20
+5C 49 BE 48 D6 48 B4 45 92 50 84 50 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C 51 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 46 22 4A 80 4A
+6C 44 64 45 2A 44 1C 51 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 46 22 4A 80 4A 6C 44 2A 44 50 51
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 4C
+06 4D 41 52 4B 45 52 00 B0 12 88 4F BA 40 84 12
+FC FF BA 40 4E 51 FE FF 9A 42 CE 1D 00 00 28 83
+8A 48 02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D
+A8 49 FE FF 89 48 00 00 30 4D D8 4E 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40
+B8 45 00 00 2E 53 30 4D 2C 50 84 45 4C 53 45 00
+A2 52 CC 1D 1A 42 CC 1D BA 40 B4 45 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 49 84 54 48 45 4E 00
+9E 42 CC 1D 00 00 3E 4F 30 4D 46 50 85 42 45 47
+49 4E 30 40 80 47 DA 51 85 55 4E 54 49 4C 39 40
+B8 45 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 4E 85 41 47 41 49 4E 39 40
+B4 45 EF 3F C2 4F 85 57 48 49 4C 45 87 12 A0 51
+78 44 2A 44 F0 4E 86 52 45 50 45 41 54 00 87 12
+1E 52 E0 51 2A 44 BA 51 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 1D 1E 42 CC 1D BE 40 C8 45 FE FF
+A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D 60 51
+84 4C 4F 4F 50 00 39 40 EA 45 A2 52 CC 1D 1A 42
+CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83
+00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA 47 85 2B 4C 4F 4F 50 39 40 D8 45 E5 3F
+70 52 85 4C 45 41 56 45 1A 42 CC 1D BA 40 FA 45
+00 00 BA 40 B4 45 02 00 B2 50 06 00 CC 1D A2 53
+00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D B2 52
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 52 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 50 34 44 10 00 34 44 00 00
+C8 45 34 44 00 00 46 4C EA 45 32 53 80 47 34 44
+CE 1D 44 44 F2 44 46 4C FA 44 18 50 34 44 D0 1D
+FA 44 2A 44 68 4E 05 46 4F 52 54 48 84 12 4C 53
+BA 5D 80 56 2A 5F 12 61 C6 5F A4 52 F4 5E 22 5E
+40 5E 58 61 02 5F 4A 5E 00 00 B6 5F 92 4E 36 5E
+00 00 18 52 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 1D 38 40 D2 1D B6 3F 0A 4F 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D
+A3 3F 0A 4A 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D
+48 52 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 1D E0 1D 30 4D 5C 53 BA 53 CE 53 DE 53 3A 4E
+82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A 53 09 50 57 52 5F 53 54 41 54 45 84 12 D6 53
+64 56 70 61 36 52 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 54 92 42 0C 18 22 54 EF 3F 12 54
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 1D 20 54
+92 42 CC 1D 22 54 30 4D 26 54 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18
+EC 3F 18 53 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CC FF FA 23 B0 12 92 4D B2 40
+70 61 0C 18 B2 40 64 56 0E 18 CA 3F 9C 51 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 49 06 0D
+1B 5B 37 6D 23 00 5C 49 6A 47 A2 49 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C 49 34 44
+30 FF 80 47 28 45 36 47 A2 49 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 4D 74 54 04 57 41 52 4D 00
+30 40 A6 54 EC 51 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A 5C 01 92 D3 04 02 B2 40 FE FF
+02 02 A2 83 06 02 B2 D0 00 42 24 02 B2 D3 26 02
+B2 40 FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40
+A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
+A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
+00 01 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
+08 18 A2 93 08 18 01 24 59 07 38 40 59 14 18 83
+FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03
+F2 C0 40 00 A1 04 3A 40 12 55 39 40 CC FF 89 4A
+00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00
+0A 18 39 40 00 1C 89 43 00 00 29 53 39 90 FF 23
+FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40
+BC 44 35 40 08 44 34 40 14 44 B2 40 0A 00 E2 1D
+B2 43 B4 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02
+04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05
+B2 42 C6 05 B2 40 A1 F7 C8 05 F2 D0 03 00 0D 02
+92 C3 C0 05 92 D3 DA 05 3D 40 32 56 18 42 08 18
+38 90 0A 00 2A 27 38 90 16 00 27 2F 28 93 00 23
+F5 26 00 55 84 53 09 41 53 53 45 4D 42 4C 45 52
+84 12 4C 53 8A 5C 36 5D 3E 5C 8A 5D 04 5C BE 5C
+08 59 00 00 FA 5B AA 5C 5C 5C 9A 5C 18 5A 00 00
+00 00 9C 5D 80 53 9E 54 85 48 49 32 4C 4F 87 12
+80 47 B4 51 46 4C 8A 4E 8A 53 40 56 2A 44 06 55
+04 43 4F 44 45 00 B0 12 88 4F A2 82 CC 1D 87 12
+7A 4F B4 45 78 56 00 00 07 45 4E 44 43 4F 44 45
+87 12 A4 53 38 4F 2A 44 36 56 03 41 53 4D 92 42
+E0 1D C0 1D B2 40 44 56 E0 1D E5 3F 98 56 06 45
+4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00
+05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00
+A2 53 CC 1D B2 43 BE 1D 30 40 A4 53 00 00 05 4C
+4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40
+2A 44 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48
+2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27
+1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 44
+22 4A 80 4A C2 45 40 57 3A 4B B8 45 78 4E 62 57
+42 57 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
+85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
+2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
+3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 44
+22 4A 3A 4B B8 45 94 57 8A 57 21 53 3E 90 10 00
+BB 2D 30 41 96 57 B2 41 CA 1D 22 D3 30 41 87 12
+32 46 0A 57 A6 57 82 43 C4 1D 92 42 CC 1D C2 1D
+A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20
+92 53 CA 1D B0 12 2C 57 0E 93 04 20 B2 40 00 03
+C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C
+2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20
+B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02
+C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C
+B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E
+00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
+B2 40 10 02 C4 1D 92 53 CA 1D 30 12 16 58 76 3F
+FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53
+CA 1D B0 12 74 57 0E 20 B2 50 10 00 C4 1D 3E 40
+2B 00 B0 12 74 57 32 24 92 92 C6 1D CA 1D 02 24
+92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 74 57
+F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12 2C 57
+30 12 66 58 67 3F 87 12 32 46 0A 57 9E 58 FE 90
+26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D
+C2 3F B0 12 74 57 DF 23 B2 50 80 00 C4 1D 3E 40
+28 00 B0 12 2C 57 B0 12 64 57 D5 23 3D 40 78 4E
+30 4D 40 48 04 52 45 54 49 00 87 12 34 44 00 13
+46 4C 2A 44 34 44 2C 00 9E 57 96 58 EE 58 2E 4E
+1E D2 C4 1D 19 42 C2 1D 92 3F EE 56 03 4D 4F 56
+84 12 E4 58 00 40 FC 58 05 4D 4F 56 2E 42 84 12
+E4 58 40 40 00 00 03 41 44 44 84 12 E4 58 00 50
+16 59 05 41 44 44 2E 42 84 12 E4 58 40 50 22 59
+04 41 44 44 43 00 84 12 E4 58 00 60 30 59 06 41
+44 44 43 2E 42 00 84 12 E4 58 40 60 D4 58 04 53
+55 42 43 00 84 12 E4 58 00 70 4E 59 06 53 55 42
+43 2E 42 00 84 12 E4 58 40 70 5C 59 03 53 55 42
+84 12 E4 58 00 80 6C 59 05 53 55 42 2E 42 84 12
+E4 58 40 80 D0 56 03 43 4D 50 84 12 E4 58 00 90
+86 59 05 43 4D 50 2E 42 84 12 E4 58 40 90 BE 56
+04 44 41 44 44 00 84 12 E4 58 00 A0 A0 59 06 44
+41 44 44 2E 42 00 84 12 E4 58 40 A0 92 59 03 42
+49 54 84 12 E4 58 00 B0 BE 59 05 42 49 54 2E 42
+84 12 E4 58 40 B0 CA 59 03 42 49 43 84 12 E4 58
+00 C0 D8 59 05 42 49 43 2E 42 84 12 E4 58 40 C0
+E4 59 03 42 49 53 84 12 E4 58 00 D0 F2 59 05 42
+49 53 2E 42 84 12 E4 58 40 D0 00 00 03 58 4F 52
+84 12 E4 58 00 E0 0C 5A 05 58 4F 52 2E 42 84 12
+E4 58 40 E0 3E 59 03 41 4E 44 84 12 E4 58 00 F0
+26 5A 05 41 4E 44 2E 42 84 12 E4 58 40 F0 32 46
+9E 57 44 5A 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10
+3A F0 0F 00 82 DA C4 1D 4A 3F 78 59 03 52 52 43
+84 12 3E 5A 00 10 5C 5A 05 52 52 43 2E 42 84 12
+3E 5A 40 10 68 5A 04 53 57 50 42 00 84 12 3E 5A
+80 10 76 5A 03 52 52 41 84 12 3E 5A 00 11 84 5A
+05 52 52 41 2E 42 84 12 3E 5A 40 11 90 5A 03 53
+58 54 84 12 3E 5A 80 11 00 00 04 50 55 53 48 00
+84 12 3E 5A 00 12 AA 5A 06 50 55 53 48 2E 42 00
+84 12 3E 5A 40 12 FE 59 04 43 41 4C 4C 00 84 12
+3E 5A 80 12 34 44 2C 00 9E 57 96 58 DE 5A 59 42
+C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00
+02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
+5A 0E A8 3F 1A 53 0E 4A 87 12 6A 47 A2 49 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 E2 4D B8 5A
+05 50 55 53 48 4D 84 12 D4 5A 00 15 20 5B 04 50
+4F 50 4D 00 84 12 D4 5A 00 17 32 46 0A 57 40 5B
+82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53
+CA 1D 3E 40 2C 00 B0 12 2A 44 22 4A 3A 4B B8 45
+78 4E 96 58 66 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F
+8A 10 5A 06 6F 3F 9E 5A 04 52 52 43 4D 00 84 12
+3A 5B 50 00 78 5B 04 52 52 41 4D 00 84 12 3A 5B
+50 01 86 5B 04 52 4C 41 4D 00 84 12 3A 5B 50 02
+94 5B 04 52 52 55 4D 00 84 12 3A 5B 50 03 85 12
+00 3C A2 5B 03 53 3E 3D 85 12 00 38 B4 5B 02 53
+3C 00 85 12 00 34 2E 5B 03 30 3E 3D 85 12 00 30
+C8 5B 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
+85 12 00 2C DC 5B 03 55 3E 3D 85 12 00 28 D2 5B
+03 30 3C 3E 85 12 00 24 F0 5B 02 30 3D 00 85 12
+00 20 4C 48 02 49 46 00 1A 42 CC 1D 8A 4E 00 00
+A2 53 CC 1D 0E 4A 30 4D E6 5B 04 54 48 45 4E 00
+1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
+3A 90 00 02 68 2F 88 DA 00 00 30 4D AE 59 04 45
+4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53
+CC 1D 2F 83 8F 4A 00 00 E3 3F 1A 5C 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89
+0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 CC 1D 30 4D 32 5A 05 41 47 41 49 4E
+87 12 AE 5B 62 5C 2A 44 00 00 05 57 48 49 4C 45
+87 12 08 5C 78 44 2A 44 BE 5B 06 52 45 50 45 41
+54 00 87 12 AE 5B 62 5C 20 5C 2A 44 00 00 03 4A
+4D 50 87 12 6A 4E AE 5B 62 5C 2A 44 3E B0 00 10
+03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
+3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
+4A 4D 50 00 87 12 CC 5C 6A 4E 78 44 62 5C 2A 44
+02 5D 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
+CC 1D 00 00 30 4D 88 43 00 00 A4 3F C8 5A 03 42
+57 31 84 12 00 5D 00 00 1E 5D 03 42 57 32 84 12
+00 5D 00 00 2A 5D 03 42 57 33 84 12 00 5D 00 00
+42 5D 3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F
+00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43
+00 00 61 3F 00 00 03 46 57 31 84 12 40 5D 00 00
+66 5D 03 46 57 32 84 12 40 5D 00 00 72 5D 03 46
+57 33 84 12 40 5D 00 00 7E 5D 04 47 4F 54 4F 00
+87 12 AE 5B 6A 4E 3C 4C 2A 44 EE 5C 05 3F 47 4F
+54 4F 87 12 CC 5C 6A 4E 3C 4C 2A 44 38 51 07 7B
+54 4F 4F 4C 53 7D 30 4D AA 56 03 41 4E 44 3E FF
+30 4D B4 53 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
+8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
+06 00 2E 8F 0E 11 87 12 34 44 3C 00 EE 48 6A 47
+34 44 08 00 EE 48 34 44 3E 00 EE 48 24 49 8A 44
+8A 44 96 45 C2 45 0E 5E 62 44 62 44 2A 44 C8 45
+00 46 F2 44 36 47 34 44 02 00 D8 45 10 5E 2A 44
+C4 5D 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
+E0 1C D3 3F E0 4A 01 3F 2E 4E 30 40 36 47 40 54
+03 50 41 44 85 12 E4 1C FA 54 05 57 4F 52 44 53
+87 12 9E 49 34 44 03 00 3A 49 34 44 D0 1D F2 44
+44 5E 34 44 10 00 44 44 20 45 E6 52 34 44 00 00
+44 44 34 44 10 00 44 44 20 45 34 44 00 00 C8 45
+44 44 00 46 44 5E 20 45 F2 44 AC 45 B8 45 9E 5E
+62 44 62 44 00 46 44 44 44 5E 20 45 F2 44 34 44
+02 00 D8 45 80 5E 54 44 B8 45 E0 5E 44 44 34 44
+02 00 28 45 F2 44 9A 44 44 5E 20 45 FA 44 44 44
+8E 4C 34 44 7F 00 BE 5D 5C 49 08 45 34 44 0F 00
+BE 5D 34 44 10 00 78 44 28 45 3A 49 B4 45 6C 5E
+62 44 2A 44 E0 52 03 4D 41 58 2E 9F 07 38 2F 53
+30 4D E6 5E 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D
+F8 51 03 55 2E 52 87 12 B0 44 54 46 34 44 00 00
+B4 46 E6 46 00 47 BC 44 8A 44 28 45 34 44 00 00
+EA 5E 3A 49 5C 49 2A 44 C2 53 04 44 55 4D 50 00
+0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F B0 12
+2A 44 78 44 8A 44 8A 44 36 47 34 44 01 00 28 45
+36 47 34 44 FE FF BE 5D C8 45 9E 49 00 46 34 44
+07 00 06 5F 24 49 00 46 34 44 10 00 20 45 00 46
+C8 45 00 46 08 45 34 44 03 00 06 5F EA 45 72 5F
+24 49 24 49 00 46 34 44 10 00 20 45 00 46 C8 45
+00 46 08 45 34 44 7E 00 F8 5E 32 46 EA 5E EE 48
+EA 45 90 5F 34 44 10 00 D8 45 5A 5F BC 44 3E 46
+FA 44 2A 44 AE 5D 0A 7B 46 49 58 50 4F 49 4E 54
+7D 00 30 4D 68 56 05 48 4F 4C 44 53 39 4F 09 5E
+18 42 B2 1D 19 83 1E 83 04 28 18 83 E8 49 00 00
+F9 3F 82 48 B2 1D 3E 4F 30 4D 56 53 02 46 2B 00
+BF 5F 02 00 3E 6F 30 4D EC 5F 02 46 2D 00 BF 8F
+02 00 8F 7E 00 00 3E 4F 30 4D FA 5F 02 46 2F 00
+1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F 02 00
+39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63 3E B0
+40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20 09 96
+02 28 09 86 0A 7E 07 67 04 64 15 83 09 30 0B 5B
+08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F
+2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 30 4D 0C 60 03 46
+23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00 2B 42
+B2 90 0A 00 E2 1D 01 20 1B 53 0C 43 A2 4F C0 04
+92 42 E2 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04
+3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E
+90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40
+90 1D 75 3F 8E 60 02 46 2A 00 92 4F 04 00 D4 04
+92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52
+00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D
+E6 60 02 46 2E 00 87 12 54 46 44 44 B0 44 4C 45
+92 60 34 44 2C 00 16 47 E6 46 BC 44 24 47 00 47
+5C 49 24 49 2A 44 5A 54 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 38 61 02 32 40 00 2F 83 9F 4E 02 00
+00 00 2E 4E 30 4D 46 61 09 32 43 4F 4E 53 54 41
+4E 54 87 12 00 50 46 4C 46 4C 18 50 4A 61 2A 44
 @FFFE
-6E 55
+12 55
 q
diff --git a/MSP_EXP430FR5969_16MHz_3Mbds.txt b/MSP_EXP430FR5969_16MHz_3Mbds.txt
deleted file mode 100644 (file)
index e789d47..0000000
+++ /dev/null
@@ -1,455 +0,0 @@
-@1800
-10 00 A6 48 80 3E 30 75 05 00 18 00 00 60 C2 56
-66 48 78 48 00 00 00 00
-@4400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 44
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 44 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 44 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 44 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 44 02 3E 52 00
-0E 12 3E 4F 30 4D 96 44 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 44 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 44 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 44 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 80 49 48 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 80 49 48 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 E4 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 48 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C3 23 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D3 23 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05
-FD 27 82 48 CE 05 30 4D DA 48 2D 83 92 B3 DC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA 47 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 47 04 45 4D 49
-54 00 30 40 04 49 0C 49 04 45 43 48 4F 00 B2 40
-82 48 D2 48 82 43 E4 1D 30 4D AE 47 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 48 92 43 E4 1D 30 4D
-64 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A 49 EF 3F 6C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 47 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 49 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 49 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC 48 04 28 43 52 29 00 87 12 C2 49
-02 0D 0A 00 80 49 2A 44 E4 47 02 43 52 00 30 40
-AC 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA 49 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 49 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 49 82 53 22 00 87 12
-34 44 C2 49 6E 4C F2 49 34 44 22 00 42 4A E0 49
-12 4A 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C 49 82 2E 22 00 87 12
-FE 49 34 44 80 49 6E 4C 2A 44 00 00 04 57 4F 52
-44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 46 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 4B 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 46 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 49 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C 4C
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E 46 42 4A DA 4C 3D 40 E6 4C E0 22
-3E 4F 3D 41 30 4D E8 4C 0A 4E 3E 4F 3D 40 FE 4C
-38 27 3D 40 D4 4C 1A E2 BE 1D B9 27 B3 23 00 4D
-3E 4F 3D 40 D4 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 4F CD 3F 5C 4C 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 C4 4C 3C 4D B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A 44 C2 49 05 0D 0A 6F
-6B 20 80 49 5A 46 44 44 64 46 32 48 48 49 C4 4C
-DE 44 92 45 C2 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E 4E 34 44 30 FF C6 47 D2 45 C2 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E 4E 90 46
-F2 44 E0 45 6A 4D C2 49 05 0D 0A 20 20 20 DC 45
-72 4D B2 40 FA 54 5E 55 B2 40 A0 48 94 48 B2 40
-04 49 14 49 B2 40 AC 49 C0 49 B2 40 00 48 26 48
-82 43 84 5D 82 43 90 5D 82 43 9C 5D 82 43 CC 5D
-82 43 D8 5D 82 43 E4 5D B2 40 0A 00 E2 1D 30 41
-2A 48 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 48 B0 12 CE 4D A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 C2 49 04 1B 5B 37
-6D 00 80 49 34 44 E4 1D F2 44 54 44 E0 45 74 4E
-C2 49 05 6C 69 6E 65 3A 80 49 34 44 01 00 28 45
-7C 47 1E 49 80 49 C2 49 04 1B 5B 30 6D 00 80 49
-70 54 E0 49 08 4E 02 4E 86 41 42 4F 52 54 22 00
-87 12 FE 49 34 44 0E 4E 6E 4C 2A 44 9A 4A 01 27
-87 12 6E 46 42 4A A0 4A E0 45 AE 4E 2A 44 08 4D
-96 46 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 4E 01 5D B2 43 BE 1D 30 4D
-BE 4E 83 5B 27 5D 87 12 A0 4E 34 44 34 44 6E 4C
-6E 4C 2A 44 BE 4F 02 00 3E 4F 30 4D A6 49 82 49
-53 00 87 12 90 46 F2 44 E0 45 06 4F D6 4E 34 44
-E4 4E 6E 4C 2A 44 A0 4E E4 4E 2A 44 EE 4E 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA 49 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E 4D
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 46 42 4A
-A0 4A 54 44 E0 45 AE 4E 92 45 E0 45 6A 4F 34 44
-34 44 6E 4C 6E 4C 34 44 6E 4C 6E 4C 2A 44 82 9F
-BC 1D 34 25 87 12 C2 49 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 4E 87 12 1E 4A 6E 46
-42 4A 94 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A 4F BA 40 86 12 FC FF 05 3D B0 4C 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A 4F BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC 4F 06 43 52 45 41 54
-45 00 B0 12 8A 4F BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E 4D 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 50 05 44
-45 46 45 52 B0 12 8A 4F BA 40 30 40 FC FF BA 40
-2A 50 FE FF CF 3C D2 4E 01 3A B0 12 8A 4F BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 50 81 3B 82 93 BE 1D 24 27 87 12 34 44
-2A 44 6E 4C 6E 4F C0 4E 2A 44 FA 4F 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 50 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE 50 86 5B
-45 4C 53 45 5D 00 87 12 34 44 01 00 6E 46 42 4A
-B6 4C 44 44 E0 45 34 51 8A 44 8A 44 C2 49 04 5B
-49 46 5D 00 84 50 EA 45 F2 50 00 4B 2C 45 DC 45
-28 51 8A 44 8A 44 C2 49 06 5B 45 4C 53 45 5D 00
-84 50 EA 45 16 51 00 4B B8 50 44 44 E0 45 12 51
-2C 45 DC 45 28 51 C2 49 06 5B 54 48 45 4E 5D 00
-84 50 EA 45 28 51 B8 50 54 44 EA 45 30 51 2A 44
-DC 45 CC 50 00 4B C2 49 05 0D 0A 6B 6F 20 80 49
-5A 46 44 44 64 46 32 48 4A 51 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC 50 30 4D BE 50
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 51
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E 46
-42 4A A0 4A 6C 44 86 45 2A 44 70 51 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E 46 42 4A A0 4A 6C 44
-2A 44 A4 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 4C 06 4D 41 52 4B 45 52 00 B0 12 8A 4F
-BA 40 84 12 FC FF BA 40 A2 51 FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E 4F
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 45 00 00 2E 53 30 4D 2E 50 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC 45
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A 49 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C 50
-85 42 45 47 49 4E 30 40 C6 47 2E 52 85 55 4E 54
-49 4C 39 40 E0 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 4E 85 41 47 41
-49 4E 39 40 DC 45 EF 3F C4 4F 85 57 48 49 4C 45
-87 12 F4 51 78 44 2A 44 26 4F 86 52 45 50 45 41
-54 00 87 12 72 52 34 52 2A 44 0E 52 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 51 84 4C 4F 4F 50 00 39 40 12 46 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 48 85 2B 4C 4F 4F 50 39 40
-00 46 E5 3F C4 52 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 53 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A 52 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 50 34 44 10 00
-34 44 00 00 F0 45 34 44 00 00 6E 4C 12 46 86 53
-C6 47 34 44 CE 1D 44 44 F2 44 6E 4C FA 44 1A 50
-34 44 D0 1D FA 44 2A 44 9E 4E 05 46 4F 52 54 48
-84 12 A0 53 08 57 DE 56 76 5F AA 53 C6 56 F8 52
-40 5F 78 5E 94 54 AE 54 4E 5F 96 5E 46 45 0C 5E
-C8 4E 8C 5E 00 00 6C 52 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 4F 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A 4A 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C 52 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 53 0E 54 22 54
-32 54 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE 53 09 50 57 52 5F 53 54 41 54 45
-84 12 2A 54 C2 56 00 60 8A 52 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 54 92 42 0C 18 76 54
-EF 3F 66 54 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 54 92 42 CC 1D 76 54 30 4D 7A 54 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C 53 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 CC FF FA 23 B0 12
-C2 4D B2 40 00 60 0C 18 B2 40 C2 56 0E 18 CA 3F
-F0 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 49 06 0D 1B 5B 37 6D 23 00 80 49 B0 47 C2 49
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 49 34 44 30 FF C6 47
-28 45 7C 47 C2 49 0B 62 79 74 65 73 20 66 72 65
-65 20 1A 4E C8 54 04 57 41 52 4D 00 30 40 FA 54
-40 52 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A 5C 01 92 D3 04 02 B2 40 FE FF 02 02 A2 83
-06 02 B2 D0 00 42 24 02 B2 D3 26 02 B2 40 FF BF
-22 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00 41 01
-F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01
-B2 40 48 00 62 01 82 43 66 01 39 40 80 00 B2 40
-33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93
-08 18 01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83
-FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00
-A1 04 3A 40 6E 55 39 40 CC FF 89 4A 00 00 29 53
-FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18 39 40
-00 1C 89 43 00 00 29 53 39 90 FF 23 FA 2B 31 40
-E0 1C 3F 40 80 1C 37 40 00 44 36 40 BC 44 35 40
-08 44 34 40 14 44 B2 40 0A 00 E2 1D B2 43 B4 1D
-92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20 38 E3
-18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40 05 00
-C6 05 B2 40 00 49 C8 05 F2 D0 03 00 0D 02 92 C3
-C0 05 92 D3 DA 05 3D 40 90 56 18 42 08 18 38 90
-0A 00 25 27 38 90 16 00 22 2F 28 93 FB 22 F0 26
-5C 55 D8 53 09 41 53 53 45 4D 42 4C 45 52 84 12
-A0 53 E8 5C 94 5D 9C 5C E8 5D 62 5C 1C 5D 66 59
-00 00 58 5C 08 5D BA 5C F8 5C 76 5A 00 00 00 00
-FA 5D D4 53 F2 54 85 48 49 32 4C 4F 87 12 C6 47
-08 52 6E 4C C0 4E DE 53 9E 56 2A 44 62 55 04 43
-4F 44 45 00 B0 12 8A 4F A2 82 CC 1D 87 12 5C 50
-DC 45 D6 56 00 00 07 45 4E 44 43 4F 44 45 87 12
-F8 53 6E 4F 2A 44 94 56 03 41 53 4D 92 42 E0 1D
-C0 1D B2 40 A2 56 E0 1D E5 3F F6 56 06 45 4E 44
-41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43
-4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53
-CC 1D B2 43 BE 1D 30 40 F8 53 00 00 05 4C 4F 32
-48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A 44
-02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48
-09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83
-0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 44 42 4A
-A0 4A EA 45 9E 57 62 4B E0 45 AE 4E C0 57 A0 57
-29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
-03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
-30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40
-29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 44 42 4A
-62 4B E0 45 F2 57 E8 57 21 53 3E 90 10 00 BB 2D
-30 41 F4 57 B2 41 CA 1D 22 D3 30 41 87 12 6E 46
-68 57 04 58 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
-CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
-CA 1D B0 12 8A 57 0E 93 04 20 B2 40 00 03 C4 1D
-27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93
-04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40
-20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D
-0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40
-30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
-10 02 C4 1D 92 53 CA 1D 30 12 74 58 76 3F FA 90
-40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D
-B0 12 D2 57 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00
-B0 12 D2 57 32 24 92 92 C6 1D CA 1D 02 24 92 53
-CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 D2 57 F9 23
-B2 50 10 00 C4 1D 3E 40 28 00 B0 12 8A 57 30 12
-C4 58 67 3F 87 12 6E 46 68 57 FC 58 FE 90 26 00
-00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F
-B0 12 D2 57 DF 23 B2 50 80 00 C4 1D 3E 40 28 00
-B0 12 8A 57 B0 12 C2 57 D5 23 3D 40 AE 4E 30 4D
-8C 48 04 52 45 54 49 00 87 12 34 44 00 13 6E 4C
-2A 44 34 44 2C 00 FC 57 F4 58 4C 59 2E 4E 1E D2
-C4 1D 19 42 C2 1D 92 3F 4C 57 03 4D 4F 56 84 12
-42 59 00 40 5A 59 05 4D 4F 56 2E 42 84 12 42 59
-40 40 00 00 03 41 44 44 84 12 42 59 00 50 74 59
-05 41 44 44 2E 42 84 12 42 59 40 50 80 59 04 41
-44 44 43 00 84 12 42 59 00 60 8E 59 06 41 44 44
-43 2E 42 00 84 12 42 59 40 60 32 59 04 53 55 42
-43 00 84 12 42 59 00 70 AC 59 06 53 55 42 43 2E
-42 00 84 12 42 59 40 70 BA 59 03 53 55 42 84 12
-42 59 00 80 CA 59 05 53 55 42 2E 42 84 12 42 59
-40 80 2E 57 03 43 4D 50 84 12 42 59 00 90 E4 59
-05 43 4D 50 2E 42 84 12 42 59 40 90 1C 57 04 44
-41 44 44 00 84 12 42 59 00 A0 FE 59 06 44 41 44
-44 2E 42 00 84 12 42 59 40 A0 F0 59 03 42 49 54
-84 12 42 59 00 B0 1C 5A 05 42 49 54 2E 42 84 12
-42 59 40 B0 28 5A 03 42 49 43 84 12 42 59 00 C0
-36 5A 05 42 49 43 2E 42 84 12 42 59 40 C0 42 5A
-03 42 49 53 84 12 42 59 00 D0 50 5A 05 42 49 53
-2E 42 84 12 42 59 40 D0 00 00 03 58 4F 52 84 12
-42 59 00 E0 6A 5A 05 58 4F 52 2E 42 84 12 42 59
-40 E0 9C 59 03 41 4E 44 84 12 42 59 00 F0 84 5A
-05 41 4E 44 2E 42 84 12 42 59 40 F0 6E 46 FC 57
-A2 5A 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0
-0F 00 82 DA C4 1D 4A 3F D6 59 03 52 52 43 84 12
-9C 5A 00 10 BA 5A 05 52 52 43 2E 42 84 12 9C 5A
-40 10 C6 5A 04 53 57 50 42 00 84 12 9C 5A 80 10
-D4 5A 03 52 52 41 84 12 9C 5A 00 11 E2 5A 05 52
-52 41 2E 42 84 12 9C 5A 40 11 EE 5A 03 53 58 54
-84 12 9C 5A 80 11 00 00 04 50 55 53 48 00 84 12
-9C 5A 00 12 08 5B 06 50 55 53 48 2E 42 00 84 12
-9C 5A 40 12 5C 5A 04 43 41 4C 4C 00 84 12 9C 5A
-80 12 34 44 2C 00 FC 57 F4 58 3C 5B 59 42 C4 1D
-5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20
-0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
-A8 3F 1A 53 0E 4A 87 12 B0 47 C2 49 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 14 4E 16 5B 05 50
-55 53 48 4D 84 12 32 5B 00 15 7E 5B 04 50 4F 50
-4D 00 84 12 32 5B 00 17 6E 46 68 57 9E 5B 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D
-3E 40 2C 00 B0 12 2A 44 42 4A 62 4B E0 45 AE 4E
-F4 58 C4 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
-5A 06 6F 3F FC 5A 04 52 52 43 4D 00 84 12 98 5B
-50 00 D6 5B 04 52 52 41 4D 00 84 12 98 5B 50 01
-E4 5B 04 52 4C 41 4D 00 84 12 98 5B 50 02 F2 5B
-04 52 52 55 4D 00 84 12 98 5B 50 03 85 12 00 3C
-00 5C 03 53 3E 3D 85 12 00 38 12 5C 02 53 3C 00
-85 12 00 34 8C 5B 03 30 3E 3D 85 12 00 30 26 5C
-02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
-00 2C 3A 5C 03 55 3E 3D 85 12 00 28 30 5C 03 30
-3C 3E 85 12 00 24 4E 5C 02 30 3D 00 85 12 00 20
-98 48 02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53
-CC 1D 0E 4A 30 4D 44 5C 04 54 48 45 4E 00 1A 42
-CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 68 2F 88 DA 00 00 30 4D 0C 5A 04 45 4C 53
-45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D
-2F 83 8F 4A 00 00 E3 3F 78 5C 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 CC 1D 30 4D 90 5A 05 41 47 41 49 4E 87 12
-0C 5C C0 5C 2A 44 00 00 05 57 48 49 4C 45 87 12
-66 5C 78 44 2A 44 1C 5C 06 52 45 50 45 41 54 00
-87 12 0C 5C C0 5C 7E 5C 2A 44 00 00 03 4A 4D 50
-87 12 A0 4E 0C 5C C0 5C 2A 44 3E B0 00 10 03 20
-3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
-00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
-50 00 87 12 2A 5D A0 4E 78 44 C0 5C 2A 44 60 5D
-3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D
-00 00 30 4D 88 43 00 00 A4 3F 26 5B 03 42 57 31
-84 12 5E 5D 00 00 7C 5D 03 42 57 32 84 12 5E 5D
-00 00 88 5D 03 42 57 33 84 12 5E 5D 00 00 A0 5D
-3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00
-A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
-61 3F 00 00 03 46 57 31 84 12 9E 5D 00 00 C4 5D
-03 46 57 32 84 12 9E 5D 00 00 D0 5D 03 46 57 33
-84 12 9E 5D 00 00 DC 5D 04 47 4F 54 4F 00 87 12
-0C 5C A0 4E 64 4C 2A 44 4C 5D 05 3F 47 4F 54 4F
-87 12 2A 5D A0 4E 64 4C 2A 44 8C 51 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 08 54 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44
-3C 00 12 49 B0 47 34 44 08 00 12 49 34 44 3E 00
-12 49 48 49 8A 44 8A 44 C4 45 EA 45 64 5E 62 44
-62 44 2A 44 F0 45 28 46 F2 44 7C 47 34 44 02 00
-00 46 66 5E 2A 44 1A 5E 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 08 4B 01 3F 2E 4E
-30 40 7C 47 56 55 05 57 4F 52 44 53 87 12 BE 49
-34 44 03 00 5E 49 34 44 D0 1D F2 44 50 46 34 44
-10 00 44 44 20 45 3A 53 34 44 00 00 44 44 34 44
-10 00 44 44 20 45 34 44 00 00 F0 45 44 44 28 46
-50 46 20 45 F2 44 D2 45 E0 45 EA 5E 62 44 62 44
-28 46 44 44 50 46 20 45 F2 44 34 44 02 00 00 46
-CC 5E 54 44 E0 45 2C 5F 44 44 34 44 02 00 28 45
-F2 44 9A 44 50 46 20 45 FA 44 44 44 B6 4C 34 44
-7F 00 36 45 80 49 08 45 34 44 0F 00 36 45 34 44
-10 00 78 44 28 45 5E 49 DC 45 B8 5E 62 44 2A 44
-34 53 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 32 5F
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 4C 52 03 55
-2E 52 87 12 B0 44 9A 46 34 44 00 00 FA 46 2C 47
-46 47 BC 44 8A 44 28 45 34 44 00 00 36 5F 5E 49
-80 49 2A 44 16 54 04 44 55 4D 50 00 0D 12 12 12
-E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A 44 78 44
-8A 44 8A 44 7C 47 34 44 01 00 28 45 7C 47 34 44
-FE FF 36 45 F0 45 BE 49 28 46 34 44 07 00 52 5F
-48 49 28 46 34 44 10 00 20 45 28 46 F0 45 28 46
-08 45 34 44 03 00 52 5F 12 46 BE 5F 48 49 48 49
-28 46 34 44 10 00 20 45 28 46 F0 45 28 46 08 45
-34 44 7E 00 44 5F 6E 46 36 5F 12 49 12 46 DC 5F
-34 44 10 00 00 46 A6 5F BC 44 84 46 FA 44 2A 44
-@FFFE
-6E 55
-q
diff --git a/MSP_EXP430FR5969_16MHz_5Mbds.txt b/MSP_EXP430FR5969_16MHz_5Mbds.txt
new file mode 100644 (file)
index 0000000..c29f7c9
--- /dev/null
@@ -0,0 +1,478 @@
+@1800
+10 00 54 48 80 3E 50 C3 05 00 18 00 66 61 5A 56
+20 48 2C 48 00 00 00 00
+@4400
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 44
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 44 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 44 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 44 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 44 02 3E 52 00
+0E 12 3E 4F 30 4D 96 44 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 44 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 44 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 44 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 45 02 31 2D 00
+1E 83 30 4D D8 44 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 45
+02 30 3D 00 1E 83 0E 7E 30 4D 60 45 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 45 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 44 01 3E 3E 8F F9 3F 6C 45 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 45 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 45
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 45 03 43 49 42 85 12 3C 1D 24 46 02 42
+4C 00 85 12 20 00 2E 46 04 42 41 53 45 00 85 12
+E2 1D C8 44 05 53 54 41 54 45 85 12 BE 1D 86 45
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 45 06 55
+4D 2F 4D 4F 44 00 30 12 62 44 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 46 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 46
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 46 02 23 53 00 87 12 B4 46 EC 46 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 46 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 45
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 46 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 46 02 55 2E 00 87 12 54 46 34 44 00 00 E6 46
+00 47 50 49 18 49 2A 44 46 45 02 44 2E 00 87 12
+54 46 78 44 8A 44 4C 45 E6 46 9A 44 24 47 00 47
+50 49 18 49 2A 44 84 44 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 47 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 44 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 46 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 47 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 20 48 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 2C 48 30 4D 10 46 03 4B 45 59 30 40
+BA 47 8E 47 06 41 43 43 45 50 54 00 3C 40 8C 48
+3B 40 5C 48 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 48 92 B3 DC 05 05 24 18 42
+CC 05 38 90 0A 00 04 20 21 53 39 40 40 48 4D 15
+B2 40 11 00 CE 05 E2 C3 23 02 30 41 B2 40 13 00
+CE 05 E2 D3 23 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E 48 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 CE 05 30 4D
+82 48 2D 83 92 B3 DC 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41
+30 4D B4 47 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 1D 00 00 AF 4F 02 00 91 3F 82 43 CA 1D 82 4E
+C6 1D B2 4F C8 1D 3E 4F 30 4D 4A 47 04 45 4D 49
+54 00 30 40 AC 48 DC 48 04 45 43 48 4F 00 B2 40
+82 48 7A 48 82 43 E4 1D 30 4D 68 47 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A 48 92 43 E4 1D 30 4D
+1E 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 49 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A 49 EF 3F 3C 49 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 47 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 49 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 49 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 48 04 28 43 52 29 00
+87 12 96 49 02 0D 0A 00 50 49 2A 44 9E 47 02 43
+52 00 30 40 80 49 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E 49 07 43 41 50
+53 5F 4F 4E B2 43 B4 1D 30 4D AC 49 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 1D 30 4D 26 49 82 53
+22 00 87 12 34 44 96 49 3A 4C C6 49 34 44 22 00
+16 4A B4 49 E6 49 3D 41 6E 4E 1E 83 82 5E CC 1D
+3E 4F 92 B3 CC 1D A2 63 CC 1D 30 4D FC 48 82 2E
+22 00 87 12 D2 49 34 44 50 49 3A 4C 2A 44 00 00
+04 57 4F 52 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 1D F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D
+1E 42 CC 1D 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 1D 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 44 34 40 14 44
+30 4D 94 45 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+7E 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F 80 4B
+0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90
+2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00
+23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 6A 46 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D E8 48 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42 CC 1D A2 53
+CC 1D 8A 4E 00 00 3E 4F 30 4D 38 4C 87 4C 49 54
+45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52
+CC 1D BA 40 34 44 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D BC 49 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+87 12 CA 48 32 46 16 4A 9A 4C 3D 40 A2 4C EA 22
+50 3E A4 4C 0A 4E 3E 4F 3D 40 BA 4C 40 27 3D 40
+94 4C 1A E2 BE 1D C1 27 BB 23 BC 4C 3E 4F 3D 40
+94 4C C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 46 4F D5 3F 28 4C 08 45 56 41 4C 55
+41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15
+B0 12 2A 44 90 4C F8 4C B2 41 CA 1D B2 41 C8 1D
+B2 41 C6 1D 3D 41 30 4D 9C 45 04 51 55 49 54 00
+31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43
+08 18 B0 12 2A 44 96 49 05 0D 0A 6F 6B 20 50 49
+B2 48 18 49 90 4C DE 44 70 45 96 49 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 CA 4D 34 44 30 FF
+80 47 AC 45 96 49 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 CA 4D 4A 46 F2 44 B8 45 26 4D 96 49 05 0D
+0A 20 20 20 B4 45 2E 4D E4 47 05 41 42 4F 52 54
+3F 40 80 1C C5 3F B2 40 9A 54 F6 54 B2 40 4E 48
+42 48 B2 40 3C 1D 2A 46 B2 40 AC 48 E4 48 B2 40
+80 49 94 49 B2 40 BA 47 E0 47 82 43 1C 5D 82 43
+28 5D 82 43 34 5D 82 43 64 5D 82 43 70 5D 82 43
+7C 5D B2 40 0A 00 E2 1D 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 7A 48 B0 12 92 4D
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02
+92 C3 DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 DC 05 F3 23 87 12 96 49 04 1B
+5B 37 6D 00 50 49 34 44 E4 1D F2 44 54 44 B8 45
+32 4E 96 49 05 6C 69 6E 65 3A 50 49 40 45 36 47
+EE 48 50 49 96 49 04 1B 5B 30 6D 00 50 49 10 54
+B4 49 80 4D 7A 4D 86 41 42 4F 52 54 22 00 87 12
+D2 49 34 44 CA 4D 3A 4C 2A 44 6E 4A 01 27 87 12
+32 46 16 4A 74 4A B8 45 6C 4E 2A 44 C4 4C 50 46
+81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B 82 43
+BE 1D 30 4D 70 4E 01 5D B2 43 BE 1D 30 4D 7C 4E
+83 5B 27 5D 87 12 5E 4E 34 44 34 44 3A 4C 3A 4C
+2A 44 BE 4F 02 00 3E 4F 30 4D 7A 49 82 49 53 00
+87 12 4A 46 F2 44 B8 45 C4 4E 94 4E 34 44 A2 4E
+3A 4C 2A 44 5E 4E A2 4E 2A 44 AC 4E 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00 00 00
+30 4D CE 49 87 52 45 43 55 52 53 45 19 42 CC 1D
+99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 0A 4D 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 46 16 4A 74 4A
+54 44 B8 45 6C 4E 70 45 B8 45 28 4F 34 44 34 44
+3A 4C 3A 4C 34 44 3A 4C 3A 4C 2A 44 82 9F BC 1D
+25 25 87 12 96 49 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 D6 4D 90 4E 81 3B 82 93 BE 1D
+90 27 87 12 34 44 2A 44 3A 4C 2C 4F 7E 4E 2A 44
+BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
+BC 1D 30 4D 4A 4F 01 3A 30 12 60 4F 87 12 F2 49
+32 46 16 4A 86 4F 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
+82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
+3D 41 30 41 10 4A 08 56 41 52 49 41 42 4C 45 00
+B0 12 7C 4F BA 40 86 12 FC FF DC 3C 7C 4C 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 7C 4F BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C CE 4F 06 43 52 45
+41 54 45 00 B0 12 7C 4F BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C DA 4C 05 44 4F 45 53 3E 1A 42 BA 1D
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 06 50
+05 44 45 46 45 52 B0 12 7C 4F BA 40 30 40 FC FF
+BA 40 1C 50 FE FF A6 3C EC 4F 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 76 4F 86 5B 54 48
+45 4E 5D 00 30 4D 6C 50 86 5B 45 4C 53 45 5D 00
+87 12 34 44 01 00 32 46 16 4A 82 4C 44 44 B8 45
+EA 50 8A 44 8A 44 96 49 04 5B 49 46 5D 00 42 50
+C2 45 AC 50 72 49 36 45 B4 45 E2 50 8A 44 8A 44
+96 49 06 5B 45 4C 53 45 5D 00 42 50 C2 45 D0 50
+72 49 40 45 44 44 B8 45 E2 50 36 45 B4 45 E2 50
+96 49 06 5B 54 48 45 4E 5D 00 42 50 C2 45 E2 50
+40 45 54 44 C2 45 86 50 2A 44 72 49 96 49 05 0D
+0A 6B 6F 20 50 49 B2 48 CA 48 B4 45 86 50 78 50
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 00 51
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 46
+16 4A 74 4A 6C 44 64 45 2A 44 10 51 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 46 16 4A 74 4A 6C 44
+2A 44 44 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D 4C 4C 06 4D 41 52 4B 45 52 00 B0 12 7C 4F
+BA 40 84 12 FC FF BA 40 42 51 FE FF 9A 42 CE 1D
+00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
+19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D CC 4E
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
+CC 1D BE 40 B8 45 00 00 2E 53 30 4D 20 50 84 45
+4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 B4 45
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 4A 49 84 54
+48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 3A 50
+85 42 45 47 49 4E 30 40 80 47 CE 51 85 55 4E 54
+49 4C 39 40 B8 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D 46 4E 85 41 47 41
+49 4E 39 40 B4 45 EF 3F B6 4F 85 57 48 49 4C 45
+87 12 94 51 78 44 2A 44 E4 4E 86 52 45 50 45 41
+54 00 87 12 12 52 D4 51 2A 44 AE 51 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
+C8 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
+30 4D 54 51 84 4C 4F 4F 50 00 39 40 EA 45 A2 52
+CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
+00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA 47 85 2B 4C 4F 4F 50 39 40
+D8 45 E5 3F 64 52 85 4C 45 41 56 45 1A 42 CC 1D
+BA 40 FA 45 00 00 BA 40 B4 45 02 00 B2 50 06 00
+CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
+30 4D A6 52 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D 1A 52 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 F4 4F 34 44 10 00
+34 44 00 00 C8 45 34 44 00 00 3A 4C EA 45 26 53
+80 47 34 44 CE 1D 44 44 F2 44 3A 4C FA 44 0C 50
+34 44 D0 1D FA 44 2A 44 5C 4E 05 46 4F 52 54 48
+84 12 40 53 B0 5D 76 56 20 5F 08 61 BC 5F 98 52
+EA 5E 18 5E 36 5E 4E 61 F8 5E 40 5E 00 00 AC 5F
+86 4E 2C 5E 00 00 0C 52 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 1D 38 40 D2 1D B6 3F FE 4E 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
+38 40 D0 1D A3 3F FE 49 04 4F 4E 4C 59 00 82 43
+D2 1D 30 4D 3C 52 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 1D E0 1D 30 4D 50 53 AE 53 C2 53
+D2 53 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 8E 53 09 50 57 52 5F 53 54 41 54 45
+84 12 CA 53 5A 56 66 61 2A 52 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 14 54 92 42 0C 18 16 54
+EF 3F 06 54 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 1D 14 54 92 42 CC 1D 16 54 30 4D 1A 54 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
+CC 1D 0C 18 EC 3F 0C 53 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 CC FF FA 23 B0 12
+86 4D B2 40 66 61 0C 18 B2 40 5A 56 0E 18 CA 3F
+90 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+96 49 06 0D 1B 5B 37 6D 23 00 50 49 6A 47 96 49
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+50 49 34 44 30 FF 80 47 28 45 36 47 96 49 0B 62
+79 74 65 73 20 66 72 65 65 20 DC 4D 68 54 04 57
+41 52 4D 00 30 40 9A 54 E0 51 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 D3 04 02
+B2 40 FE FF 02 02 A2 83 06 02 B2 D0 00 42 24 02
+B2 D3 26 02 B2 40 FF BF 22 02 F2 43 22 03 F2 D3
+26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
+41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
+66 01 39 40 00 01 B2 40 33 00 64 01 D2 43 61 01
+92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
+59 14 18 83 FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0
+10 00 2A 03 F2 C0 40 00 A1 04 3A 40 06 55 39 40
+CC FF 89 4A 00 00 29 53 FC 23 92 42 02 18 F0 FF
+B2 40 18 00 0A 18 39 40 00 1C 89 43 00 00 29 53
+39 90 FF 23 FA 2B 31 40 E0 1C 3F 40 80 1C 37 40
+00 44 36 40 BC 44 35 40 08 44 34 40 14 44 B2 40
+0A 00 E2 1D B2 43 B4 1D 92 C3 30 01 18 42 08 18
+D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18 B2 40
+81 00 C0 05 B2 40 03 00 C6 05 B2 40 00 21 C8 05
+F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40
+28 56 18 42 08 18 38 90 0A 00 29 27 38 90 16 00
+26 2F 28 93 FF 22 F4 26 F4 54 78 53 09 41 53 53
+45 4D 42 4C 45 52 84 12 40 53 80 5C 2C 5D 34 5C
+80 5D FA 5B B4 5C FE 58 00 00 F0 5B A0 5C 52 5C
+90 5C 0E 5A 00 00 00 00 92 5D 74 53 92 54 85 48
+49 32 4C 4F 87 12 80 47 A8 51 3A 4C 7E 4E 7E 53
+36 56 2A 44 FA 54 04 43 4F 44 45 00 B0 12 7C 4F
+A2 82 CC 1D 87 12 6E 4F B4 45 6E 56 00 00 07 45
+4E 44 43 4F 44 45 87 12 98 53 2C 4F 2A 44 2C 56
+03 41 53 4D 92 42 E0 1D C0 1D B2 40 3A 56 E0 1D
+E5 3F 8E 56 06 45 4E 44 41 53 4D 00 92 42 C0 1D
+E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D
+BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40
+98 53 00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40
+B0 12 00 00 BA 40 2A 44 02 00 A2 52 CC 1D ED 3F
+38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A
+03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D
+30 4D B0 12 2A 44 16 4A 74 4A C2 45 36 57 2E 4B
+B8 45 6C 4E 58 57 38 57 29 4E 39 90 86 12 02 20
+2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
+39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53
+CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53
+CA 1D B0 12 2A 44 16 4A 2E 4B B8 45 8A 57 80 57
+21 53 3E 90 10 00 BB 2D 30 41 8C 57 B2 41 CA 1D
+22 D3 30 41 87 12 32 46 00 57 9C 57 82 43 C4 1D
+92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90
+23 00 00 00 34 20 92 53 CA 1D B0 12 22 57 0E 93
+04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40
+10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D
+1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92
+04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40
+30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D
+A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
+26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D
+30 12 0C 58 76 3F FA 90 40 00 00 00 1A 20 B2 40
+20 00 C4 1D 92 53 CA 1D B0 12 6A 57 0E 20 B2 50
+10 00 C4 1D 3E 40 2B 00 B0 12 6A 57 32 24 92 92
+C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D
+D3 3F B0 12 6A 57 F9 23 B2 50 10 00 C4 1D 3E 40
+28 00 B0 12 22 57 30 12 5C 58 67 3F 87 12 32 46
+00 57 94 58 FE 90 26 00 00 00 3E 40 20 00 04 20
+B2 50 82 00 C4 1D C2 3F B0 12 6A 57 DF 23 B2 50
+80 00 C4 1D 3E 40 28 00 B0 12 22 57 B0 12 5A 57
+D5 23 3D 40 6C 4E 30 4D 3A 48 04 52 45 54 49 00
+87 12 34 44 00 13 3A 4C 2A 44 34 44 2C 00 94 57
+8C 58 E4 58 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F
+E4 56 03 4D 4F 56 84 12 DA 58 00 40 F2 58 05 4D
+4F 56 2E 42 84 12 DA 58 40 40 00 00 03 41 44 44
+84 12 DA 58 00 50 0C 59 05 41 44 44 2E 42 84 12
+DA 58 40 50 18 59 04 41 44 44 43 00 84 12 DA 58
+00 60 26 59 06 41 44 44 43 2E 42 00 84 12 DA 58
+40 60 CA 58 04 53 55 42 43 00 84 12 DA 58 00 70
+44 59 06 53 55 42 43 2E 42 00 84 12 DA 58 40 70
+52 59 03 53 55 42 84 12 DA 58 00 80 62 59 05 53
+55 42 2E 42 84 12 DA 58 40 80 C6 56 03 43 4D 50
+84 12 DA 58 00 90 7C 59 05 43 4D 50 2E 42 84 12
+DA 58 40 90 B4 56 04 44 41 44 44 00 84 12 DA 58
+00 A0 96 59 06 44 41 44 44 2E 42 00 84 12 DA 58
+40 A0 88 59 03 42 49 54 84 12 DA 58 00 B0 B4 59
+05 42 49 54 2E 42 84 12 DA 58 40 B0 C0 59 03 42
+49 43 84 12 DA 58 00 C0 CE 59 05 42 49 43 2E 42
+84 12 DA 58 40 C0 DA 59 03 42 49 53 84 12 DA 58
+00 D0 E8 59 05 42 49 53 2E 42 84 12 DA 58 40 D0
+00 00 03 58 4F 52 84 12 DA 58 00 E0 02 5A 05 58
+4F 52 2E 42 84 12 DA 58 40 E0 34 59 03 41 4E 44
+84 12 DA 58 00 F0 1C 5A 05 41 4E 44 2E 42 84 12
+DA 58 40 F0 32 46 94 57 3A 5A 1A 42 C4 1D B2 F0
+70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F
+6E 59 03 52 52 43 84 12 34 5A 00 10 52 5A 05 52
+52 43 2E 42 84 12 34 5A 40 10 5E 5A 04 53 57 50
+42 00 84 12 34 5A 80 10 6C 5A 03 52 52 41 84 12
+34 5A 00 11 7A 5A 05 52 52 41 2E 42 84 12 34 5A
+40 11 86 5A 03 53 58 54 84 12 34 5A 80 11 00 00
+04 50 55 53 48 00 84 12 34 5A 00 12 A0 5A 06 50
+55 53 48 2E 42 00 84 12 34 5A 40 12 F4 59 04 43
+41 4C 4C 00 84 12 34 5A 80 12 34 44 2C 00 94 57
+8C 58 D4 5A 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D
+BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
+3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
+6A 47 96 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 D6 4D AE 5A 05 50 55 53 48 4D 84 12 CA 5A
+00 15 16 5B 04 50 4F 50 4D 00 84 12 CA 5A 00 17
+32 46 00 57 36 5B 82 43 C4 1D 92 42 CC 1D C2 1D
+A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A 44
+16 4A 2E 4B B8 45 6C 4E 8C 58 5C 5B 0A 4E 3E 4F
+1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 94 5A 04 52
+52 43 4D 00 84 12 30 5B 50 00 6E 5B 04 52 52 41
+4D 00 84 12 30 5B 50 01 7C 5B 04 52 4C 41 4D 00
+84 12 30 5B 50 02 8A 5B 04 52 52 55 4D 00 84 12
+30 5B 50 03 85 12 00 3C 98 5B 03 53 3E 3D 85 12
+00 38 AA 5B 02 53 3C 00 85 12 00 34 24 5B 03 30
+3E 3D 85 12 00 30 BE 5B 02 30 3C 00 85 12 00 30
+00 00 02 55 3C 00 85 12 00 2C D2 5B 03 55 3E 3D
+85 12 00 28 C8 5B 03 30 3C 3E 85 12 00 24 E6 5B
+02 30 3D 00 85 12 00 20 46 48 02 49 46 00 1A 42
+CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D DC 5B
+04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
+30 4D A4 59 04 45 4C 53 45 00 1A 42 CC 1D BA 40
+00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F
+10 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D 28 5A
+05 41 47 41 49 4E 87 12 A4 5B 58 5C 2A 44 00 00
+05 57 48 49 4C 45 87 12 FE 5B 78 44 2A 44 B4 5B
+06 52 45 50 45 41 54 00 87 12 A4 5B 58 5C 16 5C
+2A 44 00 00 03 4A 4D 50 87 12 5E 4E A4 5B 58 5C
+2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
+00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
+30 4D 00 00 04 3F 4A 4D 50 00 87 12 C2 5C 5E 4E
+78 44 58 5C 2A 44 F8 5C 3D 41 08 4E 3E 4F 2A 48
+0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00
+A4 3F BE 5A 03 42 57 31 84 12 F6 5C 00 00 14 5D
+03 42 57 32 84 12 F6 5C 00 00 20 5D 03 42 57 33
+84 12 F6 5C 00 00 38 5D 3D 41 1A 42 CC 1D 28 4E
+08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00
+3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
+84 12 36 5D 00 00 5C 5D 03 46 57 32 84 12 36 5D
+00 00 68 5D 03 46 57 33 84 12 36 5D 00 00 74 5D
+04 47 4F 54 4F 00 87 12 A4 5B 5E 4E 30 4C 2A 44
+E4 5C 05 3F 47 4F 54 4F 87 12 C2 5C 5E 4E 30 4C
+2A 44 2C 51 07 7B 54 4F 4F 4C 53 7D 30 4D A0 56
+03 41 4E 44 3E FF 30 4D A8 53 02 2E 53 00 8F 4E
+FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
+8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44
+3C 00 E2 48 6A 47 34 44 08 00 E2 48 34 44 3E 00
+E2 48 18 49 8A 44 8A 44 96 45 C2 45 04 5E 62 44
+62 44 2A 44 C8 45 00 46 F2 44 36 47 34 44 02 00
+D8 45 06 5E 2A 44 BA 5D 03 2E 52 53 8F 4E FE FF
+8F 41 FA FF 3E 40 E0 1C D3 3F D4 4A 01 3F 2E 4E
+30 40 36 47 34 54 03 50 41 44 85 12 E4 1C EE 54
+05 57 4F 52 44 53 87 12 92 49 34 44 03 00 2E 49
+34 44 D0 1D F2 44 3A 5E 34 44 10 00 44 44 20 45
+DA 52 34 44 00 00 44 44 34 44 10 00 44 44 20 45
+34 44 00 00 C8 45 44 44 00 46 3A 5E 20 45 F2 44
+AC 45 B8 45 94 5E 62 44 62 44 00 46 44 44 3A 5E
+20 45 F2 44 34 44 02 00 D8 45 76 5E 54 44 B8 45
+D6 5E 44 44 34 44 02 00 28 45 F2 44 9A 44 3A 5E
+20 45 FA 44 44 44 82 4C 34 44 7F 00 B4 5D 50 49
+08 45 34 44 0F 00 B4 5D 34 44 10 00 78 44 28 45
+2E 49 B4 45 62 5E 62 44 2A 44 D4 52 03 4D 41 58
+2E 9F 07 38 2F 53 30 4D DC 5E 03 4D 49 4E 2E 9F
+F9 3B 3E 4F 30 4D EC 51 03 55 2E 52 87 12 B0 44
+54 46 34 44 00 00 B4 46 E6 46 00 47 BC 44 8A 44
+28 45 34 44 00 00 E0 5E 2E 49 50 49 2A 44 B6 53
+04 44 55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00
+E2 1D 2E 5F B0 12 2A 44 78 44 8A 44 8A 44 36 47
+34 44 01 00 28 45 36 47 34 44 FE FF B4 5D C8 45
+92 49 00 46 34 44 07 00 FC 5E 18 49 00 46 34 44
+10 00 20 45 00 46 C8 45 00 46 08 45 34 44 03 00
+FC 5E EA 45 68 5F 18 49 18 49 00 46 34 44 10 00
+20 45 00 46 C8 45 00 46 08 45 34 44 7E 00 EE 5E
+32 46 E0 5E E2 48 EA 45 86 5F 34 44 10 00 D8 45
+50 5F BC 44 3E 46 FA 44 2A 44 A4 5D 0A 7B 46 49
+58 50 4F 49 4E 54 7D 00 30 4D 5E 56 05 48 4F 4C
+44 53 39 4F 09 5E 18 42 B2 1D 19 83 1E 83 04 28
+18 83 E8 49 00 00 F9 3F 82 48 B2 1D 3E 4F 30 4D
+4A 53 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D E2 5F
+02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D
+F0 5F 02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F
+04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3
+18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00
+0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67 04 64
+15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86
+0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17
+3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+30 4D 02 60 03 46 23 53 29 4F 8F 4E 00 00 2F 83
+8F 49 00 00 2B 42 B2 90 0A 00 E2 1D 01 20 1B 53
+0C 43 A2 4F C0 04 92 42 E2 1D C8 04 9F 42 E4 04
+00 00 1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00
+3E 50 30 00 CC 4E 90 1D 1C 53 0C 9B EA 2B 0E 4B
+8F 43 00 00 39 40 90 1D 75 3F 84 60 02 46 2A 00
+92 4F 04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04
+82 4E E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00
+1E 42 E8 04 30 4D DC 60 02 46 2E 00 87 12 54 46
+44 44 B0 44 4C 45 88 60 34 44 2C 00 16 47 E6 46
+BC 44 24 47 00 47 50 49 18 49 2A 44 4E 54 03 53
+3E 46 2F 83 8F 43 00 00 30 4D 2E 61 02 32 40 00
+2F 83 9F 4E 02 00 00 00 2E 4E 30 4D 3C 61 09 32
+43 4F 4E 53 54 41 4E 54 87 12 F4 4F 3A 4C 3A 4C
+0C 50 40 61 2A 44
+@FFFE
+06 55
+q
diff --git a/MSP_EXP430FR5969_16MHz_6Mbds.txt b/MSP_EXP430FR5969_16MHz_6Mbds.txt
deleted file mode 100644 (file)
index 05769f4..0000000
+++ /dev/null
@@ -1,455 +0,0 @@
-@1800
-10 00 A6 48 80 3E 60 EA 05 00 18 00 F8 5F BA 56
-66 48 78 48 00 00 00 00
-@4400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 44
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 44 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 44 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 44 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 44 02 3E 52 00
-0E 12 3E 4F 30 4D 96 44 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 44 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 44 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 44 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 7A 49 42 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 7A 49 42 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 DE 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D2 48 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C3 23 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D3 23 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 0E 20 2E 9F 0C 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 82 48 CE 05
-30 4D D4 48 2D 83 92 B3 DC 05 E7 23 FC 27 B2 40
-18 00 0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F
-3D 41 30 4D FA 47 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E4 3F 90 47 04 45 4D 49 54 00 30 40 FE 48
-06 49 04 45 43 48 4F 00 B2 40 82 48 CC 48 82 43
-E4 1D 30 4D AE 47 06 4E 4F 45 43 48 4F 00 B2 40
-30 4D CC 48 92 43 E4 1D 30 4D 64 47 05 53 50 41
-43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F 3C 49
-06 53 50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40
-64 49 EF 3F 66 49 2D 83 1E 83 EB 23 3D 41 3E 4F
-30 4D 78 47 04 54 59 50 45 00 0E 93 BE 24 1E 15
-3D 40 90 49 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F
-92 49 2D 83 91 83 02 00 F5 23 1D 17 AE 3C F6 48
-04 28 43 52 29 00 87 12 BC 49 02 0D 0A 00 7A 49
-2A 44 E4 47 02 43 52 00 30 40 A6 49 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-B4 49 07 43 41 50 53 5F 4F 4E B2 43 B4 1D 30 4D
-D2 49 08 43 41 50 53 5F 4F 46 46 00 82 43 B4 1D
-30 4D 50 49 82 53 22 00 87 12 34 44 BC 49 68 4C
-EC 49 34 44 22 00 3C 4A DA 49 0C 4A 3D 41 6E 4E
-1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D A2 63 CC 1D
-30 4D 26 49 82 2E 22 00 87 12 F8 49 34 44 7A 49
-68 4C 2A 44 00 00 04 57 4F 52 44 00 3C 40 C6 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 B4 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 D0 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F 30 4D
-74 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C
-82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04
-18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48
-02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42
-E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40 AC 4B
-3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00
-10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80
-2C 00 5A 93 B8 23 B1 43 02 00 CE 3F AE 4B 0E 93
-32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00
-00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20
-0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
-39 80 30 00 79 90 0A 00 05 28 79 80 07 00 79 90
-0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15
-B0 12 B0 46 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
-04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24
-3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00
-BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
-00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
-2F 53 30 4D 12 49 07 45 58 45 43 55 54 45 0A 4E
-3E 4F 00 4A 26 45 01 2C 1A 42 CC 1D A2 53 CC 1D
-8A 4E 00 00 3E 4F 30 4D 66 4C 87 4C 49 54 45 52
-41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D
-BA 40 34 44 00 00 8A 4E 02 00 3E 4F 32 B0 00 02
-32 C0 00 02 F1 23 30 4D E2 49 05 43 4F 55 4E 54
-2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D 87 12 6E 46
-3C 4A D4 4C 3D 40 E0 4C E0 22 3E 4F 3D 41 30 4D
-E2 4C 0A 4E 3E 4F 3D 40 F8 4C 38 27 3D 40 CE 4C
-1A E2 BE 1D B9 27 B3 23 FA 4C 3E 4F 3D 40 CE 4C
-C0 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00
-3D 40 82 4F CD 3F 56 4C 08 45 56 41 4C 55 41 54
-45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12
-2A 44 BE 4C 36 4D B2 41 CA 1D B2 41 C8 1D B2 41
-C6 1D 3D 41 30 4D 4C 46 04 51 55 49 54 00 31 40
-E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18
-B0 12 2A 44 BC 49 05 0D 0A 6F 6B 20 7A 49 5A 46
-44 44 64 46 32 48 42 49 BE 4C DE 44 92 45 BC 49
-0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20 08 4E
-34 44 30 FF C6 47 D2 45 BC 49 0B 46 52 41 4D 20
-66 75 6C 6C 21 20 08 4E 90 46 F2 44 E0 45 64 4D
-BC 49 05 0D 0A 20 20 20 DC 45 6C 4D B2 40 F4 54
-58 55 B2 40 A0 48 94 48 B2 40 FE 48 0E 49 B2 40
-A6 49 BA 49 B2 40 00 48 26 48 82 43 7C 5D 82 43
-88 5D 82 43 94 5D 82 43 C4 5D 82 43 D0 5D 82 43
-DC 5D B2 40 0A 00 E2 1D 30 41 2A 48 05 41 42 4F
-52 54 3F 40 80 1C A3 3F 8F 93 02 00 75 26 B2 40
-82 48 CC 48 B0 12 C8 4D A2 B3 DC 05 FD 27 B2 40
-11 00 CE 05 E2 C3 23 02 92 C3 DC 05 38 40 A0 AA
-39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05
-F3 23 87 12 BC 49 04 1B 5B 37 6D 00 7A 49 34 44
-E4 1D F2 44 54 44 E0 45 6E 4E BC 49 05 6C 69 6E
-65 3A 7A 49 34 44 01 00 28 45 7C 47 18 49 7A 49
-BC 49 04 1B 5B 30 6D 00 7A 49 6A 54 DA 49 02 4E
-FC 4D 86 41 42 4F 52 54 22 00 87 12 F8 49 34 44
-08 4E 68 4C 2A 44 94 4A 01 27 87 12 6E 46 3C 4A
-9A 4A E0 45 A8 4E 2A 44 02 4D 96 46 81 5C 92 42
-C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
-AC 4E 01 5D B2 43 BE 1D 30 4D B8 4E 83 5B 27 5D
-87 12 9A 4E 34 44 34 44 68 4C 68 4C 2A 44 BE 4F
-02 00 3E 4F 30 4D A0 49 82 49 53 00 87 12 90 46
-F2 44 E0 45 00 4F D0 4E 34 44 DE 4E 68 4C 2A 44
-9A 4E DE 4E 2A 44 E8 4E 09 49 4D 4D 45 44 49 41
-54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D F4 49
-87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
-00 00 A2 53 CC 1D 30 4D 48 4D 88 50 4F 53 54 50
-4F 4E 45 00 87 12 6E 46 3C 4A 9A 4A 54 44 E0 45
-A8 4E 92 45 E0 45 64 4F 34 44 34 44 68 4C 68 4C
-34 44 68 4C 68 4C 2A 44 82 9F BC 1D 34 25 87 12
-BC 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
-68 21 0E 4E 87 12 18 4A 6E 46 3C 4A 8E 4F 08 4E
-7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0
-1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D
-2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 36 4A 08 56
-41 52 49 41 42 4C 45 00 B0 12 84 4F BA 40 86 12
-FC FF 05 3D AA 4C 08 43 4F 4E 53 54 41 4E 54 00
-B0 12 84 4F BA 40 85 12 FC FF 8A 4E FE FF 3E 4F
-F6 3C D6 4F 06 43 52 45 41 54 45 00 B0 12 84 4F
-BA 40 85 12 FC FF 8A 4A FE FF E9 3C 18 4D 05 44
-4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00 8A 4D
-02 00 3D 41 30 4D 0E 50 05 44 45 46 45 52 B0 12
-84 4F BA 40 30 40 FC FF BA 40 24 50 FE FF CF 3C
-CC 4E 01 3A B0 12 84 4F BA 40 87 12 FC FF A2 83
-CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D 42 50 81 3B
-82 93 BE 1D 24 27 87 12 34 44 2A 44 68 4C 68 4F
-BA 4E 2A 44 F4 4F 07 43 4F 4D 50 41 52 45 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
-1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
-30 4D 1E 43 30 4D 5E 50 86 5B 54 48 45 4E 5D 00
-30 4D 1E 83 30 4D A8 50 86 5B 45 4C 53 45 5D 00
-87 12 34 44 01 00 6E 46 3C 4A B0 4C 44 44 E0 45
-2E 51 8A 44 8A 44 BC 49 04 5B 49 46 5D 00 7E 50
-EA 45 EC 50 FA 4A 2C 45 DC 45 22 51 8A 44 8A 44
-BC 49 06 5B 45 4C 53 45 5D 00 7E 50 EA 45 10 51
-FA 4A B2 50 44 44 E0 45 0C 51 2C 45 DC 45 22 51
-BC 49 06 5B 54 48 45 4E 5D 00 7E 50 EA 45 22 51
-B2 50 54 44 EA 45 2A 51 2A 44 DC 45 C6 50 FA 4A
-BC 49 05 0D 0A 6B 6F 20 7A 49 5A 46 44 44 64 46
-32 48 44 51 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
-3E 4F 3D 40 C6 50 30 4D B8 50 84 5B 49 46 5D 00
-0E 93 3E 4F AD 27 30 4D 5A 51 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 87 12 6E 46 3C 4A 9A 4A 6C 44
-86 45 2A 44 6A 51 89 5B 44 45 46 49 4E 45 44 5D
-87 12 6E 46 3C 4A 9A 4A 6C 44 2A 44 9E 51 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 7A 4C 06 4D
-41 52 4B 45 52 00 B0 12 84 4F BA 40 84 12 FC FF
-BA 40 9C 51 FE FF 9A 42 CE 1D 00 00 28 83 8A 48
-02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D A8 49
-FE FF 89 48 00 00 30 4D 08 4F 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40 E0 45
-00 00 2E 53 30 4D 28 50 84 45 4C 53 45 00 A2 52
-CC 1D 1A 42 CC 1D BA 40 DC 45 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D 74 49 84 54 48 45 4E 00 9E 42
-CC 1D 00 00 3E 4F 30 4D 76 50 85 42 45 47 49 4E
-30 40 C6 47 28 52 85 55 4E 54 49 4C 39 40 E0 45
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 82 4E 85 41 47 41 49 4E 39 40 DC 45
-EF 3F BE 4F 85 57 48 49 4C 45 87 12 EE 51 78 44
-2A 44 20 4F 86 52 45 50 45 41 54 00 87 12 6C 52
-2E 52 2A 44 08 52 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 CC 1D 1E 42 CC 1D BE 40 F0 45 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D AE 51 84 4C
-4F 4F 50 00 39 40 12 46 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-20 48 85 2B 4C 4F 4F 50 39 40 00 46 E5 3F BE 52
-85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 46 00 00
-BA 40 DC 45 02 00 B2 50 06 00 CC 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D 00 53 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 74 52 0A 56 4F 43 41 42 55 4C 41 52
-59 00 87 12 FC 4F 34 44 10 00 34 44 00 00 F0 45
-34 44 00 00 68 4C 12 46 80 53 C6 47 34 44 CE 1D
-44 44 F2 44 68 4C FA 44 14 50 34 44 D0 1D FA 44
-2A 44 98 4E 05 46 4F 52 54 48 84 12 9A 53 00 57
-D6 56 6E 5F A4 53 BE 56 F2 52 38 5F 70 5E 8E 54
-A8 54 46 5F 8E 5E 46 45 04 5E C2 4E 84 5E 00 00
-66 52 04 41 4C 53 4F 00 3A 40 0E 00 39 40 D0 1D
-38 40 D2 1D B6 3F 3A 4F 08 50 52 45 56 49 4F 55
-53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D A3 3F
-24 4A 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D 96 52
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 D0 1D
-E0 1D 30 4D AA 53 08 54 1C 54 2C 54 3A 4E 82 4A
-CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D E8 53
-09 50 57 52 5F 53 54 41 54 45 84 12 24 54 BA 56
-F8 5F 84 52 09 52 53 54 5F 53 54 41 54 45 92 42
-0E 18 6E 54 92 42 0C 18 70 54 EF 3F 60 54 08 50
-57 52 5F 48 45 52 45 00 92 42 CE 1D 6E 54 92 42
-CC 1D 70 54 30 4D 74 54 08 52 53 54 5F 48 45 52
-45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18 EC 3F
-66 53 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
-29 53 39 90 CC FF FA 23 B0 12 BC 4D B2 40 F8 5F
-0C 18 B2 40 BA 56 0E 18 CA 3F EA 51 06 28 57 41
-52 4D 29 00 1E 42 08 18 87 12 BC 49 06 0D 1B 5B
-37 6D 23 00 7A 49 B0 47 BC 49 27 20 46 61 73 74
-46 6F 72 74 68 20 56 32 30 33 20 31 36 4D 48 7A
-20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 7A 49 34 44 30 FF C6 47 28 45 7C 47 BC 49
-0B 62 79 74 65 73 20 66 72 65 65 20 14 4E C2 54
-04 57 41 52 4D 00 30 40 F4 54 3A 52 04 43 4F 4C
-44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 D3
-04 02 B2 40 FE FF 02 02 A2 83 06 02 B2 D0 00 42
-24 02 B2 D3 26 02 B2 40 FF BF 22 02 F2 43 22 03
-F2 D3 26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01
-D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01
-82 43 66 01 39 40 80 00 B2 40 33 00 64 01 D2 43
-61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07
-38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
-F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 3A 40 68 55
-39 40 CC FF 89 4A 00 00 29 53 FC 23 92 42 02 18
-F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43 00 00
-29 53 39 90 FF 23 FA 2B 31 40 E0 1C 3F 40 80 1C
-37 40 00 44 36 40 BC 44 35 40 08 44 34 40 14 44
-B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01 18 42
-08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
-B2 40 81 00 C0 05 A2 43 C6 05 B2 40 00 B6 C8 05
-F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40
-88 56 18 42 08 18 38 90 0A 00 26 27 38 90 16 00
-23 2F 28 93 FC 22 F1 26 56 55 D2 53 09 41 53 53
-45 4D 42 4C 45 52 84 12 9A 53 E0 5C 8C 5D 94 5C
-E0 5D 5A 5C 14 5D 5E 59 00 00 50 5C 00 5D B2 5C
-F0 5C 6E 5A 00 00 00 00 F2 5D CE 53 EC 54 85 48
-49 32 4C 4F 87 12 C6 47 02 52 68 4C BA 4E D8 53
-96 56 2A 44 5C 55 04 43 4F 44 45 00 B0 12 84 4F
-A2 82 CC 1D 87 12 56 50 DC 45 CE 56 00 00 07 45
-4E 44 43 4F 44 45 87 12 F2 53 68 4F 2A 44 8C 56
-03 41 53 4D 92 42 E0 1D C0 1D B2 40 9A 56 E0 1D
-E5 3F EE 56 06 45 4E 44 41 53 4D 00 92 42 C0 1D
-E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D
-BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40
-F2 53 00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40
-B0 12 00 00 BA 40 2A 44 02 00 A2 52 CC 1D ED 3F
-38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D
-30 4D B0 12 2A 44 3C 4A 9A 4A EA 45 96 57 5C 4B
-E0 45 A8 4E B8 57 98 57 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53
-CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53
-CA 1D B0 12 2A 44 3C 4A 5C 4B E0 45 EA 57 E0 57
-21 53 3E 90 10 00 BB 2D 30 41 EC 57 B2 41 CA 1D
-22 D3 30 41 87 12 6E 46 60 57 FC 57 82 43 C4 1D
-92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 CA 1D B0 12 82 57 0E 93
-04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40
-10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D
-1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92
-04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40
-30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D
-30 12 6C 58 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 C4 1D 92 53 CA 1D B0 12 CA 57 0E 20 B2 50
-10 00 C4 1D 3E 40 2B 00 B0 12 CA 57 32 24 92 92
-C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D
-D3 3F B0 12 CA 57 F9 23 B2 50 10 00 C4 1D 3E 40
-28 00 B0 12 82 57 30 12 BC 58 67 3F 87 12 6E 46
-60 57 F4 58 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 C4 1D C2 3F B0 12 CA 57 DF 23 B2 50
-80 00 C4 1D 3E 40 28 00 B0 12 82 57 B0 12 BA 57
-D5 23 3D 40 A8 4E 30 4D 8C 48 04 52 45 54 49 00
-87 12 34 44 00 13 68 4C 2A 44 34 44 2C 00 F4 57
-EC 58 44 59 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F
-44 57 03 4D 4F 56 84 12 3A 59 00 40 52 59 05 4D
-4F 56 2E 42 84 12 3A 59 40 40 00 00 03 41 44 44
-84 12 3A 59 00 50 6C 59 05 41 44 44 2E 42 84 12
-3A 59 40 50 78 59 04 41 44 44 43 00 84 12 3A 59
-00 60 86 59 06 41 44 44 43 2E 42 00 84 12 3A 59
-40 60 2A 59 04 53 55 42 43 00 84 12 3A 59 00 70
-A4 59 06 53 55 42 43 2E 42 00 84 12 3A 59 40 70
-B2 59 03 53 55 42 84 12 3A 59 00 80 C2 59 05 53
-55 42 2E 42 84 12 3A 59 40 80 26 57 03 43 4D 50
-84 12 3A 59 00 90 DC 59 05 43 4D 50 2E 42 84 12
-3A 59 40 90 14 57 04 44 41 44 44 00 84 12 3A 59
-00 A0 F6 59 06 44 41 44 44 2E 42 00 84 12 3A 59
-40 A0 E8 59 03 42 49 54 84 12 3A 59 00 B0 14 5A
-05 42 49 54 2E 42 84 12 3A 59 40 B0 20 5A 03 42
-49 43 84 12 3A 59 00 C0 2E 5A 05 42 49 43 2E 42
-84 12 3A 59 40 C0 3A 5A 03 42 49 53 84 12 3A 59
-00 D0 48 5A 05 42 49 53 2E 42 84 12 3A 59 40 D0
-00 00 03 58 4F 52 84 12 3A 59 00 E0 62 5A 05 58
-4F 52 2E 42 84 12 3A 59 40 E0 94 59 03 41 4E 44
-84 12 3A 59 00 F0 7C 5A 05 41 4E 44 2E 42 84 12
-3A 59 40 F0 6E 46 F4 57 9A 5A 1A 42 C4 1D B2 F0
-70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F
-CE 59 03 52 52 43 84 12 94 5A 00 10 B2 5A 05 52
-52 43 2E 42 84 12 94 5A 40 10 BE 5A 04 53 57 50
-42 00 84 12 94 5A 80 10 CC 5A 03 52 52 41 84 12
-94 5A 00 11 DA 5A 05 52 52 41 2E 42 84 12 94 5A
-40 11 E6 5A 03 53 58 54 84 12 94 5A 80 11 00 00
-04 50 55 53 48 00 84 12 94 5A 00 12 00 5B 06 50
-55 53 48 2E 42 00 84 12 94 5A 40 12 54 5A 04 43
-41 4C 4C 00 84 12 94 5A 80 12 34 44 2C 00 F4 57
-EC 58 34 5B 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-B0 47 BC 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 0E 4E 0E 5B 05 50 55 53 48 4D 84 12 2A 5B
-00 15 76 5B 04 50 4F 50 4D 00 84 12 2A 5B 00 17
-6E 46 60 57 96 5B 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A 44
-3C 4A 5C 4B E0 45 A8 4E EC 58 BC 5B 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F F4 5A 04 52
-52 43 4D 00 84 12 90 5B 50 00 CE 5B 04 52 52 41
-4D 00 84 12 90 5B 50 01 DC 5B 04 52 4C 41 4D 00
-84 12 90 5B 50 02 EA 5B 04 52 52 55 4D 00 84 12
-90 5B 50 03 85 12 00 3C F8 5B 03 53 3E 3D 85 12
-00 38 0A 5C 02 53 3C 00 85 12 00 34 84 5B 03 30
-3E 3D 85 12 00 30 1E 5C 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 32 5C 03 55 3E 3D
-85 12 00 28 28 5C 03 30 3C 3E 85 12 00 24 46 5C
-02 30 3D 00 85 12 00 20 98 48 02 49 46 00 1A 42
-CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D 3C 5C
-04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D 04 5A 04 45 4C 53 45 00 1A 42 CC 1D BA 40
-00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F
-70 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D 88 5A
-05 41 47 41 49 4E 87 12 04 5C B8 5C 2A 44 00 00
-05 57 48 49 4C 45 87 12 5E 5C 78 44 2A 44 14 5C
-06 52 45 50 45 41 54 00 87 12 04 5C B8 5C 76 5C
-2A 44 00 00 03 4A 4D 50 87 12 9A 4E 04 5C B8 5C
-2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 22 5D 9A 4E
-78 44 B8 5C 2A 44 58 5D 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00
-A4 3F 1E 5B 03 42 57 31 84 12 56 5D 00 00 74 5D
-03 42 57 32 84 12 56 5D 00 00 80 5D 03 42 57 33
-84 12 56 5D 00 00 98 5D 3D 41 1A 42 CC 1D 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 96 5D 00 00 BC 5D 03 46 57 32 84 12 96 5D
-00 00 C8 5D 03 46 57 33 84 12 96 5D 00 00 D4 5D
-04 47 4F 54 4F 00 87 12 04 5C 9A 4E 5E 4C 2A 44
-44 5D 05 3F 47 4F 54 4F 87 12 22 5D 9A 4E 5E 4C
-2A 44 86 51 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-02 54 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 44 3C 00 0C 49 B0 47 34 44
-08 00 0C 49 34 44 3E 00 0C 49 42 49 8A 44 8A 44
-C4 45 EA 45 5C 5E 62 44 62 44 2A 44 F0 45 28 46
-F2 44 7C 47 34 44 02 00 00 46 5E 5E 2A 44 12 5E
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F 02 4B 01 3F 2E 4E 30 40 7C 47 50 55 05 57
-4F 52 44 53 87 12 B8 49 34 44 03 00 58 49 34 44
-D0 1D F2 44 50 46 34 44 10 00 44 44 20 45 34 53
-34 44 00 00 44 44 34 44 10 00 44 44 20 45 34 44
-00 00 F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45
-E0 45 E2 5E 62 44 62 44 28 46 44 44 50 46 20 45
-F2 44 34 44 02 00 00 46 C4 5E 54 44 E0 45 24 5F
-44 44 34 44 02 00 28 45 F2 44 9A 44 50 46 20 45
-FA 44 44 44 B0 4C 34 44 7F 00 36 45 7A 49 08 45
-34 44 0F 00 36 45 34 44 10 00 78 44 28 45 58 49
-DC 45 B0 5E 62 44 2A 44 2E 53 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 2A 5F 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 46 52 03 55 2E 52 87 12 B0 44 9A 46
-34 44 00 00 FA 46 2C 47 46 47 BC 44 8A 44 28 45
-34 44 00 00 2E 5F 58 49 7A 49 2A 44 10 54 04 44
-55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D
-2E 5F B0 12 2A 44 78 44 8A 44 8A 44 7C 47 34 44
-01 00 28 45 7C 47 34 44 FE FF 36 45 F0 45 B8 49
-28 46 34 44 07 00 4A 5F 42 49 28 46 34 44 10 00
-20 45 28 46 F0 45 28 46 08 45 34 44 03 00 4A 5F
-12 46 B6 5F 42 49 42 49 28 46 34 44 10 00 20 45
-28 46 F0 45 28 46 08 45 34 44 7E 00 3C 5F 6E 46
-2E 5F 0C 49 12 46 D4 5F 34 44 10 00 00 46 9E 5F
-BC 44 84 46 FA 44 2A 44
-@FFFE
-68 55
-q
index 9b6791d..2201e2d 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 48 80 3E 00 24 05 00 18 00 00 60 C2 56
-66 48 78 48 00 00 00 00
+10 00 5A 48 80 3E 00 24 05 00 18 00 72 61 66 56
+20 48 32 48 00 00 00 00
 @4400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 80 49 48 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 80 49 48 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 E4 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 48 92 B3
-DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00
-CE 05 E2 C3 23 02 30 41 A2 B3 DC 05 FD 27 B2 40
-13 00 CE 05 E2 D3 23 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05
-FD 27 82 48 CE 05 30 4D DA 48 2D 83 92 B3 DC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA 47 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 47 04 45 4D 49
-54 00 30 40 04 49 0C 49 04 45 43 48 4F 00 B2 40
-82 48 D2 48 82 43 E4 1D 30 4D AE 47 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 48 92 43 E4 1D 30 4D
-64 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A 49 EF 3F 6C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 47 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 49 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 49 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC 48 04 28 43 52 29 00 87 12 C2 49
-02 0D 0A 00 80 49 2A 44 E4 47 02 43 52 00 30 40
-AC 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA 49 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 49 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 49 82 53 22 00 87 12
-34 44 C2 49 6E 4C F2 49 34 44 22 00 42 4A E0 49
-12 4A 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C 49 82 2E 22 00 87 12
-FE 49 34 44 80 49 6E 4C 2A 44 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 45 02 31 2D 00
+1E 83 30 4D D8 44 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 45
+02 30 3D 00 1E 83 0E 7E 30 4D 60 45 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 45 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 44 01 3E 3E 8F F9 3F 6C 45 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 45 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 45
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 45 03 43 49 42 85 12 3C 1D 24 46 02 42
+4C 00 85 12 20 00 2E 46 04 42 41 53 45 00 85 12
+E2 1D C8 44 05 53 54 41 54 45 85 12 BE 1D 86 45
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 45 06 55
+4D 2F 4D 4F 44 00 30 12 62 44 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 46 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 46
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 46 02 23 53 00 87 12 B4 46 EC 46 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 46 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 45
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 46 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 46 02 55 2E 00 87 12 54 46 34 44 00 00 E6 46
+00 47 5C 49 24 49 2A 44 46 45 02 44 2E 00 87 12
+54 46 78 44 8A 44 4C 45 E6 46 9A 44 24 47 00 47
+5C 49 24 49 2A 44 84 44 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 47 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 44 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 46 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 47 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 20 48 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 32 48 30 4D 10 46 03 4B 45 59 30 40
+BA 47 8E 47 06 41 43 43 45 50 54 00 3C 40 98 48
+3B 40 62 48 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C 48 92 B3 DC 05 05 24 18 42
+CC 05 38 90 0A 00 04 20 21 53 39 40 46 48 4D 15
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02
+30 41 B2 40 13 00 CE 05 E2 D3 23 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 48 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+CC 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 DC 05 FD 27 82 48 CE 05 30 4D 8E 48 2D 83
+92 B3 DC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41 30 4D B4 47
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00
+AF 4F 02 00 8B 3F 82 43 CA 1D 82 4E C6 1D B2 4F
+C8 1D 3E 4F 30 4D 4A 47 04 45 4D 49 54 00 30 40
+B8 48 E8 48 04 45 43 48 4F 00 B2 40 82 48 86 48
+82 43 E4 1D 30 4D 68 47 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 48 92 43 E4 1D 30 4D 1E 47 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E 49 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 49 EF 3F 48 49 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 47 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 49 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 49 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 48 04 28 43 52 29 00 87 12 A2 49
+02 0D 0A 00 5C 49 2A 44 9E 47 02 43 52 00 30 40
+8C 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A 49 07 43 41 50 53 5F 4F 4E
+B2 43 B4 1D 30 4D B8 49 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 1D 30 4D 32 49 82 53 22 00 87 12
+34 44 A2 49 46 4C D2 49 34 44 22 00 22 4A C0 49
+F2 49 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
+CC 1D A2 63 CC 1D 30 4D 08 49 82 2E 22 00 87 12
+DE 49 34 44 5C 49 46 4C 2A 44 00 00 04 57 4F 52
 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,343 +111,369 @@ F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 46 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 4B 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 46 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 49 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C 4C
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E 46 42 4A DA 4C 3D 40 E6 4C E0 22
-3E 4F 3D 41 30 4D E8 4C 0A 4E 3E 4F 3D 40 FE 4C
-38 27 3D 40 D4 4C 1A E2 BE 1D B9 27 B3 23 00 4D
-3E 4F 3D 40 D4 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 4F CD 3F 5C 4C 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 C4 4C 3C 4D B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A 44 C2 49 05 0D 0A 6F
-6B 20 80 49 5A 46 44 44 64 46 32 48 48 49 C4 4C
-DE 44 92 45 C2 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E 4E 34 44 30 FF C6 47 D2 45 C2 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E 4E 90 46
-F2 44 E0 45 6A 4D C2 49 05 0D 0A 20 20 20 DC 45
-72 4D B2 40 FA 54 5E 55 B2 40 A0 48 94 48 B2 40
-04 49 14 49 B2 40 AC 49 C0 49 B2 40 00 48 26 48
-82 43 84 5D 82 43 90 5D 82 43 9C 5D 82 43 CC 5D
-82 43 D8 5D 82 43 E4 5D B2 40 0A 00 E2 1D 30 41
-2A 48 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 48 B0 12 CE 4D A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 C2 49 04 1B 5B 37
-6D 00 80 49 34 44 E4 1D F2 44 54 44 E0 45 74 4E
-C2 49 05 6C 69 6E 65 3A 80 49 34 44 01 00 28 45
-7C 47 1E 49 80 49 C2 49 04 1B 5B 30 6D 00 80 49
-70 54 E0 49 08 4E 02 4E 86 41 42 4F 52 54 22 00
-87 12 FE 49 34 44 0E 4E 6E 4C 2A 44 9A 4A 01 27
-87 12 6E 46 42 4A A0 4A E0 45 AE 4E 2A 44 08 4D
-96 46 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 4E 01 5D B2 43 BE 1D 30 4D
-BE 4E 83 5B 27 5D 87 12 A0 4E 34 44 34 44 6E 4C
-6E 4C 2A 44 BE 4F 02 00 3E 4F 30 4D A6 49 82 49
-53 00 87 12 90 46 F2 44 E0 45 06 4F D6 4E 34 44
-E4 4E 6E 4C 2A 44 A0 4E E4 4E 2A 44 EE 4E 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA 49 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E 4D
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 46 42 4A
-A0 4A 54 44 E0 45 AE 4E 92 45 E0 45 6A 4F 34 44
-34 44 6E 4C 6E 4C 34 44 6E 4C 6E 4C 2A 44 82 9F
-BC 1D 34 25 87 12 C2 49 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 4E 87 12 1E 4A 6E 46
-42 4A 94 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A 4F BA 40 86 12 FC FF 05 3D B0 4C 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A 4F BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC 4F 06 43 52 45 41 54
-45 00 B0 12 8A 4F BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E 4D 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 50 05 44
-45 46 45 52 B0 12 8A 4F BA 40 30 40 FC FF BA 40
-2A 50 FE FF CF 3C D2 4E 01 3A B0 12 8A 4F BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 50 81 3B 82 93 BE 1D 24 27 87 12 34 44
-2A 44 6E 4C 6E 4F C0 4E 2A 44 FA 4F 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 50 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE 50 86 5B
-45 4C 53 45 5D 00 87 12 34 44 01 00 6E 46 42 4A
-B6 4C 44 44 E0 45 34 51 8A 44 8A 44 C2 49 04 5B
-49 46 5D 00 84 50 EA 45 F2 50 00 4B 2C 45 DC 45
-28 51 8A 44 8A 44 C2 49 06 5B 45 4C 53 45 5D 00
-84 50 EA 45 16 51 00 4B B8 50 44 44 E0 45 12 51
-2C 45 DC 45 28 51 C2 49 06 5B 54 48 45 4E 5D 00
-84 50 EA 45 28 51 B8 50 54 44 EA 45 30 51 2A 44
-DC 45 CC 50 00 4B C2 49 05 0D 0A 6B 6F 20 80 49
-5A 46 44 44 64 46 32 48 4A 51 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC 50 30 4D BE 50
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 51
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E 46
-42 4A A0 4A 6C 44 86 45 2A 44 70 51 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E 46 42 4A A0 4A 6C 44
-2A 44 A4 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 4C 06 4D 41 52 4B 45 52 00 B0 12 8A 4F
-BA 40 84 12 FC FF BA 40 A2 51 FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E 4F
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 45 00 00 2E 53 30 4D 2E 50 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC 45
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A 49 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C 50
-85 42 45 47 49 4E 30 40 C6 47 2E 52 85 55 4E 54
-49 4C 39 40 E0 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 4E 85 41 47 41
-49 4E 39 40 DC 45 EF 3F C4 4F 85 57 48 49 4C 45
-87 12 F4 51 78 44 2A 44 26 4F 86 52 45 50 45 41
-54 00 87 12 72 52 34 52 2A 44 0E 52 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 51 84 4C 4F 4F 50 00 39 40 12 46 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 48 85 2B 4C 4F 4F 50 39 40
-00 46 E5 3F C4 52 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 53 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A 52 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 50 34 44 10 00
-34 44 00 00 F0 45 34 44 00 00 6E 4C 12 46 86 53
-C6 47 34 44 CE 1D 44 44 F2 44 6E 4C FA 44 1A 50
-34 44 D0 1D FA 44 2A 44 9E 4E 05 46 4F 52 54 48
-84 12 A0 53 08 57 DE 56 76 5F AA 53 C6 56 F8 52
-40 5F 78 5E 94 54 AE 54 4E 5F 96 5E 46 45 0C 5E
-C8 4E 8C 5E 00 00 6C 52 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 4F 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A 4A 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C 52 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 53 0E 54 22 54
-32 54 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE 53 09 50 57 52 5F 53 54 41 54 45
-84 12 2A 54 C2 56 00 60 8A 52 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 54 92 42 0C 18 76 54
-EF 3F 66 54 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 54 92 42 CC 1D 76 54 30 4D 7A 54 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C 53 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 CC FF FA 23 B0 12
-C2 4D B2 40 00 60 0C 18 B2 40 C2 56 0E 18 CA 3F
-F0 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 49 06 0D 1B 5B 37 6D 23 00 80 49 B0 47 C2 49
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 49 34 44 30 FF C6 47
-28 45 7C 47 C2 49 0B 62 79 74 65 73 20 66 72 65
-65 20 1A 4E C8 54 04 57 41 52 4D 00 30 40 FA 54
-40 52 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A 5C 01 92 D3 04 02 B2 40 FE FF 02 02 A2 83
-06 02 B2 D0 00 42 24 02 B2 D3 26 02 B2 40 FF BF
-22 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00 41 01
-F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01
-B2 40 48 00 62 01 82 43 66 01 39 40 80 00 B2 40
-33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93
-08 18 01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83
-FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00
-A1 04 3A 40 6E 55 39 40 CC FF 89 4A 00 00 29 53
-FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18 39 40
-00 1C 89 43 00 00 29 53 39 90 FF 23 FA 2B 31 40
-E0 1C 3F 40 80 1C 37 40 00 44 36 40 BC 44 35 40
-08 44 34 40 14 44 B2 40 0A 00 E2 1D B2 43 B4 1D
-92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20 38 E3
-18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40 11 00
-C6 05 B2 40 00 4A C8 05 F2 D0 03 00 0D 02 92 C3
-C0 05 92 D3 DA 05 3D 40 90 56 18 42 08 18 38 90
-0A 00 25 27 38 90 16 00 22 2F 28 93 FB 22 F0 26
-5C 55 D8 53 09 41 53 53 45 4D 42 4C 45 52 84 12
-A0 53 E8 5C 94 5D 9C 5C E8 5D 62 5C 1C 5D 66 59
-00 00 58 5C 08 5D BA 5C F8 5C 76 5A 00 00 00 00
-FA 5D D4 53 F2 54 85 48 49 32 4C 4F 87 12 C6 47
-08 52 6E 4C C0 4E DE 53 9E 56 2A 44 62 55 04 43
-4F 44 45 00 B0 12 8A 4F A2 82 CC 1D 87 12 5C 50
-DC 45 D6 56 00 00 07 45 4E 44 43 4F 44 45 87 12
-F8 53 6E 4F 2A 44 94 56 03 41 53 4D 92 42 E0 1D
-C0 1D B2 40 A2 56 E0 1D E5 3F F6 56 06 45 4E 44
-41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43
-4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53
-CC 1D B2 43 BE 1D 30 40 F8 53 00 00 05 4C 4F 32
-48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A 44
-02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48
-09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83
-0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 44 42 4A
-A0 4A EA 45 9E 57 62 4B E0 45 AE 4E C0 57 A0 57
-29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
-03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
-30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40
-29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 44 42 4A
-62 4B E0 45 F2 57 E8 57 21 53 3E 90 10 00 BB 2D
-30 41 F4 57 B2 41 CA 1D 22 D3 30 41 87 12 6E 46
-68 57 04 58 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
-CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
-CA 1D B0 12 8A 57 0E 93 04 20 B2 40 00 03 C4 1D
-27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93
-04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40
-20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D
-0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40
-30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
-10 02 C4 1D 92 53 CA 1D 30 12 74 58 76 3F FA 90
-40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D
-B0 12 D2 57 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00
-B0 12 D2 57 32 24 92 92 C6 1D CA 1D 02 24 92 53
-CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 D2 57 F9 23
-B2 50 10 00 C4 1D 3E 40 28 00 B0 12 8A 57 30 12
-C4 58 67 3F 87 12 6E 46 68 57 FC 58 FE 90 26 00
-00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F
-B0 12 D2 57 DF 23 B2 50 80 00 C4 1D 3E 40 28 00
-B0 12 8A 57 B0 12 C2 57 D5 23 3D 40 AE 4E 30 4D
-8C 48 04 52 45 54 49 00 87 12 34 44 00 13 6E 4C
-2A 44 34 44 2C 00 FC 57 F4 58 4C 59 2E 4E 1E D2
-C4 1D 19 42 C2 1D 92 3F 4C 57 03 4D 4F 56 84 12
-42 59 00 40 5A 59 05 4D 4F 56 2E 42 84 12 42 59
-40 40 00 00 03 41 44 44 84 12 42 59 00 50 74 59
-05 41 44 44 2E 42 84 12 42 59 40 50 80 59 04 41
-44 44 43 00 84 12 42 59 00 60 8E 59 06 41 44 44
-43 2E 42 00 84 12 42 59 40 60 32 59 04 53 55 42
-43 00 84 12 42 59 00 70 AC 59 06 53 55 42 43 2E
-42 00 84 12 42 59 40 70 BA 59 03 53 55 42 84 12
-42 59 00 80 CA 59 05 53 55 42 2E 42 84 12 42 59
-40 80 2E 57 03 43 4D 50 84 12 42 59 00 90 E4 59
-05 43 4D 50 2E 42 84 12 42 59 40 90 1C 57 04 44
-41 44 44 00 84 12 42 59 00 A0 FE 59 06 44 41 44
-44 2E 42 00 84 12 42 59 40 A0 F0 59 03 42 49 54
-84 12 42 59 00 B0 1C 5A 05 42 49 54 2E 42 84 12
-42 59 40 B0 28 5A 03 42 49 43 84 12 42 59 00 C0
-36 5A 05 42 49 43 2E 42 84 12 42 59 40 C0 42 5A
-03 42 49 53 84 12 42 59 00 D0 50 5A 05 42 49 53
-2E 42 84 12 42 59 40 D0 00 00 03 58 4F 52 84 12
-42 59 00 E0 6A 5A 05 58 4F 52 2E 42 84 12 42 59
-40 E0 9C 59 03 41 4E 44 84 12 42 59 00 F0 84 5A
-05 41 4E 44 2E 42 84 12 42 59 40 F0 6E 46 FC 57
-A2 5A 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0
-0F 00 82 DA C4 1D 4A 3F D6 59 03 52 52 43 84 12
-9C 5A 00 10 BA 5A 05 52 52 43 2E 42 84 12 9C 5A
-40 10 C6 5A 04 53 57 50 42 00 84 12 9C 5A 80 10
-D4 5A 03 52 52 41 84 12 9C 5A 00 11 E2 5A 05 52
-52 41 2E 42 84 12 9C 5A 40 11 EE 5A 03 53 58 54
-84 12 9C 5A 80 11 00 00 04 50 55 53 48 00 84 12
-9C 5A 00 12 08 5B 06 50 55 53 48 2E 42 00 84 12
-9C 5A 40 12 5C 5A 04 43 41 4C 4C 00 84 12 9C 5A
-80 12 34 44 2C 00 FC 57 F4 58 3C 5B 59 42 C4 1D
-5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20
-0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
-A8 3F 1A 53 0E 4A 87 12 B0 47 C2 49 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 14 4E 16 5B 05 50
-55 53 48 4D 84 12 32 5B 00 15 7E 5B 04 50 4F 50
-4D 00 84 12 32 5B 00 17 6E 46 68 57 9E 5B 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D
-3E 40 2C 00 B0 12 2A 44 42 4A 62 4B E0 45 AE 4E
-F4 58 C4 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
-5A 06 6F 3F FC 5A 04 52 52 43 4D 00 84 12 98 5B
-50 00 D6 5B 04 52 52 41 4D 00 84 12 98 5B 50 01
-E4 5B 04 52 4C 41 4D 00 84 12 98 5B 50 02 F2 5B
-04 52 52 55 4D 00 84 12 98 5B 50 03 85 12 00 3C
-00 5C 03 53 3E 3D 85 12 00 38 12 5C 02 53 3C 00
-85 12 00 34 8C 5B 03 30 3E 3D 85 12 00 30 26 5C
-02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
-00 2C 3A 5C 03 55 3E 3D 85 12 00 28 30 5C 03 30
-3C 3E 85 12 00 24 4E 5C 02 30 3D 00 85 12 00 20
-98 48 02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53
-CC 1D 0E 4A 30 4D 44 5C 04 54 48 45 4E 00 1A 42
-CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 68 2F 88 DA 00 00 30 4D 0C 5A 04 45 4C 53
-45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D
-2F 83 8F 4A 00 00 E3 3F 78 5C 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 CC 1D 30 4D 90 5A 05 41 47 41 49 4E 87 12
-0C 5C C0 5C 2A 44 00 00 05 57 48 49 4C 45 87 12
-66 5C 78 44 2A 44 1C 5C 06 52 45 50 45 41 54 00
-87 12 0C 5C C0 5C 7E 5C 2A 44 00 00 03 4A 4D 50
-87 12 A0 4E 0C 5C C0 5C 2A 44 3E B0 00 10 03 20
-3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
-00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
-50 00 87 12 2A 5D A0 4E 78 44 C0 5C 2A 44 60 5D
-3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D
-00 00 30 4D 88 43 00 00 A4 3F 26 5B 03 42 57 31
-84 12 5E 5D 00 00 7C 5D 03 42 57 32 84 12 5E 5D
-00 00 88 5D 03 42 57 33 84 12 5E 5D 00 00 A0 5D
-3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00
-A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
-61 3F 00 00 03 46 57 31 84 12 9E 5D 00 00 C4 5D
-03 46 57 32 84 12 9E 5D 00 00 D0 5D 03 46 57 33
-84 12 9E 5D 00 00 DC 5D 04 47 4F 54 4F 00 87 12
-0C 5C A0 4E 64 4C 2A 44 4C 5D 05 3F 47 4F 54 4F
-87 12 2A 5D A0 4E 64 4C 2A 44 8C 51 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 08 54 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44
-3C 00 12 49 B0 47 34 44 08 00 12 49 34 44 3E 00
-12 49 48 49 8A 44 8A 44 C4 45 EA 45 64 5E 62 44
-62 44 2A 44 F0 45 28 46 F2 44 7C 47 34 44 02 00
-00 46 66 5E 2A 44 1A 5E 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 08 4B 01 3F 2E 4E
-30 40 7C 47 56 55 05 57 4F 52 44 53 87 12 BE 49
-34 44 03 00 5E 49 34 44 D0 1D F2 44 50 46 34 44
-10 00 44 44 20 45 3A 53 34 44 00 00 44 44 34 44
-10 00 44 44 20 45 34 44 00 00 F0 45 44 44 28 46
-50 46 20 45 F2 44 D2 45 E0 45 EA 5E 62 44 62 44
-28 46 44 44 50 46 20 45 F2 44 34 44 02 00 00 46
-CC 5E 54 44 E0 45 2C 5F 44 44 34 44 02 00 28 45
-F2 44 9A 44 50 46 20 45 FA 44 44 44 B6 4C 34 44
-7F 00 36 45 80 49 08 45 34 44 0F 00 36 45 34 44
-10 00 78 44 28 45 5E 49 DC 45 B8 5E 62 44 2A 44
-34 53 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 32 5F
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 4C 52 03 55
-2E 52 87 12 B0 44 9A 46 34 44 00 00 FA 46 2C 47
-46 47 BC 44 8A 44 28 45 34 44 00 00 36 5F 5E 49
-80 49 2A 44 16 54 04 44 55 4D 50 00 0D 12 12 12
-E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A 44 78 44
-8A 44 8A 44 7C 47 34 44 01 00 28 45 7C 47 34 44
-FE FF 36 45 F0 45 BE 49 28 46 34 44 07 00 52 5F
-48 49 28 46 34 44 10 00 20 45 28 46 F0 45 28 46
-08 45 34 44 03 00 52 5F 12 46 BE 5F 48 49 48 49
-28 46 34 44 10 00 20 45 28 46 F0 45 28 46 08 45
-34 44 7E 00 44 5F 6E 46 36 5F 12 49 12 46 DC 5F
-34 44 10 00 00 46 A6 5F BC 44 84 46 FA 44 2A 44
+8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 94 45
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A 4B 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C 4B 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A 46 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 48 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 45 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
+00 00 3E 4F 30 4D 44 4C 87 4C 49 54 45 52 41 4C
+82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
+34 44 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 49 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 48
+32 46 22 4A A6 4C 3D 40 AE 4C EA 22 50 3E B0 4C
+0A 4E 3E 4F 3D 40 C6 4C 40 27 3D 40 A0 4C 1A E2
+BE 1D C1 27 BB 23 C8 4C 3E 4F 3D 40 A0 4C C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 4F D5 3F 34 4C 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44
+9C 4C 04 4D B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
+3D 41 30 4D 9C 45 04 51 55 49 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12
+2A 44 A2 49 05 0D 0A 6F 6B 20 5C 49 BE 48 24 49
+9C 4C DE 44 70 45 A2 49 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 4D 34 44 30 FF 80 47 AC 45
+A2 49 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 4D
+4A 46 F2 44 B8 45 32 4D A2 49 05 0D 0A 20 20 20
+B4 45 3A 4D E4 47 05 41 42 4F 52 54 3F 40 80 1C
+C5 3F B2 40 A6 54 02 55 B2 40 54 48 48 48 B2 40
+3C 1D 2A 46 B2 40 B8 48 F0 48 B2 40 8C 49 A0 49
+B2 40 BA 47 E0 47 82 43 28 5D 82 43 34 5D 82 43
+40 5D 82 43 70 5D 82 43 7C 5D 82 43 88 5D B2 40
+0A 00 E2 1D 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 48 B0 12 9E 4D A2 B3 DC 05
+FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3 DC 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 DC 05 F3 23 87 12 A2 49 04 1B 5B 37 6D 00
+5C 49 34 44 E4 1D F2 44 54 44 B8 45 3E 4E A2 49
+05 6C 69 6E 65 3A 5C 49 40 45 36 47 FA 48 5C 49
+A2 49 04 1B 5B 30 6D 00 5C 49 1C 54 C0 49 8C 4D
+86 4D 86 41 42 4F 52 54 22 00 87 12 DE 49 34 44
+D6 4D 46 4C 2A 44 7A 4A 01 27 87 12 32 46 22 4A
+80 4A B8 45 78 4E 2A 44 D0 4C 50 46 81 5C 92 42
+C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
+7C 4E 01 5D B2 43 BE 1D 30 4D 88 4E 83 5B 27 5D
+87 12 6A 4E 34 44 34 44 46 4C 46 4C 2A 44 BE 4F
+02 00 3E 4F 30 4D 86 49 82 49 53 00 87 12 4A 46
+F2 44 B8 45 D0 4E A0 4E 34 44 AE 4E 46 4C 2A 44
+6A 4E AE 4E 2A 44 B8 4E 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D DA 49
+87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
+00 00 A2 53 CC 1D 30 4D 16 4D 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 46 22 4A 80 4A 54 44 B8 45
+78 4E 70 45 B8 45 34 4F 34 44 34 44 46 4C 46 4C
+34 44 46 4C 46 4C 2A 44 82 9F BC 1D 25 25 87 12
+A2 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 4D 9C 4E 81 3B 82 93 BE 1D 90 27 87 12
+34 44 2A 44 46 4C 38 4F 8A 4E 2A 44 BA 40 87 12
+FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D
+56 4F 01 3A 30 12 6C 4F 87 12 FE 49 32 46 22 4A
+92 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49 B8 1D
+82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41 30 41
+1C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12 88 4F
+BA 40 86 12 FC FF DC 3C 88 4C 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 4F BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA 4F 06 43 52 45 41 54 45 00
+B0 12 88 4F BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 4C 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 50 05 44 45 46
+45 52 B0 12 88 4F BA 40 30 40 FC FF BA 40 28 50
+FE FF A6 3C F8 4F 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 4F 86 5B 54 48 45 4E 5D 00
+30 4D 78 50 86 5B 45 4C 53 45 5D 00 87 12 34 44
+01 00 32 46 22 4A 8E 4C 44 44 B8 45 F6 50 8A 44
+8A 44 A2 49 04 5B 49 46 5D 00 4E 50 C2 45 B8 50
+7E 49 36 45 B4 45 EE 50 8A 44 8A 44 A2 49 06 5B
+45 4C 53 45 5D 00 4E 50 C2 45 DC 50 7E 49 40 45
+44 44 B8 45 EE 50 36 45 B4 45 EE 50 A2 49 06 5B
+54 48 45 4E 5D 00 4E 50 C2 45 EE 50 40 45 54 44
+C2 45 92 50 2A 44 7E 49 A2 49 05 0D 0A 6B 6F 20
+5C 49 BE 48 D6 48 B4 45 92 50 84 50 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C 51 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 46 22 4A 80 4A
+6C 44 64 45 2A 44 1C 51 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 46 22 4A 80 4A 6C 44 2A 44 50 51
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 4C
+06 4D 41 52 4B 45 52 00 B0 12 88 4F BA 40 84 12
+FC FF BA 40 4E 51 FE FF 9A 42 CE 1D 00 00 28 83
+8A 48 02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D
+A8 49 FE FF 89 48 00 00 30 4D D8 4E 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40
+B8 45 00 00 2E 53 30 4D 2C 50 84 45 4C 53 45 00
+A2 52 CC 1D 1A 42 CC 1D BA 40 B4 45 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 49 84 54 48 45 4E 00
+9E 42 CC 1D 00 00 3E 4F 30 4D 46 50 85 42 45 47
+49 4E 30 40 80 47 DA 51 85 55 4E 54 49 4C 39 40
+B8 45 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 4E 85 41 47 41 49 4E 39 40
+B4 45 EF 3F C2 4F 85 57 48 49 4C 45 87 12 A0 51
+78 44 2A 44 F0 4E 86 52 45 50 45 41 54 00 87 12
+1E 52 E0 51 2A 44 BA 51 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 1D 1E 42 CC 1D BE 40 C8 45 FE FF
+A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D 60 51
+84 4C 4F 4F 50 00 39 40 EA 45 A2 52 CC 1D 1A 42
+CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83
+00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA 47 85 2B 4C 4F 4F 50 39 40 D8 45 E5 3F
+70 52 85 4C 45 41 56 45 1A 42 CC 1D BA 40 FA 45
+00 00 BA 40 B4 45 02 00 B2 50 06 00 CC 1D A2 53
+00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D B2 52
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 52 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 50 34 44 10 00 34 44 00 00
+C8 45 34 44 00 00 46 4C EA 45 32 53 80 47 34 44
+CE 1D 44 44 F2 44 46 4C FA 44 18 50 34 44 D0 1D
+FA 44 2A 44 68 4E 05 46 4F 52 54 48 84 12 4C 53
+BC 5D 82 56 2C 5F 14 61 C8 5F A4 52 F6 5E 24 5E
+42 5E 5A 61 04 5F 4C 5E 00 00 B8 5F 92 4E 38 5E
+00 00 18 52 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 1D 38 40 D2 1D B6 3F 0A 4F 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D
+A3 3F 0A 4A 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D
+48 52 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 1D E0 1D 30 4D 5C 53 BA 53 CE 53 DE 53 3A 4E
+82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A 53 09 50 57 52 5F 53 54 41 54 45 84 12 D6 53
+66 56 72 61 36 52 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 54 92 42 0C 18 22 54 EF 3F 12 54
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 1D 20 54
+92 42 CC 1D 22 54 30 4D 26 54 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18
+EC 3F 18 53 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CC FF FA 23 B0 12 92 4D B2 40
+72 61 0C 18 B2 40 66 56 0E 18 CA 3F 9C 51 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 49 06 0D
+1B 5B 37 6D 23 00 5C 49 6A 47 A2 49 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C 49 34 44
+30 FF 80 47 28 45 36 47 A2 49 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 4D 74 54 04 57 41 52 4D 00
+30 40 A6 54 EC 51 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A 5C 01 92 D3 04 02 B2 40 FE FF
+02 02 A2 83 06 02 B2 D0 00 42 24 02 B2 D3 26 02
+B2 40 FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40
+A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
+A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
+00 01 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
+08 18 A2 93 08 18 01 24 59 07 38 40 59 14 18 83
+FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03
+F2 C0 40 00 A1 04 3A 40 12 55 39 40 CC FF 89 4A
+00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00
+0A 18 39 40 00 1C 89 43 00 00 29 53 39 90 FF 23
+FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40
+BC 44 35 40 08 44 34 40 14 44 B2 40 0A 00 E2 1D
+B2 43 B4 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02
+04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05
+B2 40 11 00 C6 05 B2 40 00 4A C8 05 F2 D0 03 00
+0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 34 56 18 42
+08 18 38 90 0A 00 29 27 38 90 16 00 26 2F 28 93
+FF 22 F4 26 00 55 84 53 09 41 53 53 45 4D 42 4C
+45 52 84 12 4C 53 8C 5C 38 5D 40 5C 8C 5D 06 5C
+C0 5C 0A 59 00 00 FC 5B AC 5C 5E 5C 9C 5C 1A 5A
+00 00 00 00 9E 5D 80 53 9E 54 85 48 49 32 4C 4F
+87 12 80 47 B4 51 46 4C 8A 4E 8A 53 42 56 2A 44
+06 55 04 43 4F 44 45 00 B0 12 88 4F A2 82 CC 1D
+87 12 7A 4F B4 45 7A 56 00 00 07 45 4E 44 43 4F
+44 45 87 12 A4 53 38 4F 2A 44 38 56 03 41 53 4D
+92 42 E0 1D C0 1D B2 40 46 56 E0 1D E5 3F 9A 56
+06 45 4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F
+00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12
+00 00 A2 53 CC 1D B2 43 BE 1D 30 40 A4 53 00 00
+05 4C 4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00
+BA 40 2A 44 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D
+39 48 2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A
+FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12
+2A 44 22 4A 80 4A C2 45 42 57 3A 4B B8 45 78 4E
+64 57 44 57 29 4E 39 90 86 12 02 20 2E 53 30 41
+39 90 85 12 03 20 1E 4E 02 00 30 41 39 90 84 12
+01 20 2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E
+00 00 3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12
+2A 44 22 4A 3A 4B B8 45 96 57 8C 57 21 53 3E 90
+10 00 BB 2D 30 41 98 57 B2 41 CA 1D 22 D3 30 41
+87 12 32 46 0C 57 A8 57 82 43 C4 1D 92 42 CC 1D
+C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00
+34 20 92 53 CA 1D B0 12 2E 57 0E 93 04 20 B2 40
+00 03 C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D
+21 3C 2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92
+04 20 B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40
+30 02 C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D
+09 3C B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D
+89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00
+08 20 B2 40 10 02 C4 1D 92 53 CA 1D 30 12 18 58
+76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D
+92 53 CA 1D B0 12 76 57 0E 20 B2 50 10 00 C4 1D
+3E 40 2B 00 B0 12 76 57 32 24 92 92 C6 1D CA 1D
+02 24 92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12
+76 57 F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12
+2E 57 30 12 68 58 67 3F 87 12 32 46 0C 57 A0 58
+FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50 82 00
+C4 1D C2 3F B0 12 76 57 DF 23 B2 50 80 00 C4 1D
+3E 40 28 00 B0 12 2E 57 B0 12 66 57 D5 23 3D 40
+78 4E 30 4D 40 48 04 52 45 54 49 00 87 12 34 44
+00 13 46 4C 2A 44 34 44 2C 00 A0 57 98 58 F0 58
+2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F F0 56 03 4D
+4F 56 84 12 E6 58 00 40 FE 58 05 4D 4F 56 2E 42
+84 12 E6 58 40 40 00 00 03 41 44 44 84 12 E6 58
+00 50 18 59 05 41 44 44 2E 42 84 12 E6 58 40 50
+24 59 04 41 44 44 43 00 84 12 E6 58 00 60 32 59
+06 41 44 44 43 2E 42 00 84 12 E6 58 40 60 D6 58
+04 53 55 42 43 00 84 12 E6 58 00 70 50 59 06 53
+55 42 43 2E 42 00 84 12 E6 58 40 70 5E 59 03 53
+55 42 84 12 E6 58 00 80 6E 59 05 53 55 42 2E 42
+84 12 E6 58 40 80 D2 56 03 43 4D 50 84 12 E6 58
+00 90 88 59 05 43 4D 50 2E 42 84 12 E6 58 40 90
+C0 56 04 44 41 44 44 00 84 12 E6 58 00 A0 A2 59
+06 44 41 44 44 2E 42 00 84 12 E6 58 40 A0 94 59
+03 42 49 54 84 12 E6 58 00 B0 C0 59 05 42 49 54
+2E 42 84 12 E6 58 40 B0 CC 59 03 42 49 43 84 12
+E6 58 00 C0 DA 59 05 42 49 43 2E 42 84 12 E6 58
+40 C0 E6 59 03 42 49 53 84 12 E6 58 00 D0 F4 59
+05 42 49 53 2E 42 84 12 E6 58 40 D0 00 00 03 58
+4F 52 84 12 E6 58 00 E0 0E 5A 05 58 4F 52 2E 42
+84 12 E6 58 40 E0 40 59 03 41 4E 44 84 12 E6 58
+00 F0 28 5A 05 41 4E 44 2E 42 84 12 E6 58 40 F0
+32 46 A0 57 46 5A 1A 42 C4 1D B2 F0 70 00 C4 1D
+8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F 7A 59 03 52
+52 43 84 12 40 5A 00 10 5E 5A 05 52 52 43 2E 42
+84 12 40 5A 40 10 6A 5A 04 53 57 50 42 00 84 12
+40 5A 80 10 78 5A 03 52 52 41 84 12 40 5A 00 11
+86 5A 05 52 52 41 2E 42 84 12 40 5A 40 11 92 5A
+03 53 58 54 84 12 40 5A 80 11 00 00 04 50 55 53
+48 00 84 12 40 5A 00 12 AC 5A 06 50 55 53 48 2E
+42 00 84 12 40 5A 40 12 00 5A 04 43 41 4C 4C 00
+84 12 40 5A 80 12 34 44 2C 00 A0 57 98 58 E0 5A
+59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15
+00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00
+03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A 47 A2 49
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 E2 4D
+BA 5A 05 50 55 53 48 4D 84 12 D6 5A 00 15 22 5B
+04 50 4F 50 4D 00 84 12 D6 5A 00 17 32 46 0C 57
+42 5B 82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D
+92 53 CA 1D 3E 40 2C 00 B0 12 2A 44 22 4A 3A 4B
+B8 45 78 4E 98 58 68 5B 0A 4E 3E 4F 1A 83 2A 92
+CA 2F 8A 10 5A 06 6F 3F A0 5A 04 52 52 43 4D 00
+84 12 3C 5B 50 00 7A 5B 04 52 52 41 4D 00 84 12
+3C 5B 50 01 88 5B 04 52 4C 41 4D 00 84 12 3C 5B
+50 02 96 5B 04 52 52 55 4D 00 84 12 3C 5B 50 03
+85 12 00 3C A4 5B 03 53 3E 3D 85 12 00 38 B6 5B
+02 53 3C 00 85 12 00 34 30 5B 03 30 3E 3D 85 12
+00 30 CA 5B 02 30 3C 00 85 12 00 30 00 00 02 55
+3C 00 85 12 00 2C DE 5B 03 55 3E 3D 85 12 00 28
+D4 5B 03 30 3C 3E 85 12 00 24 F2 5B 02 30 3D 00
+85 12 00 20 4C 48 02 49 46 00 1A 42 CC 1D 8A 4E
+00 00 A2 53 CC 1D 0E 4A 30 4D E8 5B 04 54 48 45
+4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D B0 59
+04 45 4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00
+A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F 1C 5C 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 CC 1D 30 4D 34 5A 05 41 47 41
+49 4E 87 12 B0 5B 64 5C 2A 44 00 00 05 57 48 49
+4C 45 87 12 0A 5C 78 44 2A 44 C0 5B 06 52 45 50
+45 41 54 00 87 12 B0 5B 64 5C 22 5C 2A 44 00 00
+03 4A 4D 50 87 12 6A 4E B0 5B 64 5C 2A 44 3E B0
+00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28
+03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00
+04 3F 4A 4D 50 00 87 12 CE 5C 6A 4E 78 44 64 5C
+2A 44 04 5D 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
+98 42 CC 1D 00 00 30 4D 88 43 00 00 A4 3F CA 5A
+03 42 57 31 84 12 02 5D 00 00 20 5D 03 42 57 32
+84 12 02 5D 00 00 2C 5D 03 42 57 33 84 12 02 5D
+00 00 44 5D 3D 41 1A 42 CC 1D 28 4E 08 93 08 20
+BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D
+8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 42 5D
+00 00 68 5D 03 46 57 32 84 12 42 5D 00 00 74 5D
+03 46 57 33 84 12 42 5D 00 00 80 5D 04 47 4F 54
+4F 00 87 12 B0 5B 6A 4E 3C 4C 2A 44 F0 5C 05 3F
+47 4F 54 4F 87 12 CE 5C 6A 4E 3C 4C 2A 44 38 51
+07 7B 54 4F 4F 4C 53 7D 30 4D AC 56 03 41 4E 44
+3E FF 30 4D B4 53 02 2E 53 00 8F 4E FE FF 0E 4F
+2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF
+3F 80 06 00 2E 8F 0E 11 87 12 34 44 3C 00 EE 48
+6A 47 34 44 08 00 EE 48 34 44 3E 00 EE 48 24 49
+8A 44 8A 44 96 45 C2 45 10 5E 62 44 62 44 2A 44
+C8 45 00 46 F2 44 36 47 34 44 02 00 D8 45 12 5E
+2A 44 C6 5D 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
+3E 40 E0 1C D3 3F E0 4A 01 3F 2E 4E 30 40 36 47
+40 54 03 50 41 44 85 12 E4 1C FA 54 05 57 4F 52
+44 53 87 12 9E 49 34 44 03 00 3A 49 34 44 D0 1D
+F2 44 46 5E 34 44 10 00 44 44 20 45 E6 52 34 44
+00 00 44 44 34 44 10 00 44 44 20 45 34 44 00 00
+C8 45 44 44 00 46 46 5E 20 45 F2 44 AC 45 B8 45
+A0 5E 62 44 62 44 00 46 44 44 46 5E 20 45 F2 44
+34 44 02 00 D8 45 82 5E 54 44 B8 45 E2 5E 44 44
+34 44 02 00 28 45 F2 44 9A 44 46 5E 20 45 FA 44
+44 44 8E 4C 34 44 7F 00 C0 5D 5C 49 08 45 34 44
+0F 00 C0 5D 34 44 10 00 78 44 28 45 3A 49 B4 45
+6E 5E 62 44 2A 44 E0 52 03 4D 41 58 2E 9F 07 38
+2F 53 30 4D E8 5E 03 4D 49 4E 2E 9F F9 3B 3E 4F
+30 4D F8 51 03 55 2E 52 87 12 B0 44 54 46 34 44
+00 00 B4 46 E6 46 00 47 BC 44 8A 44 28 45 34 44
+00 00 EC 5E 3A 49 5C 49 2A 44 C2 53 04 44 55 4D
+50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F
+B0 12 2A 44 78 44 8A 44 8A 44 36 47 34 44 01 00
+28 45 36 47 34 44 FE FF C0 5D C8 45 9E 49 00 46
+34 44 07 00 08 5F 24 49 00 46 34 44 10 00 20 45
+00 46 C8 45 00 46 08 45 34 44 03 00 08 5F EA 45
+74 5F 24 49 24 49 00 46 34 44 10 00 20 45 00 46
+C8 45 00 46 08 45 34 44 7E 00 FA 5E 32 46 EC 5E
+EE 48 EA 45 92 5F 34 44 10 00 D8 45 5C 5F BC 44
+3E 46 FA 44 2A 44 B0 5D 0A 7B 46 49 58 50 4F 49
+4E 54 7D 00 30 4D 6A 56 05 48 4F 4C 44 53 39 4F
+09 5E 18 42 B2 1D 19 83 1E 83 04 28 18 83 E8 49
+00 00 F9 3F 82 48 B2 1D 3E 4F 30 4D 56 53 02 46
+2B 00 BF 5F 02 00 3E 6F 30 4D EE 5F 02 46 2D 00
+BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D FC 5F 02 46
+2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F
+02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63
+3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20
+09 96 02 28 09 86 0A 7E 07 67 04 64 15 83 09 30
+0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3
+F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 0E 60
+03 46 23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00
+2B 42 B2 90 0A 00 E2 1D 01 20 1B 53 0C 43 A2 4F
+C0 04 92 42 E2 1D C8 04 9F 42 E4 04 00 00 1E 42
+E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00
+CC 4E 90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00
+39 40 90 1D 75 3F 90 60 02 46 2A 00 92 4F 04 00
+D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04
+2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04
+30 4D E8 60 02 46 2E 00 87 12 54 46 44 44 B0 44
+4C 45 94 60 34 44 2C 00 16 47 E6 46 BC 44 24 47
+00 47 5C 49 24 49 2A 44 5A 54 03 53 3E 46 2F 83
+8F 43 00 00 30 4D 3A 61 02 32 40 00 2F 83 9F 4E
+02 00 00 00 2E 4E 30 4D 48 61 09 32 43 4F 4E 53
+54 41 4E 54 87 12 00 50 46 4C 46 4C 18 50 4C 61
+2A 44
 @FFFE
-6E 55
+12 55
 q
index 21eaf73..ddf56c6 100644 (file)
@@ -300,10 +300,9 @@ SD_CDIN     .equ P7IN
 ; ----------------------------------------------------------------------
 
 ; DCOCLK: Internal digitally controlled oscillator (DCO).
-; Startup clock system in max. DCO setting ~8MHz
 
 
-; CS code for MSP430FR5969
+; CS code for MSP430FR5948
             MOV.B   #CSKEY,&CSCTL0_H ;  Unlock CS registers
 
     .IF FREQUENCY = 0.25
@@ -314,32 +313,32 @@ SD_CDIN     .equ P7IN
     .ELSEIF FREQUENCY = 0.5
             MOV     #0,&CSCTL1                  ; Set 1MHZ DCO setting
             MOV     #DIVA_2 + DIVS_2 + DIVM_2,&CSCTL3             ; set all dividers as 2
-            MOV     #4,X
+            MOV     #8,X
 
     .ELSEIF FREQUENCY = 1
             MOV     #0,&CSCTL1                  ; Set 1MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #8,X
+            MOV     #16,X
 
     .ELSEIF FREQUENCY = 2
             MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1  ; Set 4MHZ DCO setting
             MOV     #DIVA_0 + DIVS_2 + DIVM_2,&CSCTL3
-            MOV     #16,X
+            MOV     #32,X
 
     .ELSEIF FREQUENCY = 4
             MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1  ; Set 4MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #32,X
+            MOV     #64,X
 
     .ELSEIF FREQUENCY = 8
 ;            MOV     #DCOFSEL2+DCOFSEL1,&CSCTL1  ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #64,X
+            MOV     #128,X
 
     .ELSEIF FREQUENCY = 16
             MOV     #DCORSEL+DCOFSEL2,&CSCTL1   ; Set 16MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #128,X
+            MOV     #256,X
 
     .ELSEIF
     .error "bad frequency setting, only 0.5,1,2,4,8,16 MHz"
@@ -356,11 +355,11 @@ SD_CDIN     .equ P7IN
             CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
             JZ      ClockWaitX      ; yes
             .word   0759h           ; no  RRUM #2,X --> wait only 125 ms
-ClockWaitX  MOV     #41666,Y        ; wait 0.5s before starting after POWER ON
-ClockWaitY  SUB     #1,Y            ;
-            JNZ     ClockWaitY      ; 41666x3 = 125000 cycles delay = 125ms @ 1MHz
-            SUB     #1,X            ; x 4 @ 1 MHZ
-            JNZ     ClockWaitX      ; time to stabilize power source ( 1s )
+ClockWaitX  MOV     #5209,Y         ; wait 0.5s before starting after POWER ON
+ClockWaitY  SUB     #1,Y            ;1
+            JNZ     ClockWaitY      ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X            ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX      ; time to stabilize power source ( 500ms )
 
 ; ----------------------------------------------------------------------
 ; POWER ON RESET AND INITIALIZATION : REF
diff --git a/MSP_EXP430FR5994_16MHz_115200bds_SD_BOOT.txt b/MSP_EXP430FR5994_16MHz_115200bds_SD_BOOT.txt
deleted file mode 100644 (file)
index 9125796..0000000
+++ /dev/null
@@ -1,655 +0,0 @@
-@1800
-10 00 14 45 80 3E 80 04 05 00 18 00 76 68 F2 54
-D4 44 E6 44 EA 5C 28 5D
-@4000
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 40
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 40 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 40 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 40 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 40 02 3E 52 00
-0E 12 3E 4F 30 4D 96 40 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 40 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 40 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 40 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 EE 45 B6 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 EE 45 B6 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-3C 5E 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 52 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 46 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05 FD 27
-82 48 CE 05 30 4D 48 45 2D 83 92 B3 DC 05 E4 23
-FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24 92 53
-E4 1D 3E 8F 3D 41 30 4D 96 44 06 28 45 4D 49 54
-29 00 08 4E 3E 4F E1 3F 90 43 04 45 4D 49 54 00
-30 40 72 45 7A 45 04 45 43 48 4F 00 B2 40 82 48
-40 45 82 43 E4 1D 30 4D AE 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 40 45 92 43 E4 1D 30 4D 64 43
-05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00
-DF 3F B0 45 06 53 50 41 43 45 53 00 0E 93 09 24
-0D 12 3D 40 D8 45 EF 3F DA 45 2D 83 1E 83 EB 23
-3D 41 3E 4F 30 4D 78 43 04 54 59 50 45 00 0E 93
-BE 24 1E 15 3D 40 04 46 28 4F 7E 48 8F 48 00 00
-2F 83 BE 3F 06 46 2D 83 91 83 02 00 F5 23 1D 17
-AE 3C 6A 45 04 28 43 52 29 00 87 12 30 46 02 0D
-0A 00 EE 45 2A 40 E4 43 02 43 52 00 30 40 1A 46
-2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
-0D 63 30 4D 28 46 07 43 41 50 53 5F 4F 4E B2 43
-B4 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
-82 43 B4 1D 30 4D C4 45 82 53 22 00 87 12 34 40
-30 46 DC 48 60 46 34 40 22 00 B0 46 4E 46 80 46
-3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D
-A2 63 CC 1D 30 4D 9A 45 82 2E 22 00 87 12 6C 46
-34 40 EE 45 DC 48 2A 40 00 00 04 57 4F 52 44 00
-3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
-1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
-09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
-82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
-ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E
-CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
-0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B 0E 93
-1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
-FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
-0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
-09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
-00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
-3E 4F 30 4D 74 42 07 3E 4E 55 4D 42 45 52 3C 4F
-38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00
-7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
-0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
-19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
-E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
-0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43
-3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
-7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
-6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
-6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
-22 48 0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02
-FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00
-00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A
-09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
-07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
-0E 4B 2C 15 B0 12 B0 42 2A 17 E6 3F 9F 4F 04 00
-02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
-E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
-02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
-02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
-00 02 01 20 2F 53 30 4D 86 45 07 45 58 45 43 55
-54 45 0A 4E 3E 4F 00 4A 26 41 01 2C 1A 42 CC 1D
-A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D DA 48 87 4C
-49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D
-A2 52 CC 1D BA 40 34 40 00 00 8A 4E 02 00 3E 4F
-32 B0 00 02 32 C0 00 02 F1 23 30 4D 56 46 05 43
-4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
-30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D
-87 12 6E 42 B0 46 48 49 3D 40 54 49 E0 22 3E 4F
-3D 41 30 4D 56 49 0A 4E 3E 4F 3D 40 6C 49 38 27
-3D 40 42 49 1A E2 BE 1D B9 27 B3 23 6E 49 3E 4F
-3D 40 42 49 C0 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 6A 4C CD 3F CA 48 08 45 56 41
-4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49
-3D 15 B0 12 2A 40 32 49 AA 49 B2 41 CA 1D B2 41
-C8 1D B2 41 C6 1D 3D 41 30 4D 1E 49 04 42 4F 4F
-54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D
-82 93 08 18 29 24 E2 B2 60 02 26 20 2F 83 8F 4E
-00 00 1E 42 08 18 82 43 08 18 B0 12 2A 40 30 46
-0F 4C 4F 41 44 22 20 42 4F 4F 54 2E 34 54 48 22
-DC 41 44 4A 4C 42 04 51 55 49 54 00 30 40 C2 49
-14 46 06 28 51 55 49 54 29 00 31 40 E0 1C B2 40
-00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12 2A 40
-30 46 05 0D 0A 6F 6B 20 EE 45 5A 42 44 40 64 42
-90 44 B6 45 32 49 DE 40 92 41 30 46 0D 73 74 61
-63 6B 20 65 6D 70 74 79 21 20 E0 4A 34 40 30 FF
-C6 43 D2 41 30 46 0B 46 52 41 4D 20 66 75 6C 6C
-21 20 E0 4A 90 42 F2 40 E0 41 30 4A 30 46 05 0D
-0A 20 20 20 DC 41 38 4A B2 40 DC 51 40 52 B2 40
-0E 45 02 45 B2 40 72 45 82 45 B2 40 1A 46 2E 46
-B2 40 00 44 26 44 B2 40 A0 44 92 44 B2 40 3C 1D
-5C 42 82 43 B4 5B 82 43 C0 5B 82 43 CC 5B 82 43
-FC 5B 82 43 08 5C 82 43 14 5C B2 40 0A 00 E2 1D
-30 41 88 44 05 41 42 4F 52 54 3F 40 80 1C 96 3F
-8F 93 02 00 43 26 B2 40 82 48 40 45 1B 42 32 20
-0B 93 04 24 CB 43 02 00 2B 4B FA 3F B0 12 94 4A
-A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02
-92 C3 DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
-18 83 FA 23 92 B3 DC 05 F3 23 87 12 30 46 04 1B
-5B 37 6D 00 EE 45 34 40 E4 1D F2 40 54 40 E0 41
-56 4B 30 46 05 6C 69 6E 65 3A EE 45 34 40 01 00
-28 41 7C 43 8C 45 EE 45 30 46 04 1B 5B 30 6D 00
-EE 45 52 51 4E 46 DA 4A D4 4A 86 41 42 4F 52 54
-22 00 87 12 6C 46 34 40 E0 4A DC 48 2A 40 08 47
-01 27 87 12 6E 42 B0 46 0E 47 E0 41 90 4B 2A 40
-76 49 96 42 81 5C 92 42 C6 1D CA 1D 30 4D 00 00
-81 5B 82 43 BE 1D 30 4D 94 4B 01 5D B2 43 BE 1D
-30 4D A0 4B 83 5B 27 5D 87 12 82 4B 34 40 34 40
-DC 48 DC 48 2A 40 BE 4F 02 00 3E 4F 30 4D 12 4A
-82 49 53 00 87 12 90 42 F2 40 E0 41 E8 4B B8 4B
-34 40 C6 4B DC 48 2A 40 82 4B C6 4B 2A 40 D0 4B
-09 49 4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0
-80 00 00 00 30 4D 68 46 87 52 45 43 55 52 53 45
-19 42 CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D
-06 4A 88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 42
-B0 46 0E 47 54 40 E0 41 90 4B 92 41 E0 41 4C 4C
-34 40 34 40 DC 48 DC 48 34 40 DC 48 DC 48 2A 40
-82 9F BC 1D 34 25 87 12 30 46 0F 73 74 61 63 6B
-20 6D 69 73 6D 61 74 63 68 21 E6 4A 87 12 8C 46
-6E 42 B0 46 76 4C 08 4E 7A 4E 5A D3 5A 53 0A 58
-19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
-82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
-3D 41 30 41 AA 46 08 56 41 52 49 41 42 4C 45 00
-B0 12 6C 4C BA 40 86 12 FC FF 05 3D BC 49 08 43
-4F 4E 53 54 41 4E 54 00 B0 12 6C 4C BA 40 85 12
-FC FF 8A 4E FE FF 3E 4F F6 3C BE 4C 06 43 52 45
-41 54 45 00 B0 12 6C 4C BA 40 85 12 FC FF 8A 4A
-FE FF E9 3C 8C 49 05 44 4F 45 53 3E 1A 42 BA 1D
-BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D F6 4C
-05 44 45 46 45 52 B0 12 6C 4C BA 40 30 40 FC FF
-BA 40 0C 4D FE FF CF 3C B4 4B 01 3A B0 12 6C 4C
-BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
-BC 1D 30 4D 2A 4D 81 3B 82 93 BE 1D 24 27 87 12
-34 40 2A 40 DC 48 50 4C A2 4B 2A 40 DC 4C 07 43
-4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98
-FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 46 4D
-86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D 90 4D
-86 5B 45 4C 53 45 5D 00 87 12 34 40 01 00 6E 42
-B0 46 24 49 44 40 E0 41 16 4E 8A 40 8A 40 30 46
-04 5B 49 46 5D 00 66 4D EA 41 D4 4D 6E 47 2C 41
-DC 41 0A 4E 8A 40 8A 40 30 46 06 5B 45 4C 53 45
-5D 00 66 4D EA 41 F8 4D 6E 47 9A 4D 44 40 E0 41
-F4 4D 2C 41 DC 41 0A 4E 30 46 06 5B 54 48 45 4E
-5D 00 66 4D EA 41 0A 4E 9A 4D 54 40 EA 41 12 4E
-2A 40 DC 41 AE 4D 6E 47 30 46 05 0D 0A 6B 6F 20
-EE 45 5A 42 44 40 64 42 90 44 2C 4E 82 43 CA 1D
-82 4E C6 1D B2 4F C8 1D 3E 4F 3D 40 AE 4D 30 4D
-A0 4D 84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D
-42 4E 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12
-6E 42 B0 46 0E 47 6C 40 86 41 2A 40 52 4E 89 5B
-44 45 46 49 4E 45 44 5D 87 12 6E 42 B0 46 0E 47
-6C 40 2A 40 86 4E 3D 41 B2 4E 0E 18 A2 4E 0C 18
-3E 4F 69 3D EE 48 06 4D 41 52 4B 45 52 00 B0 12
-6C 4C BA 40 84 12 FC FF BA 40 84 4E FE FF 9A 42
-CE 1D 00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42
-B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
-F0 4B 82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-A2 52 CC 1D BE 40 E0 41 00 00 2E 53 30 4D 10 4D
-84 45 4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40
-DC 41 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D E8 45
-84 54 48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D
-5E 4D 85 42 45 47 49 4E 30 40 C6 43 10 4F 85 55
-4E 54 49 4C 39 40 E0 41 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 6A 4B 85 41
-47 41 49 4E 39 40 DC 41 EF 3F A6 4C 85 57 48 49
-4C 45 87 12 D6 4E 78 40 2A 40 08 4C 86 52 45 50
-45 41 54 00 87 12 54 4F 16 4F 2A 40 F0 4E 82 44
-4F 00 2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D
-BE 40 F0 41 FE FF A2 53 00 1C 1A 42 00 1C 8A 43
-00 00 30 4D 96 4E 84 4C 4F 4F 50 00 39 40 12 42
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A
-00 00 F6 3F 3E 4F 30 4D 20 44 85 2B 4C 4F 4F 50
-39 40 00 42 E5 3F A6 4F 85 4C 45 41 56 45 1A 42
-CC 1D BA 40 22 42 00 00 BA 40 DC 41 02 00 B2 50
-06 00 CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A
-00 00 30 4D E8 4F 04 4D 4F 56 45 00 0A 4E 38 4F
-39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49
-00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83
-18 83 E8 49 00 00 1A 83 FA 23 30 4D 5C 4F 0A 56
-4F 43 41 42 55 4C 41 52 59 00 87 12 E4 4C 34 40
-10 00 34 40 00 00 F0 41 34 40 00 00 DC 48 12 42
-68 50 C6 43 34 40 CE 1D 44 40 F2 40 DC 48 FA 40
-FC 4C 34 40 D0 1D FA 40 2A 40 80 4B 05 46 4F 52
-54 48 84 12 82 50 38 55 30 66 EC 67 52 66 F6 54
-DA 4F B6 67 EE 66 76 51 06 66 C4 67 0C 67 46 41
-82 66 AA 4B 02 67 00 00 4E 4F 04 41 4C 53 4F 00
-3A 40 0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 22 4C
-08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40
-D2 1D 38 40 D0 1D A3 3F 98 46 04 4F 4E 4C 59 00
-82 43 D2 1D 30 4D 7E 4F 0B 44 45 46 49 4E 49 54
-49 4F 4E 53 92 42 D0 1D E0 1D 30 4D 92 50 F0 50
-04 51 14 51 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D0 50 09 50 57 52 5F 53 54 41
-54 45 84 12 0C 51 F2 54 76 68 6C 4F 09 52 53 54
-5F 53 54 41 54 45 92 42 0E 18 56 51 92 42 0C 18
-58 51 EF 3F 48 51 08 50 57 52 5F 48 45 52 45 00
-92 42 CE 1D 56 51 92 42 CC 1D 58 51 30 4D 5C 51
-08 52 53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18
-92 42 CC 1D 0C 18 EC 3F 4E 50 04 57 49 50 45 00
-39 40 80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23
-B0 12 88 4A B2 40 76 68 0C 18 B2 40 F2 54 0E 18
-CA 3F D2 4E 06 28 57 41 52 4D 29 00 1E 42 08 18
-87 12 30 46 06 0D 1B 5B 37 6D 23 00 EE 45 B0 43
-30 46 27 20 46 61 73 74 46 6F 72 74 68 20 56 32
-30 33 20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D
-2E 54 68 6F 6F 72 65 6E 73 20 EE 45 34 40 30 FF
-C6 43 28 41 7C 43 30 46 0B 62 79 74 65 73 20 66
-72 65 65 20 FC 4A AA 51 04 57 41 52 4D 00 30 40
-DC 51 22 4F 04 43 4F 4C 44 00 B2 40 04 A5 20 01
-B2 40 88 5A 5C 01 B2 D0 03 00 04 02 B2 40 FC FF
-02 02 B2 C0 03 00 06 02 B2 D0 00 04 24 02 B2 D3
-26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02 B2 43
-62 02 B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40
-A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
-A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
-80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
-08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
-FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03
-F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40 50 52
-39 40 B4 FF 89 4A 00 00 29 53 FC 23 92 42 02 18
-F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43 00 00
-29 53 39 90 FF 2B FA 2B 31 40 E0 1C 3F 40 80 1C
-37 40 00 40 36 40 BC 40 35 40 08 40 34 40 14 40
-B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01 18 42
-08 18 E2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
-B2 40 81 00 C0 05 B2 42 C6 05 B2 40 A1 F7 C8 05
-F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40
-88 53 18 42 08 18 38 90 0A 00 1A 27 38 90 16 00
-17 2F 28 93 F0 22 E5 26 8A 53 E2 B2 60 02 57 23
-B2 40 81 A9 40 06 B2 40 30 00 46 06 D2 D3 25 02
-B2 D0 C0 04 0C 02 92 C3 40 06 39 42 B0 12 C2 5C
-D2 C3 23 02 2C 42 B2 40 95 00 14 20 B2 40 00 40
-18 20 1A 43 B0 12 86 5C 02 24 30 40 60 5D B0 12
-C0 5C 7A 93 FC 23 B2 40 87 AA 14 20 92 43 16 20
-B2 40 00 48 18 20 1A 43 B0 12 86 5C 29 42 B0 12
-C2 5C 92 43 14 20 82 43 16 20 78 43 3C 42 B2 40
-00 77 18 20 1A 43 B0 12 86 5C B2 40 40 69 18 20
-B0 12 7C 5C 03 24 58 83 F2 23 D7 3F 0C 5C A2 43
-16 20 B2 40 00 50 18 20 B0 12 7C 5C CE 23 92 D3
-40 06 82 43 46 06 92 C3 40 06 B0 12 E8 5C 38 40
-00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20 5A 48
-C2 01 92 43 02 20 7A 80 06 00 0D 24 7A 82 0B 24
-A2 43 02 20 6A 53 07 24 5A 53 05 24 3A 50 0B 20
-0C 4A 30 40 66 5D B0 12 E8 5C D2 48 0D 00 12 20
-19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93 02 20
-1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20 09 5A
-A2 93 02 20 04 24 82 49 0E 20 39 50 20 00 19 82
-12 20 19 82 12 20 82 49 10 20 92 42 02 20 2C 20
-BE 3E BA 50 09 41 53 53 45 4D 42 4C 45 52 84 12
-82 50 18 5B C4 5B CC 5A 18 5C 92 5A 4C 5B 96 57
-00 00 88 5A 38 5B EA 5A 28 5B A6 58 00 00 00 00
-2A 5C B6 50 D4 51 85 48 49 32 4C 4F 87 12 C6 43
-EA 4E DC 48 A2 4B C0 50 CE 54 2A 40 44 52 04 43
-4F 44 45 00 B0 12 6C 4C A2 82 CC 1D 87 12 3E 4D
-DC 41 06 55 00 00 07 45 4E 44 43 4F 44 45 87 12
-DA 50 50 4C 2A 40 C4 54 03 41 53 4D 92 42 E0 1D
-C0 1D B2 40 D2 54 E0 1D E5 3F 26 55 06 45 4E 44
-41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43
-4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53
-CC 1D B2 43 BE 1D 30 40 DA 50 00 00 05 4C 4F 32
-48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A 40
-02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48
-09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83
-0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 40 B0 46
-0E 47 EA 41 CE 55 D0 47 E0 41 90 4B F0 55 D0 55
-29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
-03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
-30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40
-29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 40 B0 46
-D0 47 E0 41 22 56 18 56 21 53 3E 90 10 00 BB 2D
-30 41 24 56 B2 41 CA 1D 22 D3 30 41 87 12 6E 42
-98 55 34 56 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
-CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
-CA 1D B0 12 BA 55 0E 93 04 20 B2 40 00 03 C4 1D
-27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93
-04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40
-20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D
-0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40
-30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
-10 02 C4 1D 92 53 CA 1D 30 12 A4 56 76 3F FA 90
-40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D
-B0 12 02 56 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00
-B0 12 02 56 32 24 92 92 C6 1D CA 1D 02 24 92 53
-CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 02 56 F9 23
-B2 50 10 00 C4 1D 3E 40 28 00 B0 12 BA 55 30 12
-F4 56 67 3F 87 12 6E 42 98 55 2C 57 FE 90 26 00
-00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F
-B0 12 02 56 DF 23 B2 50 80 00 C4 1D 3E 40 28 00
-B0 12 BA 55 B0 12 F2 55 D5 23 3D 40 90 4B 30 4D
-FA 44 04 52 45 54 49 00 87 12 34 40 00 13 DC 48
-2A 40 34 40 2C 00 2C 56 24 57 7C 57 2E 4E 1E D2
-C4 1D 19 42 C2 1D 92 3F 7C 55 03 4D 4F 56 84 12
-72 57 00 40 8A 57 05 4D 4F 56 2E 42 84 12 72 57
-40 40 00 00 03 41 44 44 84 12 72 57 00 50 A4 57
-05 41 44 44 2E 42 84 12 72 57 40 50 B0 57 04 41
-44 44 43 00 84 12 72 57 00 60 BE 57 06 41 44 44
-43 2E 42 00 84 12 72 57 40 60 62 57 04 53 55 42
-43 00 84 12 72 57 00 70 DC 57 06 53 55 42 43 2E
-42 00 84 12 72 57 40 70 EA 57 03 53 55 42 84 12
-72 57 00 80 FA 57 05 53 55 42 2E 42 84 12 72 57
-40 80 5E 55 03 43 4D 50 84 12 72 57 00 90 14 58
-05 43 4D 50 2E 42 84 12 72 57 40 90 4C 55 04 44
-41 44 44 00 84 12 72 57 00 A0 2E 58 06 44 41 44
-44 2E 42 00 84 12 72 57 40 A0 20 58 03 42 49 54
-84 12 72 57 00 B0 4C 58 05 42 49 54 2E 42 84 12
-72 57 40 B0 58 58 03 42 49 43 84 12 72 57 00 C0
-66 58 05 42 49 43 2E 42 84 12 72 57 40 C0 72 58
-03 42 49 53 84 12 72 57 00 D0 80 58 05 42 49 53
-2E 42 84 12 72 57 40 D0 00 00 03 58 4F 52 84 12
-72 57 00 E0 9A 58 05 58 4F 52 2E 42 84 12 72 57
-40 E0 CC 57 03 41 4E 44 84 12 72 57 00 F0 B4 58
-05 41 4E 44 2E 42 84 12 72 57 40 F0 6E 42 2C 56
-D2 58 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0
-0F 00 82 DA C4 1D 4A 3F 06 58 03 52 52 43 84 12
-CC 58 00 10 EA 58 05 52 52 43 2E 42 84 12 CC 58
-40 10 F6 58 04 53 57 50 42 00 84 12 CC 58 80 10
-04 59 03 52 52 41 84 12 CC 58 00 11 12 59 05 52
-52 41 2E 42 84 12 CC 58 40 11 1E 59 03 53 58 54
-84 12 CC 58 80 11 00 00 04 50 55 53 48 00 84 12
-CC 58 00 12 38 59 06 50 55 53 48 2E 42 00 84 12
-CC 58 40 12 8C 58 04 43 41 4C 4C 00 84 12 CC 58
-80 12 34 40 2C 00 2C 56 24 57 6C 59 59 42 C4 1D
-5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20
-0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
-A8 3F 1A 53 0E 4A 87 12 B0 43 30 46 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 E6 4A 46 59 05 50
-55 53 48 4D 84 12 62 59 00 15 AE 59 04 50 4F 50
-4D 00 84 12 62 59 00 17 6E 42 98 55 CE 59 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D
-3E 40 2C 00 B0 12 2A 40 B0 46 D0 47 E0 41 90 4B
-24 57 F4 59 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
-5A 06 6F 3F 2C 59 04 52 52 43 4D 00 84 12 C8 59
-50 00 06 5A 04 52 52 41 4D 00 84 12 C8 59 50 01
-14 5A 04 52 4C 41 4D 00 84 12 C8 59 50 02 22 5A
-04 52 52 55 4D 00 84 12 C8 59 50 03 85 12 00 3C
-30 5A 03 53 3E 3D 85 12 00 38 42 5A 02 53 3C 00
-85 12 00 34 BC 59 03 30 3E 3D 85 12 00 30 56 5A
-02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
-00 2C 6A 5A 03 55 3E 3D 85 12 00 28 60 5A 03 30
-3C 3E 85 12 00 24 7E 5A 02 30 3D 00 85 12 00 20
-06 45 02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53
-CC 1D 0E 4A 30 4D 74 5A 04 54 48 45 4E 00 1A 42
-CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 68 2F 88 DA 00 00 30 4D 3C 58 04 45 4C 53
-45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D
-2F 83 8F 4A 00 00 E3 3F A8 5A 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 CC 1D 30 4D C0 58 05 41 47 41 49 4E 87 12
-3C 5A F0 5A 2A 40 00 00 05 57 48 49 4C 45 87 12
-96 5A 78 40 2A 40 4C 5A 06 52 45 50 45 41 54 00
-87 12 3C 5A F0 5A AE 5A 2A 40 00 00 03 4A 4D 50
-87 12 82 4B 3C 5A F0 5A 2A 40 3E B0 00 10 03 20
-3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
-00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
-50 00 87 12 5A 5B 82 4B 78 40 F0 5A 2A 40 90 5B
-3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D
-00 00 30 4D 88 43 00 00 A4 3F 56 59 03 42 57 31
-84 12 8E 5B 00 00 AC 5B 03 42 57 32 84 12 8E 5B
-00 00 B8 5B 03 42 57 33 84 12 8E 5B 00 00 D0 5B
-3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00
-A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
-61 3F 00 00 03 46 57 31 84 12 CE 5B 00 00 F4 5B
-03 46 57 32 84 12 CE 5B 00 00 00 5C 03 46 57 33
-84 12 CE 5B 00 00 0C 5C 04 47 4F 54 4F 00 87 12
-3C 5A 82 4B D2 48 2A 40 7C 5B 05 3F 47 4F 54 4F
-87 12 5A 5B 82 4B D2 48 2A 40 D2 C3 23 02 E2 B2
-60 02 02 24 30 40 4A 52 1A 52 04 20 19 62 06 20
-92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69 82 4A
-16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10 C2 4A
-16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12 C0 5C
-7A 93 FC 23 0A 43 39 40 05 00 D2 49 14 20 4E 06
-82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
-59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93 46 06
-03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23 30 41
-19 43 3A 43 8A 10 C2 4A 4E 06 82 93 46 06 05 24
-92 B3 6C 06 FD 27 C2 93 4C 06 19 83 F3 23 5A 42
-4C 06 30 41 1A 52 08 20 09 43 1C D3 F2 40 51 00
-19 20 B0 12 3A 5C 34 20 B0 12 C0 5C 7A 90 FE FF
-04 24 FA 23 D9 42 4C 06 FF 1D F2 43 4E 06 03 43
-19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0 03 00
-D2 D3 23 02 30 41 09 43 2C D3 F0 40 58 00 EB C2
-B0 12 3A 5C 15 20 3A 40 FE FF 29 43 B0 12 C4 5C
-D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02 F8 23
-39 40 03 00 B0 12 C2 5C 7A C0 E1 00 6A 92 DE 27
-8C 10 1C 52 4C 06 D2 D3 23 02 87 12 30 46 0B 3C
-20 53 44 20 45 72 72 6F 72 21 7C 5D 2F 82 8F 4E
-02 00 9F 42 E2 1D 00 00 B2 40 10 00 E2 1D 0E 4C
-B0 12 2A 40 7C 43 84 42 FA 40 E6 4A 92 4B 0E 00
-22 20 92 4B 10 00 24 20 5A 42 23 20 58 42 22 20
-92 93 02 20 08 24 59 42 24 20 89 10 0A 59 88 10
-08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20 92 42
-0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20 14 24
-92 42 22 20 D0 04 92 42 24 20 D2 04 92 42 12 20
-C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20 92 52
-10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00 22 20
-92 4B 10 00 24 20 B0 12 CA 5D 5A 4B 03 00 82 5A
-1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90 20 00
-00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42 32 20
-82 43 1E 20 B2 90 00 02 20 20 A3 20 BB 80 00 02
-12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20 03 00
-11 28 CB 43 03 00 B0 12 9C 5D B0 12 E4 5C 8B 43
-10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24 9B 48
-02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00 0B 20
-92 9B 12 00 1E 20 7D 2C BB 90 00 02 12 00 03 2C
-92 4B 12 00 20 20 B0 12 0A 5E 1A 42 1A 20 19 42
-1C 20 1B 3F 3C 42 3B 40 40 20 09 43 CB 93 02 00
-10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20 0A 00
-07 24 09 4B 3B 50 18 00 3B 90 00 21 EF 23 0C 5C
-30 41 0C 43 82 4B 32 20 8B 49 00 00 49 93 0A 24
-99 52 CA 1D 16 00 4A 93 05 34 C9 93 02 00 02 34
-5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42 1A 20
-04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48 08 00
-9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E
-0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00 9B 48
-1E 1E 14 00 82 43 1E 20 6A 93 5F 27 C9 37 8B 43
-16 00 7A 93 02 24 0A 38 95 3F B2 40 3C 21 5C 42
-B2 40 28 44 92 44 B2 40 02 21 00 21 18 42 00 21
-B2 50 06 00 00 21 19 42 CA 1D 1A 42 C6 1D 0A 89
-88 4A 00 00 19 52 C8 1D 88 49 02 00 88 4D 04 00
-79 3F 1B 42 32 20 0B 93 A3 27 EB 93 02 00 04 20
-B0 12 14 64 B0 12 DC 63 5A 4B 02 00 CB 43 02 00
-09 4B 2B 4B 82 4B 32 20 7A 93 07 20 B2 40 3C 1D
-5C 42 B2 40 A0 44 92 44 0A 3C 0B 93 89 27 CB 93
-02 00 86 37 92 4B 16 00 1E 20 B0 12 84 5E 21 52
-2F 53 B2 80 06 00 00 21 1A 42 00 21 3E 4A BF 4A
-00 00 3D 4A 30 4D 90 51 85 52 45 41 44 22 5A 43
-19 3C 38 52 86 57 52 49 54 45 22 00 6A 43 12 3C
-F8 50 84 44 45 4C 22 00 6A 42 0C 3C 0E 55 05 43
-4C 4F 53 45 B0 12 92 5F 30 4D 16 50 85 4C 4F 41
-44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93 BE 1D
-0B 24 87 12 34 40 34 40 DC 48 DC 48 4E 46 6C 46
-34 40 66 60 DC 48 2A 40 87 12 34 40 22 00 B0 46
-24 49 64 60 3D 41 35 4F 0E 55 82 4E 36 20 1C 43
-92 42 2C 20 22 20 92 42 2E 20 24 20 0E 95 8D 24
-F5 90 3A 00 01 00 01 20 25 53 F5 90 5C 00 00 00
-08 20 15 53 92 42 02 20 22 20 82 43 24 20 0E 95
-70 24 82 45 34 20 B0 12 CA 5D 34 40 20 00 A2 93
-02 20 04 24 92 92 22 20 02 20 02 24 14 42 12 20
-B0 12 AA 5E 2C 43 0A 43 08 4A 58 0E 08 58 82 48
-30 20 C8 93 00 1E 61 24 39 42 F8 95 00 1E 04 20
-18 53 19 83 FA 23 15 53 F5 90 2E 00 FF FF 19 24
-39 50 03 00 B0 12 28 5E 06 20 F5 90 5C 00 FF FF
-29 24 0E 95 27 28 15 42 34 20 1A 53 3A 90 10 00
-DB 23 92 53 1A 20 82 63 1C 20 14 83 D1 23 2C 42
-3C 3C F5 90 2E 00 FE FF EE 27 B0 12 28 5E EB 23
-39 40 03 00 F8 95 00 1E 04 20 18 53 19 83 FA 23
-09 3C 0E 95 E0 2F F5 90 5C 00 FF FF DC 23 B0 12
-28 5E D9 23 18 42 30 20 92 48 1A 1E 22 20 92 48
-14 1E 24 20 F8 B0 10 00 0B 1E 14 24 82 93 24 20
-06 20 82 93 22 20 03 20 92 42 02 20 22 20 0E 95
-8E 2F 92 42 22 20 2C 20 92 42 24 20 2E 20 8F 43
-00 00 03 3C 2A 4F B0 12 B4 5E 34 40 14 40 35 40
-08 40 3A 4F 3E 4F 0A 93 05 24 7A 93 13 20 0C 93
-02 20 3D 41 30 4D 87 12 30 46 0B 3C 20 4F 70 65
-6E 45 72 72 6F 72 8C 45 C6 43 24 49 EE 45 B6 45
-DC 41 7A 5D 1A 93 B6 20 0C 93 ED 23 30 4D F8 5F
-04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42 32 20
-B0 12 3C 5E 1E 82 32 20 30 4D 2C 43 12 12 2A 20
-18 42 02 20 08 58 2A 41 82 9A 0A 20 A1 24 B0 12
-E4 5C 09 43 28 93 03 24 89 93 02 1E 03 20 89 93
-00 1E 07 24 09 58 39 90 00 02 F4 23 91 53 00 00
-EA 3F 0C 43 6A 41 B9 43 00 1E 28 93 0F 24 B9 40
-FF 0F 02 1E 09 11 8A 10 09 5A 5A 41 01 00 0A 11
-09 10 82 4A 28 20 82 49 26 20 07 3C 09 11 C2 49
-26 20 C2 4A 27 20 82 43 28 20 3A 41 82 4A 2A 20
-30 41 0A 12 1A 52 08 20 B0 12 26 5D 3A 41 1A 52
-0C 20 30 40 26 5D F2 B0 40 00 A2 04 29 20 F2 B0
-10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42 B4 04
-F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52 E4 04
-D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04 C0 04
-B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04 C0 04
-B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52 E4 04
-30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D
-7C 2E 29 92 06 38 39 80 03 00 B0 12 32 63 39 40
-03 00 7A 4B C8 4A 00 1E 82 9B 36 20 12 28 0D 12
-3D 40 0F 00 3C 40 E2 62 7A 9C F3 27 1D 83 FC 23
-3D 41 6A 9C E6 27 3A 80 21 00 EB 3B 18 53 19 83
-E8 23 09 93 06 24 F8 40 20 00 00 1E 18 53 19 83
-FA 23 30 41 2A 93 EB 20 2C 93 0D 24 0C 93 BA 24
-87 12 30 46 0C 3C 20 57 72 69 74 65 45 72 72 6F
-72 00 DC 41 C6 61 B0 12 FA 61 92 42 26 20 22 20
-92 42 28 20 24 20 B0 12 72 62 B0 12 AA 5E 18 42
-30 20 F8 40 20 00 0B 1E B0 12 86 62 88 43 0C 1E
-88 4A 0E 1E 88 49 10 1E 88 49 12 1E 98 42 24 20
-14 1E 98 42 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E
-1C 43 1B 42 34 20 82 9B 36 20 CA 27 FB 90 2E 00
-00 00 C6 27 39 40 0B 00 B0 12 02 63 B0 12 1E 64
-2A 43 B0 12 B4 5E 0C 93 BB 23 30 4D 1A 4B 04 00
-19 4B 06 00 B0 12 EA 5C B0 12 86 62 18 4B 08 00
-88 49 12 1E 88 4A 16 1E 88 49 18 1E 98 4B 12 00
-1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B 06 00
-30 40 28 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42
-1A 20 19 42 1C 20 30 40 28 5D B2 40 00 02 1E 20
-1B 42 32 20 B0 12 14 64 82 43 1E 20 DB 53 03 00
-DB 92 12 20 03 00 22 20 CB 43 03 00 B0 12 9C 5D
-08 12 0A 12 B0 12 FA 61 2A 91 05 24 B0 12 72 62
-2A 41 B0 12 E4 5C 3A 41 38 41 98 42 26 20 00 1E
-92 93 02 20 03 24 98 42 28 20 02 1E B0 12 72 62
-9B 42 26 20 0E 00 9B 42 28 20 10 00 30 40 0A 5E
-04 60 05 57 52 49 54 45 B0 12 2A 64 30 4D E0 61
-07 53 44 5F 45 4D 49 54 B2 90 00 02 1E 20 02 28
-B0 12 2A 64 18 42 1E 20 C8 4E 00 1E 92 53 1E 20
-3E 4F 30 4D 58 4B 13 00 59 4B 14 00 89 10 09 58
-58 4B 15 00 5B 42 12 20 0A 43 3C 42 08 11 09 10
-4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42
-32 20 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48
-10 00 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01 12 00
-3A F0 FF 01 82 4A 1E 20 B0 12 A6 5E 30 4D 0C 93
-38 20 38 90 E0 01 03 2C C8 93 20 1E 02 24 7C 40
-E5 00 C8 4C 00 1E B0 12 1E 64 B0 12 A8 5D 82 4A
-2A 20 0B 4A B0 12 E4 5C 1A 48 00 1E 88 43 00 1E
-92 93 02 20 09 24 19 48 02 1E 88 43 02 1E 39 F0
-FF 0F 39 90 FF 0F 02 20 3A 93 0E 24 82 4A 22 20
-82 49 24 20 B0 12 A8 5D 0B 9A E6 27 0A 12 0A 4B
-B0 12 72 62 3A 41 DD 3F 0A 4B B0 12 72 62 B0 12
-92 5F 30 4D 2E 4F 08 54 45 52 4D 32 53 44 22 00
-87 12 18 60 34 40 02 00 C6 43 24 49 66 60 B0 65
-3D 41 92 C3 DC 05 08 43 B0 12 D4 44 92 B3 DC 05
-FD 27 59 42 CC 05 69 92 0D 24 C8 49 00 1E 18 53
-38 90 FF 01 F3 2B 03 24 B0 12 2A 64 EC 3F B0 12
-E6 44 EC 3F B0 12 E6 44 82 48 1E 20 B0 12 92 5F
-3D 41 30 4D 6E 4E 0A 7B 53 44 5F 54 4F 4F 4C 53
-7D 00 30 4D A0 64 06 53 45 43 54 4F 52 00 09 4E
-2A 4F B0 12 EA 5C 87 12 9A 42 2C 43 46 43 EE 45
-B6 45 34 40 00 1E 34 40 00 02 F2 67 2A 40 1E 60
-07 43 4C 55 53 54 45 52 82 4E 24 20 A2 4F 22 20
-B0 12 CA 5D 9F 42 1A 20 00 00 1E 42 1C 20 DF 3F
-8C 50 03 46 41 54 2F 82 8F 4E 02 00 9F 42 08 20
-00 00 0E 43 D4 3F 12 60 03 44 49 52 2F 82 8F 4E
-02 00 92 42 2C 20 22 20 92 42 2E 20 24 20 E0 3F
-F6 65 09 7B 55 54 49 4C 49 54 59 7D 30 4D EA 50
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 40 3C 00 80 45 B0 43 34 40 08 00
-80 45 34 40 3E 00 80 45 B6 45 8A 40 8A 40 C4 41
-EA 41 DA 66 62 40 62 40 2A 40 F0 41 28 42 F2 40
-7C 43 34 40 02 00 00 42 DC 66 2A 40 90 66 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F
-76 47 01 3F 2E 4E 30 40 7C 43 92 64 05 57 4F 52
-44 53 87 12 2C 46 34 40 03 00 CC 45 34 40 D0 1D
-F2 40 50 42 34 40 10 00 44 40 20 41 1C 50 34 40
-00 00 44 40 34 40 10 00 44 40 20 41 34 40 00 00
-F0 41 44 40 28 42 50 42 20 41 F2 40 D2 41 E0 41
-60 67 62 40 62 40 28 42 44 40 50 42 20 41 F2 40
-34 40 02 00 00 42 42 67 54 40 E0 41 A2 67 44 40
-34 40 02 00 28 41 F2 40 9A 40 50 42 20 41 FA 40
-44 40 24 49 34 40 7F 00 36 41 EE 45 08 41 34 40
-0F 00 36 41 34 40 10 00 78 40 28 41 CC 45 DC 41
-2E 67 62 40 2A 40 2C 60 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D A8 67 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 96 65 03 55 2E 52 87 12 B0 40 9A 42 34 40
-00 00 FA 42 2C 43 46 43 BC 40 8A 40 28 41 34 40
-00 00 AC 67 CC 45 EE 45 2A 40 68 66 04 44 55 4D
-50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F
-B0 12 2A 40 78 40 8A 40 8A 40 7C 43 34 40 01 00
-28 41 7C 43 34 40 FE FF 36 41 F0 41 2C 46 28 42
-34 40 07 00 C8 67 B6 45 28 42 34 40 10 00 20 41
-28 42 F0 41 28 42 08 41 34 40 03 00 C8 67 12 42
-34 68 B6 45 B6 45 28 42 34 40 10 00 20 41 28 42
-F0 41 28 42 08 41 34 40 7E 00 BA 67 6E 42 AC 67
-80 45 12 42 52 68 34 40 10 00 00 42 1C 68 BC 40
-84 42 FA 40 2A 40
-@FFFE
-50 52
-q
index 03b99d3..f31c6a0 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 14 45 80 3E 80 04 05 00 18 00 1E 68 9A 54
-D4 44 E6 44 92 5C D0 5C
+10 00 C8 44 80 3E 80 04 05 00 18 00 8A 69 38 54
+8E 44 A0 44 30 5C 6E 5C
 @4000
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,94 +21,92 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 EE 45 B6 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 EE 45 B6 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-E4 5D 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 52 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 46 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05 FD 27
-82 48 CE 05 30 4D 48 45 2D 83 92 B3 DC 05 E4 23
-FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24 92 53
-E4 1D 3E 8F 3D 41 30 4D 96 44 06 28 45 4D 49 54
-29 00 08 4E 3E 4F E1 3F 90 43 04 45 4D 49 54 00
-30 40 72 45 7A 45 04 45 43 48 4F 00 B2 40 82 48
-40 45 82 43 E4 1D 30 4D AE 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 40 45 92 43 E4 1D 30 4D 64 43
-05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00
-DF 3F B0 45 06 53 50 41 43 45 53 00 0E 93 09 24
-0D 12 3D 40 D8 45 EF 3F DA 45 2D 83 1E 83 EB 23
-3D 41 3E 4F 30 4D 78 43 04 54 59 50 45 00 0E 93
-BE 24 1E 15 3D 40 04 46 28 4F 7E 48 8F 48 00 00
-2F 83 BE 3F 06 46 2D 83 91 83 02 00 F5 23 1D 17
-AE 3C 6A 45 04 28 43 52 29 00 87 12 30 46 02 0D
-0A 00 EE 45 2A 40 E4 43 02 43 52 00 30 40 1A 46
+00 00 02 31 2B 00 1E 53 30 4D 32 41 02 31 2D 00
+1E 83 30 4D D8 40 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 41
+02 30 3D 00 1E 83 0E 7E 30 4D 60 41 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 41 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 40 01 3E 3E 8F F9 3F 6C 41 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 41 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 41
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 41 03 43 49 42 85 12 3C 1D 24 42 02 42
+4C 00 85 12 20 00 2E 42 04 42 41 53 45 00 85 12
+E2 1D C8 40 05 53 54 41 54 45 85 12 BE 1D 86 41
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 41 06 55
+4D 2F 4D 4F 44 00 30 12 62 40 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 40 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 42 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 42
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 42 02 23 53 00 87 12 B4 42 EC 42 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 42 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 41
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 42 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 42 02 55 2E 00 87 12 54 42 34 40 00 00 E6 42
+00 43 CA 45 92 45 2A 40 46 41 02 44 2E 00 87 12
+54 42 78 40 8A 40 4C 41 E6 42 9A 40 24 43 00 43
+CA 45 92 45 2A 40 84 40 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 43 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 40 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 42 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 43 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 8E 44 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 A0 44 30 4D 10 42 03 4B 45 59 30 40
+BA 43 0D 12 3D 40 02 44 1B 42 32 20 9B 42 1E 20
+16 00 1A 4F 02 00 8F 4E 00 00 0E 43 19 42 1E 20
+02 3C 04 44 2D 83 19 92 20 20 15 2C 58 49 00 1E
+19 53 78 90 20 00 08 2C 78 90 0A 00 F4 23 82 49
+1E 20 2F 53 3D 41 30 4D 2E 9F 61 24 CA 48 00 00
+1A 53 1E 53 5C 3C 0A 12 B0 12 82 5D 3A 41 DE 3F
+8E 43 06 41 43 43 45 50 54 00 30 40 5A 44 B4 43
+08 28 41 43 43 45 50 54 29 00 3C 40 06 45 3B 40
+D0 44 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40
+20 00 3D 40 FA 44 92 B3 DC 05 05 24 18 42 CC 05
+38 90 0A 00 04 20 21 53 39 40 B4 44 4D 15 A2 B3
+DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02 30 41
+B2 40 13 00 CE 05 E2 D2 23 02 30 41 00 00 05 53
+4C 45 45 50 30 40 C2 44 00 00 07 28 53 4C 45 45
+50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05
+48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F 0F 24
+1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3
+DC 05 FD 27 82 48 CE 05 30 4D FC 44 2D 83 92 B3
+DC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D
+02 24 92 53 E4 1D 3E 8F 3D 41 30 4D 50 44 06 28
+45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80 06 00
+8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
+02 00 83 3F 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
+3E 4F 30 4D 4A 43 04 45 4D 49 54 00 30 40 26 45
+56 45 04 45 43 48 4F 00 B2 40 82 48 F4 44 82 43
+E4 1D 30 4D 68 43 06 4E 4F 45 43 48 4F 00 B2 40
+30 4D F4 44 92 43 E4 1D 30 4D 1E 43 05 53 50 41
+43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F 8C 45
+06 53 50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40
+B4 45 EF 3F B6 45 2D 83 1E 83 EB 23 3D 41 3E 4F
+30 4D 32 43 04 54 59 50 45 00 0E 93 0F 24 1E 15
+3D 40 E0 45 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F
+E2 45 2D 83 91 83 02 00 F5 23 1D 17 2F 53 3E 4F
+30 4D 1E 45 04 28 43 52 29 00 87 12 10 46 02 0D
+0A 00 CA 45 2A 40 9E 43 02 43 52 00 30 40 FA 45
 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
-0D 63 30 4D 28 46 07 43 41 50 53 5F 4F 4E B2 43
-B4 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
-82 43 B4 1D 30 4D C4 45 82 53 22 00 87 12 34 40
-30 46 DC 48 60 46 34 40 22 00 B0 46 4E 46 80 46
+0D 63 30 4D 08 46 07 43 41 50 53 5F 4F 4E B2 43
+B4 1D 30 4D 26 46 08 43 41 50 53 5F 4F 46 46 00
+82 43 B4 1D 30 4D A0 45 82 53 22 00 87 12 34 40
+10 46 B4 48 40 46 34 40 22 00 90 46 2E 46 60 46
 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D
-A2 63 CC 1D 30 4D 9A 45 82 2E 22 00 87 12 6C 46
-34 40 EE 45 DC 48 2A 40 00 00 04 57 4F 52 44 00
+A2 63 CC 1D 30 4D 76 45 82 2E 22 00 87 12 4C 46
+34 40 CA 45 B4 48 2A 40 00 00 04 57 4F 52 44 00
 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
@@ -120,530 +118,555 @@ CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
 FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
-00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
-3E 4F 30 4D 74 42 07 3E 4E 55 4D 42 45 52 3C 4F
-38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00
-7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
-0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
-19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
-E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
-0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43
-3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
-7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
-6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
-6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
-22 48 0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02
-FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00
-00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A
-09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
-07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
-0E 4B 2C 15 B0 12 B0 42 2A 17 E6 3F 9F 4F 04 00
-02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
-E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
-02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
-02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
-00 02 01 20 2F 53 30 4D 86 45 07 45 58 45 43 55
-54 45 0A 4E 3E 4F 00 4A 26 41 01 2C 1A 42 CC 1D
-A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D DA 48 87 4C
-49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D
-A2 52 CC 1D BA 40 34 40 00 00 8A 4E 02 00 3E 4F
-32 B0 00 02 32 C0 00 02 F1 23 30 4D 56 46 05 43
-4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
-30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D
-87 12 6E 42 B0 46 48 49 3D 40 54 49 E0 22 3E 4F
-3D 41 30 4D 56 49 0A 4E 3E 4F 3D 40 6C 49 38 27
-3D 40 42 49 1A E2 BE 1D B9 27 B3 23 6E 49 3E 4F
-3D 40 42 49 C0 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 12 4C CD 3F CA 48 08 45 56 41
-4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49
-3D 15 B0 12 2A 40 32 49 AA 49 B2 41 CA 1D B2 41
-C8 1D B2 41 C6 1D 3D 41 30 4D 4C 42 04 51 55 49
-54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D
-82 43 08 18 B0 12 2A 40 30 46 05 0D 0A 6F 6B 20
-EE 45 5A 42 44 40 64 42 90 44 B6 45 32 49 DE 40
-92 41 30 46 0D 73 74 61 63 6B 20 65 6D 70 74 79
-21 20 88 4A 34 40 30 FF C6 43 D2 41 30 46 0B 46
-52 41 4D 20 66 75 6C 6C 21 20 88 4A 90 42 F2 40
-E0 41 D8 49 30 46 05 0D 0A 20 20 20 DC 41 E0 49
-B2 40 84 51 E8 51 B2 40 0E 45 02 45 B2 40 72 45
-82 45 B2 40 1A 46 2E 46 B2 40 00 44 26 44 B2 40
-A0 44 92 44 B2 40 3C 1D 5C 42 82 43 5C 5B 82 43
-68 5B 82 43 74 5B 82 43 A4 5B 82 43 B0 5B 82 43
-BC 5B B2 40 0A 00 E2 1D 30 41 88 44 05 41 42 4F
-52 54 3F 40 80 1C 9D 3F 8F 93 02 00 6F 26 B2 40
-82 48 40 45 1B 42 32 20 0B 93 04 24 CB 43 02 00
-2B 4B FA 3F B0 12 3C 4A A2 B3 DC 05 FD 27 B2 40
-11 00 CE 05 E2 C2 23 02 92 C3 DC 05 38 40 A0 AA
-39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05
-F3 23 87 12 30 46 04 1B 5B 37 6D 00 EE 45 34 40
-E4 1D F2 40 54 40 E0 41 FE 4A 30 46 05 6C 69 6E
-65 3A EE 45 34 40 01 00 28 41 7C 43 8C 45 EE 45
-30 46 04 1B 5B 30 6D 00 EE 45 FA 50 4E 46 82 4A
-7C 4A 86 41 42 4F 52 54 22 00 87 12 6C 46 34 40
-88 4A DC 48 2A 40 08 47 01 27 87 12 6E 42 B0 46
-0E 47 E0 41 38 4B 2A 40 76 49 96 42 81 5C 92 42
-C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
-3C 4B 01 5D B2 43 BE 1D 30 4D 48 4B 83 5B 27 5D
-87 12 2A 4B 34 40 34 40 DC 48 DC 48 2A 40 BE 4F
-02 00 3E 4F 30 4D 14 46 82 49 53 00 87 12 90 42
-F2 40 E0 41 90 4B 60 4B 34 40 6E 4B DC 48 2A 40
-2A 4B 6E 4B 2A 40 78 4B 09 49 4D 4D 45 44 49 41
-54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D 68 46
-87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
-00 00 A2 53 CC 1D 30 4D BC 49 88 50 4F 53 54 50
-4F 4E 45 00 87 12 6E 42 B0 46 0E 47 54 40 E0 41
-38 4B 92 41 E0 41 F4 4B 34 40 34 40 DC 48 DC 48
-34 40 DC 48 DC 48 2A 40 82 9F BC 1D 34 25 87 12
-30 46 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
-68 21 8E 4A 87 12 8C 46 6E 42 B0 46 1E 4C 08 4E
-7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0
-1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D
-2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 AA 46 08 56
-41 52 49 41 42 4C 45 00 B0 12 14 4C BA 40 86 12
-FC FF 05 3D 1E 49 08 43 4F 4E 53 54 41 4E 54 00
-B0 12 14 4C BA 40 85 12 FC FF 8A 4E FE FF 3E 4F
-F6 3C 66 4C 06 43 52 45 41 54 45 00 B0 12 14 4C
-BA 40 85 12 FC FF 8A 4A FE FF E9 3C 8C 49 05 44
-4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00 8A 4D
-02 00 3D 41 30 4D 9E 4C 05 44 45 46 45 52 B0 12
-14 4C BA 40 30 40 FC FF BA 40 B4 4C FE FF CF 3C
-5C 4B 01 3A B0 12 14 4C BA 40 87 12 FC FF A2 83
-CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D D2 4C 81 3B
-82 93 BE 1D 24 27 87 12 34 40 2A 40 DC 48 F8 4B
-4A 4B 2A 40 84 4C 07 43 4F 4D 50 41 52 45 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
-1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
-30 4D 1E 43 30 4D EE 4C 86 5B 54 48 45 4E 5D 00
-30 4D 1E 83 30 4D 38 4D 86 5B 45 4C 53 45 5D 00
-87 12 34 40 01 00 6E 42 B0 46 24 49 44 40 E0 41
-BE 4D 8A 40 8A 40 30 46 04 5B 49 46 5D 00 0E 4D
-EA 41 7C 4D 6E 47 2C 41 DC 41 B2 4D 8A 40 8A 40
-30 46 06 5B 45 4C 53 45 5D 00 0E 4D EA 41 A0 4D
-6E 47 42 4D 44 40 E0 41 9C 4D 2C 41 DC 41 B2 4D
-30 46 06 5B 54 48 45 4E 5D 00 0E 4D EA 41 B2 4D
-42 4D 54 40 EA 41 BA 4D 2A 40 DC 41 56 4D 6E 47
-30 46 05 0D 0A 6B 6F 20 EE 45 5A 42 44 40 64 42
-90 44 D4 4D 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
-3E 4F 3D 40 56 4D 30 4D 48 4D 84 5B 49 46 5D 00
-0E 93 3E 4F AD 27 30 4D EA 4D 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 87 12 6E 42 B0 46 0E 47 6C 40
-86 41 2A 40 FA 4D 89 5B 44 45 46 49 4E 45 44 5D
-87 12 6E 42 B0 46 0E 47 6C 40 2A 40 2E 4E 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D EE 48 06 4D
-41 52 4B 45 52 00 B0 12 14 4C BA 40 84 12 FC FF
-BA 40 2C 4E FE FF 9A 42 CE 1D 00 00 28 83 8A 48
-02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D A8 49
-FE FF 89 48 00 00 30 4D 98 4B 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40 E0 41
-00 00 2E 53 30 4D B8 4C 84 45 4C 53 45 00 A2 52
-CC 1D 1A 42 CC 1D BA 40 DC 41 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D E8 45 84 54 48 45 4E 00 9E 42
-CC 1D 00 00 3E 4F 30 4D 06 4D 85 42 45 47 49 4E
-30 40 C6 43 B8 4E 85 55 4E 54 49 4C 39 40 E0 41
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 12 4B 85 41 47 41 49 4E 39 40 DC 41
-EF 3F 4E 4C 85 57 48 49 4C 45 87 12 7E 4E 78 40
-2A 40 B0 4B 86 52 45 50 45 41 54 00 87 12 FC 4E
-BE 4E 2A 40 98 4E 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 CC 1D 1E 42 CC 1D BE 40 F0 41 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D 3E 4E 84 4C
-4F 4F 50 00 39 40 12 42 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-20 44 85 2B 4C 4F 4F 50 39 40 00 42 E5 3F 4E 4F
-85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 42 00 00
-BA 40 DC 41 02 00 B2 50 06 00 CC 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D 90 4F 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 04 4F 0A 56 4F 43 41 42 55 4C 41 52
-59 00 87 12 8C 4C 34 40 10 00 34 40 00 00 F0 41
-34 40 00 00 DC 48 12 42 10 50 C6 43 34 40 CE 1D
-44 40 F2 40 DC 48 FA 40 A4 4C 34 40 D0 1D FA 40
-2A 40 28 4B 05 46 4F 52 54 48 84 12 2A 50 E0 54
-D8 65 94 67 FA 65 9E 54 82 4F 5E 67 96 66 1E 51
-AE 65 6C 67 B4 66 46 41 2A 66 52 4B AA 66 00 00
-F6 4E 04 41 4C 53 4F 00 3A 40 0E 00 39 40 D0 1D
-38 40 D2 1D B6 3F CA 4B 08 50 52 45 56 49 4F 55
-53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D A3 3F
-98 46 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D 26 4F
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 D0 1D
-E0 1D 30 4D 3A 50 98 50 AC 50 BC 50 3A 4E 82 4A
-CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 78 50
-09 50 57 52 5F 53 54 41 54 45 84 12 B4 50 9A 54
-1E 68 14 4F 09 52 53 54 5F 53 54 41 54 45 92 42
-0E 18 FE 50 92 42 0C 18 00 51 EF 3F F0 50 08 50
-57 52 5F 48 45 52 45 00 92 42 CE 1D FE 50 92 42
-CC 1D 00 51 30 4D 04 51 08 52 53 54 5F 48 45 52
-45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18 EC 3F
-F6 4F 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
-29 53 39 90 B4 FF FA 23 B0 12 30 4A B2 40 1E 68
-0C 18 B2 40 9A 54 0E 18 CA 3F 7A 4E 06 28 57 41
-52 4D 29 00 1E 42 08 18 87 12 30 46 06 0D 1B 5B
-37 6D 23 00 EE 45 B0 43 30 46 27 20 46 61 73 74
-46 6F 72 74 68 20 56 32 30 33 20 31 36 4D 48 7A
-20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 EE 45 34 40 30 FF C6 43 28 41 7C 43 30 46
-0B 62 79 74 65 73 20 66 72 65 65 20 A4 4A 52 51
-04 57 41 52 4D 00 30 40 84 51 CA 4E 04 43 4F 4C
-44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 B2 D0
-03 00 04 02 B2 40 FC FF 02 02 B2 C0 03 00 06 02
-B2 D0 00 04 24 02 B2 D3 26 02 B2 43 22 02 B2 43
-42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
-26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00
-40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00
-62 01 82 43 66 01 39 40 80 00 B2 40 33 00 64 01
-D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24
-59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 42
-B0 01 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0
-40 00 A2 04 3A 40 F8 51 39 40 B4 FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
-39 40 00 1C 89 43 00 00 29 53 39 90 FF 2B FA 2B
-31 40 E0 1C 3F 40 80 1C 37 40 00 40 36 40 BC 40
-35 40 08 40 34 40 14 40 B2 40 0A 00 E2 1D B2 43
-B4 1D 92 C3 30 01 18 42 08 18 E2 B3 01 02 04 20
-38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 42
-C6 05 B2 40 A1 F7 C8 05 F2 D0 03 00 0D 02 92 C3
-C0 05 92 D3 DA 05 3D 40 30 53 18 42 08 18 38 90
-0A 00 1A 27 38 90 16 00 17 2F 28 93 F0 22 E5 26
-32 53 E2 B2 60 02 57 23 B2 40 81 A9 40 06 B2 40
-30 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3
-40 06 39 42 B0 12 6A 5C D2 C3 23 02 2C 42 B2 40
-95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12 2E 5C
-02 24 30 40 08 5D B0 12 68 5C 7A 93 FC 23 B2 40
-87 AA 14 20 92 43 16 20 B2 40 00 48 18 20 1A 43
-B0 12 2E 5C 29 42 B0 12 6A 5C 92 43 14 20 82 43
-16 20 78 43 3C 42 B2 40 00 77 18 20 1A 43 B0 12
-2E 5C B2 40 40 69 18 20 B0 12 24 5C 03 24 58 83
-F2 23 D7 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20
-B0 12 24 5C CE 23 92 D3 40 06 82 43 46 06 92 C3
-40 06 B0 12 90 5C 38 40 00 1E 92 48 C6 01 04 20
-92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80
-06 00 0D 24 7A 82 0B 24 A2 43 02 20 6A 53 07 24
-5A 53 05 24 3A 50 0B 20 0C 4A 30 40 0E 5D B0 12
-90 5C D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20
-1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20
-09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24 82 49
-0E 20 39 50 20 00 19 82 12 20 19 82 12 20 82 49
-10 20 92 42 02 20 2C 20 BE 3E 62 50 09 41 53 53
-45 4D 42 4C 45 52 84 12 2A 50 C0 5A 6C 5B 74 5A
-C0 5B 3A 5A F4 5A 3E 57 00 00 30 5A E0 5A 92 5A
-D0 5A 4E 58 00 00 00 00 D2 5B 5E 50 7C 51 85 48
-49 32 4C 4F 87 12 C6 43 92 4E DC 48 4A 4B 68 50
-76 54 2A 40 EC 51 04 43 4F 44 45 00 B0 12 14 4C
-A2 82 CC 1D 87 12 E6 4C DC 41 AE 54 00 00 07 45
-4E 44 43 4F 44 45 87 12 82 50 F8 4B 2A 40 6C 54
-03 41 53 4D 92 42 E0 1D C0 1D B2 40 7A 54 E0 1D
-E5 3F CE 54 06 45 4E 44 41 53 4D 00 92 42 C0 1D
-E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D
-BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40
-82 50 00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40
-B0 12 00 00 BA 40 2A 40 02 00 A2 52 CC 1D ED 3F
-38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D
-30 4D B0 12 2A 40 B0 46 0E 47 EA 41 76 55 D0 47
-E0 41 38 4B 98 55 78 55 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53
-CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53
-CA 1D B0 12 2A 40 B0 46 D0 47 E0 41 CA 55 C0 55
-21 53 3E 90 10 00 BB 2D 30 41 CC 55 B2 41 CA 1D
-22 D3 30 41 87 12 6E 42 40 55 DC 55 82 43 C4 1D
-92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 CA 1D B0 12 62 55 0E 93
-04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40
-10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D
-1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92
-04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40
-30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D
-30 12 4C 56 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 C4 1D 92 53 CA 1D B0 12 AA 55 0E 20 B2 50
-10 00 C4 1D 3E 40 2B 00 B0 12 AA 55 32 24 92 92
-C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D
-D3 3F B0 12 AA 55 F9 23 B2 50 10 00 C4 1D 3E 40
-28 00 B0 12 62 55 30 12 9C 56 67 3F 87 12 6E 42
-40 55 D4 56 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 C4 1D C2 3F B0 12 AA 55 DF 23 B2 50
-80 00 C4 1D 3E 40 28 00 B0 12 62 55 B0 12 9A 55
-D5 23 3D 40 38 4B 30 4D FA 44 04 52 45 54 49 00
-87 12 34 40 00 13 DC 48 2A 40 34 40 2C 00 D4 55
-CC 56 24 57 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F
-24 55 03 4D 4F 56 84 12 1A 57 00 40 32 57 05 4D
-4F 56 2E 42 84 12 1A 57 40 40 00 00 03 41 44 44
-84 12 1A 57 00 50 4C 57 05 41 44 44 2E 42 84 12
-1A 57 40 50 58 57 04 41 44 44 43 00 84 12 1A 57
-00 60 66 57 06 41 44 44 43 2E 42 00 84 12 1A 57
-40 60 0A 57 04 53 55 42 43 00 84 12 1A 57 00 70
-84 57 06 53 55 42 43 2E 42 00 84 12 1A 57 40 70
-92 57 03 53 55 42 84 12 1A 57 00 80 A2 57 05 53
-55 42 2E 42 84 12 1A 57 40 80 06 55 03 43 4D 50
-84 12 1A 57 00 90 BC 57 05 43 4D 50 2E 42 84 12
-1A 57 40 90 F4 54 04 44 41 44 44 00 84 12 1A 57
-00 A0 D6 57 06 44 41 44 44 2E 42 00 84 12 1A 57
-40 A0 C8 57 03 42 49 54 84 12 1A 57 00 B0 F4 57
-05 42 49 54 2E 42 84 12 1A 57 40 B0 00 58 03 42
-49 43 84 12 1A 57 00 C0 0E 58 05 42 49 43 2E 42
-84 12 1A 57 40 C0 1A 58 03 42 49 53 84 12 1A 57
-00 D0 28 58 05 42 49 53 2E 42 84 12 1A 57 40 D0
-00 00 03 58 4F 52 84 12 1A 57 00 E0 42 58 05 58
-4F 52 2E 42 84 12 1A 57 40 E0 74 57 03 41 4E 44
-84 12 1A 57 00 F0 5C 58 05 41 4E 44 2E 42 84 12
-1A 57 40 F0 6E 42 D4 55 7A 58 1A 42 C4 1D B2 F0
-70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F
-AE 57 03 52 52 43 84 12 74 58 00 10 92 58 05 52
-52 43 2E 42 84 12 74 58 40 10 9E 58 04 53 57 50
-42 00 84 12 74 58 80 10 AC 58 03 52 52 41 84 12
-74 58 00 11 BA 58 05 52 52 41 2E 42 84 12 74 58
-40 11 C6 58 03 53 58 54 84 12 74 58 80 11 00 00
-04 50 55 53 48 00 84 12 74 58 00 12 E0 58 06 50
-55 53 48 2E 42 00 84 12 74 58 40 12 34 58 04 43
-41 4C 4C 00 84 12 74 58 80 12 34 40 2C 00 D4 55
-CC 56 14 59 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-B0 43 30 46 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 8E 4A EE 58 05 50 55 53 48 4D 84 12 0A 59
-00 15 56 59 04 50 4F 50 4D 00 84 12 0A 59 00 17
-6E 42 40 55 76 59 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A 40
-B0 46 D0 47 E0 41 38 4B CC 56 9C 59 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F D4 58 04 52
-52 43 4D 00 84 12 70 59 50 00 AE 59 04 52 52 41
-4D 00 84 12 70 59 50 01 BC 59 04 52 4C 41 4D 00
-84 12 70 59 50 02 CA 59 04 52 52 55 4D 00 84 12
-70 59 50 03 85 12 00 3C D8 59 03 53 3E 3D 85 12
-00 38 EA 59 02 53 3C 00 85 12 00 34 64 59 03 30
-3E 3D 85 12 00 30 FE 59 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 12 5A 03 55 3E 3D
-85 12 00 28 08 5A 03 30 3C 3E 85 12 00 24 26 5A
-02 30 3D 00 85 12 00 20 06 45 02 49 46 00 1A 42
-CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D 1C 5A
-04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D E4 57 04 45 4C 53 45 00 1A 42 CC 1D BA 40
-00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F
-50 5A 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D 68 58
-05 41 47 41 49 4E 87 12 E4 59 98 5A 2A 40 00 00
-05 57 48 49 4C 45 87 12 3E 5A 78 40 2A 40 F4 59
-06 52 45 50 45 41 54 00 87 12 E4 59 98 5A 56 5A
-2A 40 00 00 03 4A 4D 50 87 12 2A 4B E4 59 98 5A
-2A 40 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 02 5B 2A 4B
-78 40 98 5A 2A 40 38 5B 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00
-A4 3F FE 58 03 42 57 31 84 12 36 5B 00 00 54 5B
-03 42 57 32 84 12 36 5B 00 00 60 5B 03 42 57 33
-84 12 36 5B 00 00 78 5B 3D 41 1A 42 CC 1D 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 76 5B 00 00 9C 5B 03 46 57 32 84 12 76 5B
-00 00 A8 5B 03 46 57 33 84 12 76 5B 00 00 B4 5B
-04 47 4F 54 4F 00 87 12 E4 59 2A 4B D2 48 2A 40
-24 5B 05 3F 47 4F 54 4F 87 12 02 5B 2A 4B D2 48
-2A 40 D2 C3 23 02 E2 B2 60 02 02 24 30 40 F2 51
-1A 52 04 20 19 62 06 20 92 43 14 20 A2 93 02 20
-07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C
-C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10
-C2 49 18 20 B0 12 68 5C 7A 93 FC 23 0A 43 39 40
-05 00 D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3
-6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30
-F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27
-5A 92 4C 06 F3 23 30 41 19 43 3A 43 8A 10 C2 4A
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 19 83 F3 23 5A 42 4C 06 30 41 1A 52 08 20
-09 43 1C D3 F2 40 51 00 19 20 B0 12 E2 5B 34 20
-B0 12 68 5C 7A 90 FE FF 04 24 FA 23 D9 42 4C 06
-FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23
-F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41 09 43
-2C D3 F0 40 58 00 43 C3 B0 12 E2 5B 15 20 3A 40
-FE FF 29 43 B0 12 6C 5C D2 49 00 1E 4E 06 03 43
-19 53 39 90 00 02 F8 23 39 40 03 00 B0 12 6A 5C
-7A C0 E1 00 6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3
-23 02 87 12 30 46 0B 3C 20 53 44 20 45 72 72 6F
-72 21 24 5D 2F 82 8F 4E 02 00 9F 42 E2 1D 00 00
-B2 40 10 00 E2 1D 0E 4C B0 12 2A 40 7C 43 84 42
-FA 40 8E 4A 92 4B 0E 00 22 20 92 4B 10 00 24 20
-5A 42 23 20 58 42 22 20 92 93 02 20 08 24 59 42
-24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58
-30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20
-03 20 92 93 22 20 14 24 92 42 22 20 D0 04 92 42
-24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20
-92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20
-30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12
-72 5D 5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41
-09 93 07 24 F8 90 20 00 00 1E 03 20 18 53 19 83
-F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90 00 02
-20 20 A3 20 BB 80 00 02 12 00 8B 73 14 00 DB 53
-03 00 DB 92 12 20 03 00 11 28 CB 43 03 00 B0 12
-44 5D B0 12 8C 5C 8B 43 10 00 9B 48 00 1E 0E 00
-92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02
-20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20 7D 2C
-BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12
-B2 5D 1A 42 1A 20 19 42 1C 20 1B 3F 3C 42 3B 40
-40 20 09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00
-04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50 18 00
-3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B 32 20
-8B 49 00 00 49 93 0A 24 99 52 CA 1D 16 00 4A 93
-05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00
-CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00
-18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48
-14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00
-9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20
-6A 93 5F 27 C9 37 8B 43 16 00 7A 93 02 24 0A 38
-95 3F B2 40 3C 21 5C 42 B2 40 28 44 92 44 B2 40
-02 21 00 21 18 42 00 21 B2 50 06 00 00 21 19 42
-CA 1D 1A 42 C6 1D 0A 89 88 4A 00 00 19 52 C8 1D
-88 49 02 00 88 4D 04 00 79 3F 1B 42 32 20 0B 93
-A3 27 EB 93 02 00 04 20 B0 12 BC 63 B0 12 84 63
-5A 4B 02 00 CB 43 02 00 09 4B 2B 4B 82 4B 32 20
-7A 93 07 20 B2 40 3C 1D 5C 42 B2 40 A0 44 92 44
-0A 3C 0B 93 89 27 CB 93 02 00 86 37 92 4B 16 00
-1E 20 B0 12 2C 5E 21 52 2F 53 B2 80 06 00 00 21
-1A 42 00 21 3E 4A BF 4A 00 00 3D 4A 30 4D 38 51
-85 52 45 41 44 22 5A 43 19 3C E0 51 86 57 52 49
-54 45 22 00 6A 43 12 3C A0 50 84 44 45 4C 22 00
-6A 42 0C 3C B6 54 05 43 4C 4F 53 45 B0 12 3A 5F
-30 4D BE 4F 85 4C 4F 41 44 22 7A 43 2F 83 8F 4E
-00 00 0E 4A 82 93 BE 1D 0B 24 87 12 34 40 34 40
-DC 48 DC 48 4E 46 6C 46 34 40 0E 60 DC 48 2A 40
-87 12 34 40 22 00 B0 46 24 49 0C 60 3D 41 35 4F
-0E 55 82 4E 36 20 1C 43 92 42 2C 20 22 20 92 42
-2E 20 24 20 0E 95 8D 24 F5 90 3A 00 01 00 01 20
-25 53 F5 90 5C 00 00 00 08 20 15 53 92 42 02 20
-22 20 82 43 24 20 0E 95 70 24 82 45 34 20 B0 12
-72 5D 34 40 20 00 A2 93 02 20 04 24 92 92 22 20
-02 20 02 24 14 42 12 20 B0 12 52 5E 2C 43 0A 43
-08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E 61 24
-39 42 F8 95 00 1E 04 20 18 53 19 83 FA 23 15 53
-F5 90 2E 00 FF FF 19 24 39 50 03 00 B0 12 D0 5D
-06 20 F5 90 5C 00 FF FF 29 24 0E 95 27 28 15 42
-34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20 82 63
-1C 20 14 83 D1 23 2C 42 3C 3C F5 90 2E 00 FE FF
-EE 27 B0 12 D0 5D EB 23 39 40 03 00 F8 95 00 1E
-04 20 18 53 19 83 FA 23 09 3C 0E 95 E0 2F F5 90
-5C 00 FF FF DC 23 B0 12 D0 5D D9 23 18 42 30 20
-92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00
-0B 1E 14 24 82 93 24 20 06 20 82 93 22 20 03 20
-92 42 02 20 22 20 0E 95 8E 2F 92 42 22 20 2C 20
-92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12
-5C 5E 34 40 14 40 35 40 08 40 3A 4F 3E 4F 0A 93
-05 24 7A 93 13 20 0C 93 02 20 3D 41 30 4D 87 12
-30 46 0B 3C 20 4F 70 65 6E 45 72 72 6F 72 8C 45
-C6 43 24 49 EE 45 B6 45 DC 41 22 5D 1A 93 B6 20
-0C 93 ED 23 30 4D A0 5F 04 52 45 41 44 00 2F 83
-8F 4E 00 00 1E 42 32 20 B0 12 E4 5D 1E 82 32 20
-30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41
-82 9A 0A 20 A1 24 B0 12 8C 5C 09 43 28 93 03 24
-89 93 02 1E 03 20 89 93 00 1E 07 24 09 58 39 90
-00 02 F4 23 91 53 00 00 EA 3F 0C 43 6A 41 B9 43
-00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10
-09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49
-26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43
-28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20
-B0 12 CE 5C 3A 41 1A 52 0C 20 30 40 CE 5C F2 B0
-40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27 5A 42
-B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04 D2 42
-B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04 19 52
-E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04 1A 52
-E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04 B2 40
-00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C 2F 3A
-3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38 39 80
-03 00 B0 12 DA 62 39 40 03 00 7A 4B C8 4A 00 1E
-82 9B 36 20 12 28 0D 12 3D 40 0F 00 3C 40 8A 62
-7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C E6 27 3A 80
-21 00 EB 3B 18 53 19 83 E8 23 09 93 06 24 F8 40
-20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93 EB 20
-2C 93 0D 24 0C 93 BA 24 87 12 30 46 0C 3C 20 57
-72 69 74 65 45 72 72 6F 72 00 DC 41 6E 61 B0 12
-A2 61 92 42 26 20 22 20 92 42 28 20 24 20 B0 12
-1A 62 B0 12 52 5E 18 42 30 20 F8 40 20 00 0B 1E
-B0 12 2E 62 88 43 0C 1E 88 4A 0E 1E 88 49 10 1E
-88 49 12 1E 98 42 24 20 14 1E 98 42 22 20 1A 1E
-88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 34 20 82 9B
-36 20 CA 27 FB 90 2E 00 00 00 C6 27 39 40 0B 00
-B0 12 AA 62 B0 12 C6 63 2A 43 B0 12 5C 5E 0C 93
-BB 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12 92 5C
-B0 12 2E 62 18 4B 08 00 88 49 12 1E 88 4A 16 1E
-88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00 1E 1E
-1A 4B 04 00 19 4B 06 00 30 40 D0 5C 9B 52 1E 20
-12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20 30 40
-D0 5C B2 40 00 02 1E 20 1B 42 32 20 B0 12 BC 63
-82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00 22 20
-CB 43 03 00 B0 12 44 5D 08 12 0A 12 B0 12 A2 61
-2A 91 05 24 B0 12 1A 62 2A 41 B0 12 8C 5C 3A 41
-38 41 98 42 26 20 00 1E 92 93 02 20 03 24 98 42
-28 20 02 1E B0 12 1A 62 9B 42 26 20 0E 00 9B 42
-28 20 10 00 30 40 B2 5D AC 5F 05 57 52 49 54 45
-B0 12 D2 63 30 4D 88 61 07 53 44 5F 45 4D 49 54
-B2 90 00 02 1E 20 02 28 B0 12 D2 63 18 42 1E 20
-C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D 58 4B 13 00
-59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42 12 20
-0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11 FA 2B
-0A 11 1C 83 FD 37 1B 42 32 20 19 5B 0A 00 18 6B
-0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00 1A 4B
-12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A 1E 20
-B0 12 4E 5E 30 4D 0C 93 38 20 38 90 E0 01 03 2C
-C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E B0 12
-C6 63 B0 12 50 5D 82 4A 2A 20 0B 4A B0 12 8C 5C
-1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24 19 48
-02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20
-3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12 50 5D
-0B 9A E6 27 0A 12 0A 4B B0 12 1A 62 3A 41 DD 3F
-0A 4B B0 12 1A 62 B0 12 3A 5F 30 4D D6 4E 08 54
-45 52 4D 32 53 44 22 00 87 12 C0 5F 34 40 02 00
-C6 43 24 49 0E 60 58 65 3D 41 92 C3 DC 05 08 43
-B0 12 D4 44 92 B3 DC 05 FD 27 59 42 CC 05 69 92
-0D 24 C8 49 00 1E 18 53 38 90 FF 01 F3 2B 03 24
-B0 12 D2 63 EC 3F B0 12 E6 44 EC 3F B0 12 E6 44
-82 48 1E 20 B0 12 3A 5F 3D 41 30 4D 16 4E 0A 7B
-53 44 5F 54 4F 4F 4C 53 7D 00 30 4D 48 64 06 53
-45 43 54 4F 52 00 09 4E 2A 4F B0 12 92 5C 87 12
-9A 42 2C 43 46 43 EE 45 B6 45 34 40 00 1E 34 40
-00 02 9A 67 2A 40 C6 5F 07 43 4C 55 53 54 45 52
-82 4E 24 20 A2 4F 22 20 B0 12 72 5D 9F 42 1A 20
-00 00 1E 42 1C 20 DF 3F 34 50 03 46 41 54 2F 82
-8F 4E 02 00 9F 42 08 20 00 00 0E 43 D4 3F BA 5F
-03 44 49 52 2F 82 8F 4E 02 00 92 42 2C 20 22 20
-92 42 2E 20 24 20 E0 3F 9E 65 09 7B 55 54 49 4C
-49 54 59 7D 30 4D 92 50 02 2E 53 00 8F 4E FE FF
-0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
-FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40 3C 00
-80 45 B0 43 34 40 08 00 80 45 34 40 3E 00 80 45
-B6 45 8A 40 8A 40 C4 41 EA 41 82 66 62 40 62 40
-2A 40 F0 41 28 42 F2 40 7C 43 34 40 02 00 00 42
-84 66 2A 40 38 66 03 2E 52 53 8F 4E FE FF 8F 41
-FA FF 3E 40 E0 1C D3 3F 76 47 01 3F 2E 4E 30 40
-7C 43 3A 64 05 57 4F 52 44 53 87 12 2C 46 34 40
-03 00 CC 45 34 40 D0 1D F2 40 50 42 34 40 10 00
-44 40 20 41 C4 4F 34 40 00 00 44 40 34 40 10 00
-44 40 20 41 34 40 00 00 F0 41 44 40 28 42 50 42
-20 41 F2 40 D2 41 E0 41 08 67 62 40 62 40 28 42
-44 40 50 42 20 41 F2 40 34 40 02 00 00 42 EA 66
-54 40 E0 41 4A 67 44 40 34 40 02 00 28 41 F2 40
-9A 40 50 42 20 41 FA 40 44 40 24 49 34 40 7F 00
-36 41 EE 45 08 41 34 40 0F 00 36 41 34 40 10 00
-78 40 28 41 CC 45 DC 41 D6 66 62 40 2A 40 D4 5F
-03 4D 41 58 2E 9F 07 38 2F 53 30 4D 50 67 03 4D
-49 4E 2E 9F F9 3B 3E 4F 30 4D 3E 65 03 55 2E 52
-87 12 B0 40 9A 42 34 40 00 00 FA 42 2C 43 46 43
-BC 40 8A 40 28 41 34 40 00 00 54 67 CC 45 EE 45
-2A 40 10 66 04 44 55 4D 50 00 0D 12 12 12 E2 1D
+00 00 35 40 08 40 34 40 14 40 30 4D 94 41 07 3E
+4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82 1B 42
+E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28 7A 80
+07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49 D0 04
+82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04
+09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00 8F 4C
+00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D 32 C0
+00 02 2D 15 09 43 08 43 3D 40 F8 47 3F 82 8F 4E
+06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C 5A 83
+2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40 10 00
+5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00 5A 93
+B8 23 B1 43 02 00 CE 3F FA 47 0E 93 32 24 32 B0
+00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 6A 42
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+62 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 41 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E 00 00
+3E 4F 30 4D B2 48 87 4C 49 54 45 52 41 4C 82 93
+BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40 34 40
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D 36 46 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 87 12 44 45 32 42
+90 46 14 49 3D 40 1C 49 EA 22 50 3E 1E 49 0A 4E
+3E 4F 3D 40 34 49 40 27 3D 40 0E 49 1A E2 BE 1D
+C1 27 BB 23 36 49 3E 4F 3D 40 0E 49 C8 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 D6 4B
+D5 3F A2 48 08 45 56 41 4C 55 41 54 45 00 39 40
+C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40 0A 49
+72 49 B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D 3D 41
+30 4D 9C 41 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12 2A 40
+10 46 05 0D 0A 6F 6B 20 CA 45 2C 45 92 45 0A 49
+DE 40 70 41 10 46 0D 73 74 61 63 6B 20 65 6D 70
+74 79 21 20 4A 4A 34 40 30 FF 80 43 AC 41 10 46
+0B 46 52 41 4D 20 66 75 6C 6C 21 20 4A 4A 4A 42
+F2 40 B8 41 A0 49 10 46 05 0D 0A 20 20 20 B4 41
+A8 49 42 44 05 41 42 4F 52 54 3F 40 80 1C C5 3F
+B2 40 2A 51 86 51 B2 40 C2 44 B6 44 B2 40 3C 1D
+2A 42 B2 40 26 45 5E 45 B2 40 FA 45 0E 46 B2 40
+BA 43 E0 43 B2 40 5A 44 4C 44 82 43 FA 5A 82 43
+06 5B 82 43 12 5B 82 43 42 5B 82 43 4E 5B 82 43
+5A 5B B2 40 0A 00 E2 1D 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 F4 44 1B 42 32 20
+0B 93 04 24 CB 43 02 00 2B 4B FA 3F B0 12 0C 4A
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02
+92 C3 DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 DC 05 F3 23 87 12 10 46 04 1B
+5B 37 6D 00 CA 45 34 40 E4 1D F2 40 54 40 B8 41
+C2 4A 10 46 05 6C 69 6E 65 3A CA 45 40 41 36 43
+68 45 CA 45 10 46 04 1B 5B 30 6D 00 CA 45 A0 50
+2E 46 FA 49 F4 49 86 41 42 4F 52 54 22 00 87 12
+4C 46 34 40 4A 4A B4 48 2A 40 E8 46 01 27 87 12
+32 42 90 46 EE 46 B8 41 FC 4A 2A 40 3E 49 50 42
+81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B 82 43
+BE 1D 30 4D 00 4B 01 5D B2 43 BE 1D 30 4D 0C 4B
+83 5B 27 5D 87 12 EE 4A 34 40 34 40 B4 48 B4 48
+2A 40 BE 4F 02 00 3E 4F 30 4D F4 45 82 49 53 00
+87 12 4A 42 F2 40 B8 41 54 4B 24 4B 34 40 32 4B
+B4 48 2A 40 EE 4A 32 4B 2A 40 3C 4B 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00 00 00
+30 4D 48 46 87 52 45 43 55 52 53 45 19 42 CC 1D
+99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 84 49 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 42 90 46 EE 46
+54 40 B8 41 FC 4A 70 41 B8 41 B8 4B 34 40 34 40
+B4 48 B4 48 34 40 B4 48 B4 48 2A 40 82 9F BC 1D
+25 25 87 12 10 46 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 56 4A 20 4B 81 3B 82 93 BE 1D
+90 27 87 12 34 40 2A 40 B4 48 BC 4B 0E 4B 2A 40
+BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
+BC 1D 30 4D DA 4B 01 3A 30 12 F0 4B 87 12 6C 46
+32 42 90 46 16 4C 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
+82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
+3D 41 30 41 8A 46 08 56 41 52 49 41 42 4C 45 00
+B0 12 0C 4C BA 40 86 12 FC FF DC 3C F6 48 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 0C 4C BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C 5E 4C 06 43 52 45
+41 54 45 00 B0 12 0C 4C BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C 54 49 05 44 4F 45 53 3E 1A 42 BA 1D
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 96 4C
+05 44 45 46 45 52 B0 12 0C 4C BA 40 30 40 FC FF
+BA 40 AC 4C FE FF A6 3C 7C 4C 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 06 4C 86 5B 54 48
+45 4E 5D 00 30 4D FC 4C 86 5B 45 4C 53 45 5D 00
+87 12 34 40 01 00 32 42 90 46 FC 48 44 40 B8 41
+7A 4D 8A 40 8A 40 10 46 04 5B 49 46 5D 00 D2 4C
+C2 41 3C 4D EC 45 36 41 B4 41 72 4D 8A 40 8A 40
+10 46 06 5B 45 4C 53 45 5D 00 D2 4C C2 41 60 4D
+EC 45 40 41 44 40 B8 41 72 4D 36 41 B4 41 72 4D
+10 46 06 5B 54 48 45 4E 5D 00 D2 4C C2 41 72 4D
+40 41 54 40 C2 41 16 4D 2A 40 EC 45 10 46 05 0D
+0A 6B 6F 20 CA 45 2C 45 44 45 B4 41 16 4D 08 4D
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 90 4D
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 42
+90 46 EE 46 6C 40 64 41 2A 40 A0 4D 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 42 90 46 EE 46 6C 40
+2A 40 D4 4D 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D C6 48 06 4D 41 52 4B 45 52 00 B0 12 0C 4C
+BA 40 84 12 FC FF BA 40 D2 4D FE FF 9A 42 CE 1D
+00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
+19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 5C 4B
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
+CC 1D BE 40 B8 41 00 00 2E 53 30 4D B0 4C 84 45
+4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 B4 41
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D C4 45 84 54
+48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D CA 4C
+85 42 45 47 49 4E 30 40 80 43 5E 4E 85 55 4E 54
+49 4C 39 40 B8 41 A2 52 CC 1D 1A 42 CC 1D 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D D6 4A 85 41 47 41
+49 4E 39 40 B4 41 EF 3F 46 4C 85 57 48 49 4C 45
+87 12 24 4E 78 40 2A 40 74 4B 86 52 45 50 45 41
+54 00 87 12 A2 4E 64 4E 2A 40 3E 4E 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
+C8 41 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
+30 4D E4 4D 84 4C 4F 4F 50 00 39 40 EA 41 A2 52
+CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
+00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA 43 85 2B 4C 4F 4F 50 39 40
+D8 41 E5 3F F4 4E 85 4C 45 41 56 45 1A 42 CC 1D
+BA 40 FA 41 00 00 BA 40 B4 41 02 00 B2 50 06 00
+CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
+30 4D 36 4F 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D AA 4E 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 84 4C 34 40 10 00
+34 40 00 00 C8 41 34 40 00 00 B4 48 EA 41 B6 4F
+80 43 34 40 CE 1D 44 40 F2 40 B4 48 FA 40 9C 4C
+34 40 D0 1D FA 40 2A 40 EC 4A 05 46 4F 52 54 48
+84 12 D0 4F D4 65 76 65 44 67 2C 69 E0 67 28 4F
+0E 67 3C 66 5A 66 72 69 1C 67 64 66 00 00 D0 67
+16 4B 50 66 00 00 9C 4E 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 8E 4B 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
+38 40 D0 1D A3 3F 78 46 04 4F 4E 4C 59 00 82 43
+D2 1D 30 4D CC 4E 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 1D E0 1D 30 4D E0 4F 3E 50 52 50
+62 50 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 1E 50 09 50 57 52 5F 53 54 41 54 45
+84 12 5A 50 38 54 8A 69 BA 4E 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 A4 50 92 42 0C 18 A6 50
+EF 3F 96 50 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 1D A4 50 92 42 CC 1D A6 50 30 4D AA 50 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
+CC 1D 0C 18 EC 3F 9C 4F 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23 B0 12
+00 4A B2 40 8A 69 0C 18 B2 40 38 54 0E 18 CA 3F
+20 4E 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+10 46 06 0D 1B 5B 37 6D 23 00 CA 45 6A 43 10 46
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+CA 45 34 40 30 FF 80 43 28 41 36 43 10 46 0B 62
+79 74 65 73 20 66 72 65 65 20 6C 4A F8 50 04 57
+41 52 4D 00 30 40 2A 51 70 4E 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A 5C 01 B2 D0 03 00
+04 02 B2 40 FC FF 02 02 B2 C0 03 00 06 02 B2 D0
+00 04 24 02 B2 D3 26 02 B2 43 22 02 B2 43 42 02
+B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43 26 03
+F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00 40 01
+D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01
+82 43 66 01 39 40 00 01 B2 40 33 00 64 01 D2 43
+61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07
+38 40 59 14 18 83 FE 23 19 83 FA 23 B2 42 B0 01
+F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00
+A2 04 3A 40 96 51 39 40 B4 FF 89 4A 00 00 29 53
+FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18 39 40
+00 1C 89 43 00 00 29 53 39 90 FF 2B FA 2B 31 40
+E0 1C 3F 40 80 1C 37 40 00 40 36 40 BC 40 35 40
+08 40 34 40 14 40 B2 40 0A 00 E2 1D B2 43 B4 1D
+92 C3 30 01 18 42 08 18 E2 B3 01 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 C0 05 B2 42 C6 05
+B2 40 A1 F7 C8 05 F2 D0 03 00 0D 02 92 C3 C0 05
+92 D3 DA 05 3D 40 CE 52 18 42 08 18 38 90 0A 00
+1E 27 38 90 16 00 1B 2F 28 93 F4 22 E9 26 D0 52
+E2 B2 60 02 57 23 B2 40 81 A9 40 06 B2 40 30 00
+46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3 40 06
+39 42 B0 12 08 5C D2 C3 23 02 2C 42 B2 40 95 00
+14 20 B2 40 00 40 18 20 1A 43 B0 12 CC 5B 02 24
+30 40 A6 5C B0 12 06 5C 7A 93 FC 23 B2 40 87 AA
+14 20 92 43 16 20 B2 40 00 48 18 20 1A 43 B0 12
+CC 5B 29 42 B0 12 08 5C 92 43 14 20 82 43 16 20
+78 43 3C 42 B2 40 00 77 18 20 1A 43 B0 12 CC 5B
+B2 40 40 69 18 20 B0 12 C2 5B 03 24 58 83 F2 23
+D7 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20 B0 12
+C2 5B CE 23 92 D3 40 06 82 43 46 06 92 C3 40 06
+B0 12 2E 5C 38 40 00 1E 92 48 C6 01 04 20 92 48
+C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80 06 00
+0D 24 7A 82 0B 24 A2 43 02 20 6A 53 07 24 5A 53
+05 24 3A 50 0B 20 0C 4A 30 40 AC 5C B0 12 2E 5C
+D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20 1A 48
+16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20 09 5A
+82 49 0C 20 09 5A A2 93 02 20 04 24 82 49 0E 20
+39 50 20 00 19 82 12 20 19 82 12 20 82 49 10 20
+92 42 02 20 2C 20 BE 3E 08 50 09 41 53 53 45 4D
+42 4C 45 52 84 12 D0 4F 5E 5A 0A 5B 12 5A 5E 5B
+D8 59 92 5A DC 56 00 00 CE 59 7E 5A 30 5A 6E 5A
+EC 57 00 00 00 00 70 5B 04 50 22 51 85 48 49 32
+4C 4F 87 12 80 43 38 4E B4 48 0E 4B 0E 50 14 54
+2A 40 8A 51 04 43 4F 44 45 00 B0 12 0C 4C A2 82
+CC 1D 87 12 FE 4B B4 41 4C 54 00 00 07 45 4E 44
+43 4F 44 45 87 12 28 50 BC 4B 2A 40 0A 54 03 41
+53 4D 92 42 E0 1D C0 1D B2 40 18 54 E0 1D E5 3F
+6C 54 06 45 4E 44 41 53 4D 00 92 42 C0 1D E0 1D
+E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40
+87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40 28 50
+00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40 B0 12
+00 00 BA 40 2A 40 02 00 A2 52 CC 1D ED 3F 38 40
+C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A 03 24
+7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D
+B0 12 2A 40 90 46 EE 46 C2 41 14 55 A8 47 B8 41
+FC 4A 36 55 16 55 29 4E 39 90 86 12 02 20 2E 53
+30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
+84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53 CC 1D
+89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53 CA 1D
+B0 12 2A 40 90 46 A8 47 B8 41 68 55 5E 55 21 53
+3E 90 10 00 BB 2D 30 41 6A 55 B2 41 CA 1D 22 D3
+30 41 87 12 32 42 DE 54 7A 55 82 43 C4 1D 92 42
+CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00
+00 00 34 20 92 53 CA 1D B0 12 00 55 0E 93 04 20
+B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40 10 03
+C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D 1B 3C
+2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92 04 20
+B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40 30 03
+C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D A2 53
+CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
+00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D 30 12
+EA 55 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
+C4 1D 92 53 CA 1D B0 12 48 55 0E 20 B2 50 10 00
+C4 1D 3E 40 2B 00 B0 12 48 55 32 24 92 92 C6 1D
+CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D D3 3F
+B0 12 48 55 F9 23 B2 50 10 00 C4 1D 3E 40 28 00
+B0 12 00 55 30 12 3A 56 67 3F 87 12 32 42 DE 54
+72 56 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
+82 00 C4 1D C2 3F B0 12 48 55 DF 23 B2 50 80 00
+C4 1D 3E 40 28 00 B0 12 00 55 B0 12 38 55 D5 23
+3D 40 FC 4A 30 4D AE 44 04 52 45 54 49 00 87 12
+34 40 00 13 B4 48 2A 40 34 40 2C 00 72 55 6A 56
+C2 56 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F C2 54
+03 4D 4F 56 84 12 B8 56 00 40 D0 56 05 4D 4F 56
+2E 42 84 12 B8 56 40 40 00 00 03 41 44 44 84 12
+B8 56 00 50 EA 56 05 41 44 44 2E 42 84 12 B8 56
+40 50 F6 56 04 41 44 44 43 00 84 12 B8 56 00 60
+04 57 06 41 44 44 43 2E 42 00 84 12 B8 56 40 60
+A8 56 04 53 55 42 43 00 84 12 B8 56 00 70 22 57
+06 53 55 42 43 2E 42 00 84 12 B8 56 40 70 30 57
+03 53 55 42 84 12 B8 56 00 80 40 57 05 53 55 42
+2E 42 84 12 B8 56 40 80 A4 54 03 43 4D 50 84 12
+B8 56 00 90 5A 57 05 43 4D 50 2E 42 84 12 B8 56
+40 90 92 54 04 44 41 44 44 00 84 12 B8 56 00 A0
+74 57 06 44 41 44 44 2E 42 00 84 12 B8 56 40 A0
+66 57 03 42 49 54 84 12 B8 56 00 B0 92 57 05 42
+49 54 2E 42 84 12 B8 56 40 B0 9E 57 03 42 49 43
+84 12 B8 56 00 C0 AC 57 05 42 49 43 2E 42 84 12
+B8 56 40 C0 B8 57 03 42 49 53 84 12 B8 56 00 D0
+C6 57 05 42 49 53 2E 42 84 12 B8 56 40 D0 00 00
+03 58 4F 52 84 12 B8 56 00 E0 E0 57 05 58 4F 52
+2E 42 84 12 B8 56 40 E0 12 57 03 41 4E 44 84 12
+B8 56 00 F0 FA 57 05 41 4E 44 2E 42 84 12 B8 56
+40 F0 32 42 72 55 18 58 1A 42 C4 1D B2 F0 70 00
+C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F 4C 57
+03 52 52 43 84 12 12 58 00 10 30 58 05 52 52 43
+2E 42 84 12 12 58 40 10 3C 58 04 53 57 50 42 00
+84 12 12 58 80 10 4A 58 03 52 52 41 84 12 12 58
+00 11 58 58 05 52 52 41 2E 42 84 12 12 58 40 11
+64 58 03 53 58 54 84 12 12 58 80 11 00 00 04 50
+55 53 48 00 84 12 12 58 00 12 7E 58 06 50 55 53
+48 2E 42 00 84 12 12 58 40 12 D2 57 04 43 41 4C
+4C 00 84 12 12 58 80 12 34 40 2C 00 72 55 6A 56
+B2 58 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90
+00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
+10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A 43
+10 46 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
+56 4A 8C 58 05 50 55 53 48 4D 84 12 A8 58 00 15
+F4 58 04 50 4F 50 4D 00 84 12 A8 58 00 17 32 42
+DE 54 14 59 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
+CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A 40 90 46
+A8 47 B8 41 FC 4A 6A 56 3A 59 0A 4E 3E 4F 1A 83
+2A 92 CA 2F 8A 10 5A 06 6F 3F 72 58 04 52 52 43
+4D 00 84 12 0E 59 50 00 4C 59 04 52 52 41 4D 00
+84 12 0E 59 50 01 5A 59 04 52 4C 41 4D 00 84 12
+0E 59 50 02 68 59 04 52 52 55 4D 00 84 12 0E 59
+50 03 85 12 00 3C 76 59 03 53 3E 3D 85 12 00 38
+88 59 02 53 3C 00 85 12 00 34 02 59 03 30 3E 3D
+85 12 00 30 9C 59 02 30 3C 00 85 12 00 30 00 00
+02 55 3C 00 85 12 00 2C B0 59 03 55 3E 3D 85 12
+00 28 A6 59 03 30 3C 3E 85 12 00 24 C4 59 02 30
+3D 00 85 12 00 20 BA 44 02 49 46 00 1A 42 CC 1D
+8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D BA 59 04 54
+48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
+82 57 04 45 4C 53 45 00 1A 42 CC 1D BA 40 00 3C
+00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F EE 59
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D
+2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 CC 1D 30 4D 06 58 05 41
+47 41 49 4E 87 12 82 59 36 5A 2A 40 00 00 05 57
+48 49 4C 45 87 12 DC 59 78 40 2A 40 92 59 06 52
+45 50 45 41 54 00 87 12 82 59 36 5A F4 59 2A 40
+00 00 03 4A 4D 50 87 12 EE 4A 82 59 36 5A 2A 40
+3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
+06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
+00 00 04 3F 4A 4D 50 00 87 12 A0 5A EE 4A 78 40
+36 5A 2A 40 D6 5A 3D 41 08 4E 3E 4F 2A 48 0A 93
+04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00 A4 3F
+9C 58 03 42 57 31 84 12 D4 5A 00 00 F2 5A 03 42
+57 32 84 12 D4 5A 00 00 FE 5A 03 42 57 33 84 12
+D4 5A 00 00 16 5B 3D 41 1A 42 CC 1D 28 4E 08 93
+08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F
+30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
+14 5B 00 00 3A 5B 03 46 57 32 84 12 14 5B 00 00
+46 5B 03 46 57 33 84 12 14 5B 00 00 52 5B 04 47
+4F 54 4F 00 87 12 82 59 EE 4A AA 48 2A 40 C2 5A
+05 3F 47 4F 54 4F 87 12 A0 5A EE 4A AA 48 2A 40
+D2 C3 23 02 E2 B2 60 02 02 24 30 40 90 51 1A 52
+04 20 19 62 06 20 92 43 14 20 A2 93 02 20 07 24
+0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A
+15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49
+18 20 B0 12 06 5C 7A 93 FC 23 0A 43 39 40 05 00
+D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3 6C 06
+FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30 F2 43
+4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27 5A 92
+4C 06 F3 23 30 41 19 43 3A 43 8A 10 C2 4A 4E 06
+82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
+19 83 F3 23 5A 42 4C 06 30 41 1A 52 08 20 09 43
+1C D3 F2 40 51 00 19 20 B0 12 80 5B 34 20 B0 12
+06 5C 7A 90 FE FF 04 24 FA 23 D9 42 4C 06 FF 1D
+F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23 F2 43
+4E 06 3C C0 03 00 D2 D3 23 02 30 41 09 43 2C D3
+F0 40 58 00 A5 C3 B0 12 80 5B 15 20 3A 40 FE FF
+29 43 B0 12 0A 5C D2 49 00 1E 4E 06 03 43 19 53
+39 90 00 02 F8 23 39 40 03 00 B0 12 08 5C 7A C0
+E1 00 6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3 23 02
+87 12 10 46 0B 3C 20 53 44 20 45 72 72 6F 72 21
+C2 5C 2F 82 8F 4E 02 00 9F 42 E2 1D 00 00 B2 40
+10 00 E2 1D 0E 4C B0 12 2A 40 36 43 3E 42 FA 40
+56 4A 92 4B 0E 00 22 20 92 4B 10 00 24 20 5A 42
+23 20 58 42 22 20 92 93 02 20 08 24 59 42 24 20
+89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58 30 41
+82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20 03 20
+92 93 22 20 14 24 92 42 22 20 D0 04 92 42 24 20
+D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20 92 42
+E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20 30 41
+92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12 10 5D
+5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41 09 93
+07 24 F8 90 20 00 00 1E 03 20 18 53 19 83 F9 23
+30 41 1B 42 32 20 82 43 1E 20 B2 90 00 02 20 20
+A3 20 BB 80 00 02 12 00 8B 73 14 00 DB 53 03 00
+DB 92 12 20 03 00 11 28 CB 43 03 00 B0 12 E2 5C
+B0 12 2A 5C 8B 43 10 00 9B 48 00 1E 0E 00 92 93
+02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02 20 20
+8B 93 14 00 0B 20 92 9B 12 00 1E 20 7D 2C BB 90
+00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12 50 5D
+1A 42 1A 20 19 42 1C 20 1B 3F 3C 42 3B 40 40 20
+09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00 04 20
+9B 92 22 20 0A 00 07 24 09 4B 3B 50 18 00 3B 90
+00 21 EF 23 0C 5C 30 41 0C 43 82 4B 32 20 8B 49
+00 00 49 93 0A 24 99 52 CA 1D 16 00 4A 93 05 34
+C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00 CB 43
+03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00 18 42
+30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48 14 1E
+0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00 9B 48
+1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20 6A 93
+5F 27 C9 37 8B 43 16 00 7A 93 02 24 0A 38 95 3F
+B2 40 3C 21 2A 42 B2 40 E2 43 4C 44 B2 40 02 21
+00 21 18 42 00 21 B2 50 06 00 00 21 19 42 CA 1D
+1A 42 C6 1D 0A 89 88 4A 00 00 19 52 C8 1D 88 49
+02 00 88 4D 04 00 79 3F 1B 42 32 20 0B 93 A3 27
+EB 93 02 00 04 20 B0 12 5A 63 B0 12 22 63 5A 4B
+02 00 CB 43 02 00 09 4B 2B 4B 82 4B 32 20 7A 93
+07 20 B2 40 3C 1D 2A 42 B2 40 5A 44 4C 44 0A 3C
+0B 93 89 27 CB 93 02 00 86 37 92 4B 16 00 1E 20
+B0 12 CA 5D 21 52 2F 53 B2 80 06 00 00 21 1A 42
+00 21 3E 4A BF 4A 00 00 3D 4A 30 4D DE 50 85 52
+45 41 44 22 5A 43 19 3C 7E 51 86 57 52 49 54 45
+22 00 6A 43 12 3C 46 50 84 44 45 4C 22 00 6A 42
+0C 3C 54 54 05 43 4C 4F 53 45 B0 12 D8 5E 30 4D
+64 4F 85 4C 4F 41 44 22 7A 43 2F 83 8F 4E 00 00
+0E 4A 82 93 BE 1D 0B 24 87 12 34 40 34 40 B4 48
+B4 48 2E 46 4C 46 34 40 AC 5F B4 48 2A 40 87 12
+34 40 22 00 90 46 FC 48 AA 5F 3D 41 35 4F 0E 55
+82 4E 36 20 1C 43 92 42 2C 20 22 20 92 42 2E 20
+24 20 0E 95 8D 24 F5 90 3A 00 01 00 01 20 25 53
+F5 90 5C 00 00 00 08 20 15 53 92 42 02 20 22 20
+82 43 24 20 0E 95 70 24 82 45 34 20 B0 12 10 5D
+34 40 20 00 A2 93 02 20 04 24 92 92 22 20 02 20
+02 24 14 42 12 20 B0 12 F0 5D 2C 43 0A 43 08 4A
+58 0E 08 58 82 48 30 20 C8 93 00 1E 61 24 39 42
+F8 95 00 1E 04 20 18 53 19 83 FA 23 15 53 F5 90
+2E 00 FF FF 19 24 39 50 03 00 B0 12 6E 5D 06 20
+F5 90 5C 00 FF FF 29 24 0E 95 27 28 15 42 34 20
+1A 53 3A 90 10 00 DB 23 92 53 1A 20 82 63 1C 20
+14 83 D1 23 2C 42 3C 3C F5 90 2E 00 FE FF EE 27
+B0 12 6E 5D EB 23 39 40 03 00 F8 95 00 1E 04 20
+18 53 19 83 FA 23 09 3C 0E 95 E0 2F F5 90 5C 00
+FF FF DC 23 B0 12 6E 5D D9 23 18 42 30 20 92 48
+1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00 0B 1E
+14 24 82 93 24 20 06 20 82 93 22 20 03 20 92 42
+02 20 22 20 0E 95 8E 2F 92 42 22 20 2C 20 92 42
+24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12 FA 5D
+34 40 14 40 35 40 08 40 3A 4F 3E 4F 0A 93 05 24
+7A 93 13 20 0C 93 02 20 3D 41 30 4D 87 12 10 46
+0B 3C 20 4F 70 65 6E 45 72 72 6F 72 68 45 80 43
+FC 48 CA 45 92 45 B4 41 C0 5C 1A 93 B6 20 0C 93
+ED 23 30 4D 3E 5F 04 52 45 41 44 00 2F 83 8F 4E
+00 00 1E 42 32 20 B0 12 82 5D 1E 82 32 20 30 4D
+2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41 82 9A
+0A 20 A1 24 B0 12 2A 5C 09 43 28 93 03 24 89 93
+02 1E 03 20 89 93 00 1E 07 24 09 58 39 90 00 02
+F4 23 91 53 00 00 EA 3F 0C 43 6A 41 B9 43 00 1E
+28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10 09 5A
+5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49 26 20
+07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43 28 20
+3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20 B0 12
+6C 5C 3A 41 1A 52 0C 20 30 40 6C 5C F2 B0 40 00
+A2 04 29 20 F2 B0 10 00 A2 04 FC 27 5A 42 B0 04
+4A 11 59 42 B4 04 F2 40 20 00 C0 04 D2 42 B1 04
+C8 04 1A 52 E4 04 D2 42 B5 04 C8 04 19 52 E4 04
+D2 42 B2 04 C0 04 B2 40 00 08 C8 04 1A 52 E4 04
+92 42 B6 04 C0 04 B2 80 BC 07 C0 04 B2 40 00 02
+C8 04 19 52 E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C
+3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38 39 80 03 00
+B0 12 78 62 39 40 03 00 7A 4B C8 4A 00 1E 82 9B
+36 20 12 28 0D 12 3D 40 0F 00 3C 40 28 62 7A 9C
+F3 27 1D 83 FC 23 3D 41 6A 9C E6 27 3A 80 21 00
+EB 3B 18 53 19 83 E8 23 09 93 06 24 F8 40 20 00
+00 1E 18 53 19 83 FA 23 30 41 2A 93 EB 20 2C 93
+0D 24 0C 93 BA 24 87 12 10 46 0C 3C 20 57 72 69
+74 65 45 72 72 6F 72 00 B4 41 0C 61 B0 12 40 61
+92 42 26 20 22 20 92 42 28 20 24 20 B0 12 B8 61
+B0 12 F0 5D 18 42 30 20 F8 40 20 00 0B 1E B0 12
+CC 61 88 43 0C 1E 88 4A 0E 1E 88 49 10 1E 88 49
+12 1E 98 42 24 20 14 1E 98 42 22 20 1A 1E 88 43
+1C 1E 88 43 1E 1E 1C 43 1B 42 34 20 82 9B 36 20
+CA 27 FB 90 2E 00 00 00 C6 27 39 40 0B 00 B0 12
+48 62 B0 12 64 63 2A 43 B0 12 FA 5D 0C 93 BB 23
+30 4D 1A 4B 04 00 19 4B 06 00 B0 12 30 5C B0 12
+CC 61 18 4B 08 00 88 49 12 1E 88 4A 16 1E 88 49
+18 1E 98 4B 12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B
+04 00 19 4B 06 00 30 40 6E 5C 9B 52 1E 20 12 00
+8B 63 14 00 1A 42 1A 20 19 42 1C 20 30 40 6E 5C
+B2 40 00 02 1E 20 1B 42 32 20 B0 12 5A 63 82 43
+1E 20 DB 53 03 00 DB 92 12 20 03 00 22 20 CB 43
+03 00 B0 12 E2 5C 08 12 0A 12 B0 12 40 61 2A 91
+05 24 B0 12 B8 61 2A 41 B0 12 2A 5C 3A 41 38 41
+98 42 26 20 00 1E 92 93 02 20 03 24 98 42 28 20
+02 1E B0 12 B8 61 9B 42 26 20 0E 00 9B 42 28 20
+10 00 30 40 50 5D 4A 5F 05 57 52 49 54 45 B0 12
+70 63 30 4D 26 61 07 53 44 5F 45 4D 49 54 B2 90
+00 02 1E 20 02 28 B0 12 70 63 18 42 1E 20 C8 4E
+00 1E 92 53 1E 20 3E 4F 30 4D 58 4B 13 00 59 4B
+14 00 89 10 09 58 58 4B 15 00 5B 42 12 20 0A 43
+3C 42 08 11 09 10 4A 10 1C 83 0B 11 FA 2B 0A 11
+1C 83 FD 37 1B 42 32 20 19 5B 0A 00 18 6B 0C 00
+8B 49 0E 00 8B 48 10 00 CB 4A 03 00 1A 4B 12 00
+BB C0 FF 01 12 00 3A F0 FF 01 82 4A 1E 20 B0 12
+EC 5D 30 4D 0C 93 38 20 38 90 E0 01 03 2C C8 93
+20 1E 02 24 7C 40 E5 00 C8 4C 00 1E B0 12 64 63
+B0 12 EE 5C 82 4A 2A 20 0B 4A B0 12 2A 5C 1A 48
+00 1E 88 43 00 1E 92 93 02 20 09 24 19 48 02 1E
+88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93
+0E 24 82 4A 22 20 82 49 24 20 B0 12 EE 5C 0B 9A
+E6 27 0A 12 0A 4B B0 12 B8 61 3A 41 DD 3F 0A 4B
+B0 12 B8 61 B0 12 D8 5E 30 4D 7C 4E 08 54 45 52
+4D 32 53 44 22 00 87 12 5E 5F 34 40 02 00 80 43
+FC 48 AC 5F F6 64 3D 41 92 C3 DC 05 08 43 B0 12
+8E 44 92 B3 DC 05 FD 27 59 42 CC 05 69 92 0D 24
+C8 49 00 1E 18 53 38 90 FF 01 F3 2B 03 24 B0 12
+70 63 EC 3F B0 12 A0 44 EC 3F B0 12 A0 44 82 48
+1E 20 B0 12 D8 5E 3D 41 30 4D BC 4D 0A 7B 53 44
+5F 54 4F 4F 4C 53 7D 00 30 4D E6 63 06 53 45 43
+54 4F 52 00 09 4E 2A 4F B0 12 30 5C 87 12 54 42
+E6 42 00 43 CA 45 92 45 34 40 00 1E 34 40 00 02
+4A 67 2A 40 64 5F 07 43 4C 55 53 54 45 52 82 4E
+24 20 A2 4F 22 20 B0 12 10 5D 9F 42 1A 20 00 00
+1E 42 1C 20 DF 3F DA 4F 03 46 41 54 2F 82 8F 4E
+02 00 9F 42 08 20 00 00 0E 43 D4 3F 58 5F 03 44
+49 52 2F 82 8F 4E 02 00 92 42 2C 20 22 20 92 42
+2E 20 24 20 E0 3F 3C 65 07 7B 54 4F 4F 4C 53 7D
+30 4D 7E 54 03 41 4E 44 3E FF 30 4D 38 50 02 2E
+53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40
+80 1C 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F 0E 11
+87 12 34 40 3C 00 5C 45 6A 43 34 40 08 00 5C 45
+34 40 3E 00 5C 45 92 45 8A 40 8A 40 96 41 C2 41
+28 66 62 40 62 40 2A 40 C8 41 00 42 F2 40 36 43
+34 40 02 00 D8 41 2A 66 2A 40 DE 65 03 2E 52 53
+8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F 4E 47
+01 3F 2E 4E 30 40 36 43 C4 50 03 50 41 44 85 12
+E4 1C D8 63 05 57 4F 52 44 53 87 12 0C 46 34 40
+03 00 A8 45 34 40 D0 1D F2 40 5E 66 34 40 10 00
+44 40 20 41 6A 4F 34 40 00 00 44 40 34 40 10 00
+44 40 20 41 34 40 00 00 C8 41 44 40 00 42 5E 66
+20 41 F2 40 AC 41 B8 41 B8 66 62 40 62 40 00 42
+44 40 5E 66 20 41 F2 40 34 40 02 00 D8 41 9A 66
+54 40 B8 41 FA 66 44 40 34 40 02 00 28 41 F2 40
+9A 40 5E 66 20 41 FA 40 44 40 FC 48 34 40 7F 00
+D8 65 CA 45 08 41 34 40 0F 00 D8 65 34 40 10 00
+78 40 28 41 A8 45 B4 41 86 66 62 40 2A 40 72 5F
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D 00 67 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D DC 64 03 55 2E 52
+87 12 B0 40 54 42 34 40 00 00 B4 42 E6 42 00 43
+BC 40 8A 40 28 41 34 40 00 00 04 67 A8 45 CA 45
+2A 40 AE 65 04 44 55 4D 50 00 0D 12 12 12 E2 1D
 B2 40 10 00 E2 1D 2E 5F B0 12 2A 40 78 40 8A 40
-8A 40 7C 43 34 40 01 00 28 41 7C 43 34 40 FE FF
-36 41 F0 41 2C 46 28 42 34 40 07 00 70 67 B6 45
-28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
-34 40 03 00 70 67 12 42 DC 67 B6 45 B6 45 28 42
-34 40 10 00 20 41 28 42 F0 41 28 42 08 41 34 40
-7E 00 62 67 6E 42 54 67 80 45 12 42 FA 67 34 40
-10 00 00 42 C4 67 BC 40 84 42 FA 40 2A 40
+8A 40 36 43 34 40 01 00 28 41 36 43 34 40 FE FF
+D8 65 C8 41 0C 46 00 42 34 40 07 00 20 67 92 45
+00 42 34 40 10 00 20 41 00 42 C8 41 00 42 08 41
+34 40 03 00 20 67 EA 41 8C 67 92 45 92 45 00 42
+34 40 10 00 20 41 00 42 C8 41 00 42 08 41 34 40
+7E 00 12 67 32 42 04 67 5C 45 EA 41 AA 67 34 40
+10 00 D8 41 74 67 BC 40 3E 42 FA 40 2A 40 C8 65
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D 3C 54
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 B2 1D 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 B2 1D
+3E 4F 30 4D 98 65 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D 06 68 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D 14 68 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D 26 68 03 46 23 53 29 4F 8F 4E
+00 00 2F 83 8F 49 00 00 2B 42 B2 90 0A 00 E2 1D
+01 20 1B 53 0C 43 A2 4F C0 04 92 42 E2 1D C8 04
+9F 42 E4 04 00 00 1E 42 E6 04 3E 90 0A 00 02 28
+3E 50 07 00 3E 50 30 00 CC 4E 90 1D 1C 53 0C 9B
+EA 2B 0E 4B 8F 43 00 00 39 40 90 1D 75 3F A8 68
+02 46 2A 00 92 4F 04 00 D4 04 92 4F 02 00 D6 04
+A2 4F E0 04 82 4E E2 04 2F 52 00 3C 00 3C 9F 42
+E6 04 00 00 1E 42 E8 04 30 4D 00 69 02 46 2E 00
+87 12 54 42 44 40 B0 40 4C 41 AC 68 34 40 2C 00
+16 43 E6 42 BC 40 24 43 00 43 CA 45 92 45 2A 40
+4C 65 03 53 3E 46 2F 83 8F 43 00 00 30 4D 52 69
+02 32 40 00 2F 83 9F 4E 02 00 00 00 2E 4E 30 4D
+60 69 09 32 43 4F 4E 53 54 41 4E 54 87 12 84 4C
+B4 48 B4 48 9C 4C 64 69 2A 40
 @FFFE
-F8 51
+96 51
 q
diff --git a/MSP_EXP430FR5994_16MHz_3Mbds_SD_BOOT.txt b/MSP_EXP430FR5994_16MHz_3Mbds_SD_BOOT.txt
deleted file mode 100644 (file)
index e51e6ec..0000000
+++ /dev/null
@@ -1,655 +0,0 @@
-@1800
-10 00 14 45 80 3E 30 75 05 00 18 00 78 68 F4 54
-D4 44 E6 44 EC 5C 2A 5D
-@4000
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 40
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 40 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 40 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 40 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 40 02 3E 52 00
-0E 12 3E 4F 30 4D 96 40 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 40 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 40 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 40 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 EE 45 B6 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 EE 45 B6 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-3E 5E 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 52 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 46 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05 FD 27
-82 48 CE 05 30 4D 48 45 2D 83 92 B3 DC 05 E4 23
-FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24 92 53
-E4 1D 3E 8F 3D 41 30 4D 96 44 06 28 45 4D 49 54
-29 00 08 4E 3E 4F E1 3F 90 43 04 45 4D 49 54 00
-30 40 72 45 7A 45 04 45 43 48 4F 00 B2 40 82 48
-40 45 82 43 E4 1D 30 4D AE 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 40 45 92 43 E4 1D 30 4D 64 43
-05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00
-DF 3F B0 45 06 53 50 41 43 45 53 00 0E 93 09 24
-0D 12 3D 40 D8 45 EF 3F DA 45 2D 83 1E 83 EB 23
-3D 41 3E 4F 30 4D 78 43 04 54 59 50 45 00 0E 93
-BE 24 1E 15 3D 40 04 46 28 4F 7E 48 8F 48 00 00
-2F 83 BE 3F 06 46 2D 83 91 83 02 00 F5 23 1D 17
-AE 3C 6A 45 04 28 43 52 29 00 87 12 30 46 02 0D
-0A 00 EE 45 2A 40 E4 43 02 43 52 00 30 40 1A 46
-2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
-0D 63 30 4D 28 46 07 43 41 50 53 5F 4F 4E B2 43
-B4 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
-82 43 B4 1D 30 4D C4 45 82 53 22 00 87 12 34 40
-30 46 DC 48 60 46 34 40 22 00 B0 46 4E 46 80 46
-3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D
-A2 63 CC 1D 30 4D 9A 45 82 2E 22 00 87 12 6C 46
-34 40 EE 45 DC 48 2A 40 00 00 04 57 4F 52 44 00
-3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
-1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
-09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
-82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
-ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E
-CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
-0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B 0E 93
-1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
-FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
-0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
-09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
-00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
-3E 4F 30 4D 74 42 07 3E 4E 55 4D 42 45 52 3C 4F
-38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00
-7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
-0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
-19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
-E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
-0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43
-3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
-7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
-6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
-6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
-22 48 0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02
-FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00
-00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A
-09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
-07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
-0E 4B 2C 15 B0 12 B0 42 2A 17 E6 3F 9F 4F 04 00
-02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
-E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
-02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
-02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
-00 02 01 20 2F 53 30 4D 86 45 07 45 58 45 43 55
-54 45 0A 4E 3E 4F 00 4A 26 41 01 2C 1A 42 CC 1D
-A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D DA 48 87 4C
-49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D
-A2 52 CC 1D BA 40 34 40 00 00 8A 4E 02 00 3E 4F
-32 B0 00 02 32 C0 00 02 F1 23 30 4D 56 46 05 43
-4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
-30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D
-87 12 6E 42 B0 46 48 49 3D 40 54 49 E0 22 3E 4F
-3D 41 30 4D 56 49 0A 4E 3E 4F 3D 40 6C 49 38 27
-3D 40 42 49 1A E2 BE 1D B9 27 B3 23 6E 49 3E 4F
-3D 40 42 49 C0 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 6A 4C CD 3F CA 48 08 45 56 41
-4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49
-3D 15 B0 12 2A 40 32 49 AA 49 B2 41 CA 1D B2 41
-C8 1D B2 41 C6 1D 3D 41 30 4D 1E 49 04 42 4F 4F
-54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D
-82 93 08 18 29 24 E2 B2 60 02 26 20 2F 83 8F 4E
-00 00 1E 42 08 18 82 43 08 18 B0 12 2A 40 30 46
-0F 4C 4F 41 44 22 20 42 4F 4F 54 2E 34 54 48 22
-DC 41 44 4A 4C 42 04 51 55 49 54 00 30 40 C2 49
-14 46 06 28 51 55 49 54 29 00 31 40 E0 1C B2 40
-00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12 2A 40
-30 46 05 0D 0A 6F 6B 20 EE 45 5A 42 44 40 64 42
-90 44 B6 45 32 49 DE 40 92 41 30 46 0D 73 74 61
-63 6B 20 65 6D 70 74 79 21 20 E0 4A 34 40 30 FF
-C6 43 D2 41 30 46 0B 46 52 41 4D 20 66 75 6C 6C
-21 20 E0 4A 90 42 F2 40 E0 41 30 4A 30 46 05 0D
-0A 20 20 20 DC 41 38 4A B2 40 DC 51 40 52 B2 40
-0E 45 02 45 B2 40 72 45 82 45 B2 40 1A 46 2E 46
-B2 40 00 44 26 44 B2 40 A0 44 92 44 B2 40 3C 1D
-5C 42 82 43 B6 5B 82 43 C2 5B 82 43 CE 5B 82 43
-FE 5B 82 43 0A 5C 82 43 16 5C B2 40 0A 00 E2 1D
-30 41 88 44 05 41 42 4F 52 54 3F 40 80 1C 96 3F
-8F 93 02 00 43 26 B2 40 82 48 40 45 1B 42 32 20
-0B 93 04 24 CB 43 02 00 2B 4B FA 3F B0 12 94 4A
-A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02
-92 C3 DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
-18 83 FA 23 92 B3 DC 05 F3 23 87 12 30 46 04 1B
-5B 37 6D 00 EE 45 34 40 E4 1D F2 40 54 40 E0 41
-56 4B 30 46 05 6C 69 6E 65 3A EE 45 34 40 01 00
-28 41 7C 43 8C 45 EE 45 30 46 04 1B 5B 30 6D 00
-EE 45 52 51 4E 46 DA 4A D4 4A 86 41 42 4F 52 54
-22 00 87 12 6C 46 34 40 E0 4A DC 48 2A 40 08 47
-01 27 87 12 6E 42 B0 46 0E 47 E0 41 90 4B 2A 40
-76 49 96 42 81 5C 92 42 C6 1D CA 1D 30 4D 00 00
-81 5B 82 43 BE 1D 30 4D 94 4B 01 5D B2 43 BE 1D
-30 4D A0 4B 83 5B 27 5D 87 12 82 4B 34 40 34 40
-DC 48 DC 48 2A 40 BE 4F 02 00 3E 4F 30 4D 12 4A
-82 49 53 00 87 12 90 42 F2 40 E0 41 E8 4B B8 4B
-34 40 C6 4B DC 48 2A 40 82 4B C6 4B 2A 40 D0 4B
-09 49 4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0
-80 00 00 00 30 4D 68 46 87 52 45 43 55 52 53 45
-19 42 CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D
-06 4A 88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 42
-B0 46 0E 47 54 40 E0 41 90 4B 92 41 E0 41 4C 4C
-34 40 34 40 DC 48 DC 48 34 40 DC 48 DC 48 2A 40
-82 9F BC 1D 34 25 87 12 30 46 0F 73 74 61 63 6B
-20 6D 69 73 6D 61 74 63 68 21 E6 4A 87 12 8C 46
-6E 42 B0 46 76 4C 08 4E 7A 4E 5A D3 5A 53 0A 58
-19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
-82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
-3D 41 30 41 AA 46 08 56 41 52 49 41 42 4C 45 00
-B0 12 6C 4C BA 40 86 12 FC FF 05 3D BC 49 08 43
-4F 4E 53 54 41 4E 54 00 B0 12 6C 4C BA 40 85 12
-FC FF 8A 4E FE FF 3E 4F F6 3C BE 4C 06 43 52 45
-41 54 45 00 B0 12 6C 4C BA 40 85 12 FC FF 8A 4A
-FE FF E9 3C 8C 49 05 44 4F 45 53 3E 1A 42 BA 1D
-BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D F6 4C
-05 44 45 46 45 52 B0 12 6C 4C BA 40 30 40 FC FF
-BA 40 0C 4D FE FF CF 3C B4 4B 01 3A B0 12 6C 4C
-BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
-BC 1D 30 4D 2A 4D 81 3B 82 93 BE 1D 24 27 87 12
-34 40 2A 40 DC 48 50 4C A2 4B 2A 40 DC 4C 07 43
-4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98
-FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 46 4D
-86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D 90 4D
-86 5B 45 4C 53 45 5D 00 87 12 34 40 01 00 6E 42
-B0 46 24 49 44 40 E0 41 16 4E 8A 40 8A 40 30 46
-04 5B 49 46 5D 00 66 4D EA 41 D4 4D 6E 47 2C 41
-DC 41 0A 4E 8A 40 8A 40 30 46 06 5B 45 4C 53 45
-5D 00 66 4D EA 41 F8 4D 6E 47 9A 4D 44 40 E0 41
-F4 4D 2C 41 DC 41 0A 4E 30 46 06 5B 54 48 45 4E
-5D 00 66 4D EA 41 0A 4E 9A 4D 54 40 EA 41 12 4E
-2A 40 DC 41 AE 4D 6E 47 30 46 05 0D 0A 6B 6F 20
-EE 45 5A 42 44 40 64 42 90 44 2C 4E 82 43 CA 1D
-82 4E C6 1D B2 4F C8 1D 3E 4F 3D 40 AE 4D 30 4D
-A0 4D 84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D
-42 4E 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12
-6E 42 B0 46 0E 47 6C 40 86 41 2A 40 52 4E 89 5B
-44 45 46 49 4E 45 44 5D 87 12 6E 42 B0 46 0E 47
-6C 40 2A 40 86 4E 3D 41 B2 4E 0E 18 A2 4E 0C 18
-3E 4F 69 3D EE 48 06 4D 41 52 4B 45 52 00 B0 12
-6C 4C BA 40 84 12 FC FF BA 40 84 4E FE FF 9A 42
-CE 1D 00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42
-B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
-F0 4B 82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-A2 52 CC 1D BE 40 E0 41 00 00 2E 53 30 4D 10 4D
-84 45 4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40
-DC 41 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D E8 45
-84 54 48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D
-5E 4D 85 42 45 47 49 4E 30 40 C6 43 10 4F 85 55
-4E 54 49 4C 39 40 E0 41 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 6A 4B 85 41
-47 41 49 4E 39 40 DC 41 EF 3F A6 4C 85 57 48 49
-4C 45 87 12 D6 4E 78 40 2A 40 08 4C 86 52 45 50
-45 41 54 00 87 12 54 4F 16 4F 2A 40 F0 4E 82 44
-4F 00 2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D
-BE 40 F0 41 FE FF A2 53 00 1C 1A 42 00 1C 8A 43
-00 00 30 4D 96 4E 84 4C 4F 4F 50 00 39 40 12 42
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A
-00 00 F6 3F 3E 4F 30 4D 20 44 85 2B 4C 4F 4F 50
-39 40 00 42 E5 3F A6 4F 85 4C 45 41 56 45 1A 42
-CC 1D BA 40 22 42 00 00 BA 40 DC 41 02 00 B2 50
-06 00 CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A
-00 00 30 4D E8 4F 04 4D 4F 56 45 00 0A 4E 38 4F
-39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49
-00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83
-18 83 E8 49 00 00 1A 83 FA 23 30 4D 5C 4F 0A 56
-4F 43 41 42 55 4C 41 52 59 00 87 12 E4 4C 34 40
-10 00 34 40 00 00 F0 41 34 40 00 00 DC 48 12 42
-68 50 C6 43 34 40 CE 1D 44 40 F2 40 DC 48 FA 40
-FC 4C 34 40 D0 1D FA 40 2A 40 80 4B 05 46 4F 52
-54 48 84 12 82 50 3A 55 32 66 EE 67 54 66 F8 54
-DA 4F B8 67 F0 66 76 51 08 66 C6 67 0E 67 46 41
-84 66 AA 4B 04 67 00 00 4E 4F 04 41 4C 53 4F 00
-3A 40 0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 22 4C
-08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40
-D2 1D 38 40 D0 1D A3 3F 98 46 04 4F 4E 4C 59 00
-82 43 D2 1D 30 4D 7E 4F 0B 44 45 46 49 4E 49 54
-49 4F 4E 53 92 42 D0 1D E0 1D 30 4D 92 50 F0 50
-04 51 14 51 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D0 50 09 50 57 52 5F 53 54 41
-54 45 84 12 0C 51 F4 54 78 68 6C 4F 09 52 53 54
-5F 53 54 41 54 45 92 42 0E 18 56 51 92 42 0C 18
-58 51 EF 3F 48 51 08 50 57 52 5F 48 45 52 45 00
-92 42 CE 1D 56 51 92 42 CC 1D 58 51 30 4D 5C 51
-08 52 53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18
-92 42 CC 1D 0C 18 EC 3F 4E 50 04 57 49 50 45 00
-39 40 80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23
-B0 12 88 4A B2 40 78 68 0C 18 B2 40 F4 54 0E 18
-CA 3F D2 4E 06 28 57 41 52 4D 29 00 1E 42 08 18
-87 12 30 46 06 0D 1B 5B 37 6D 23 00 EE 45 B0 43
-30 46 27 20 46 61 73 74 46 6F 72 74 68 20 56 32
-30 33 20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D
-2E 54 68 6F 6F 72 65 6E 73 20 EE 45 34 40 30 FF
-C6 43 28 41 7C 43 30 46 0B 62 79 74 65 73 20 66
-72 65 65 20 FC 4A AA 51 04 57 41 52 4D 00 30 40
-DC 51 22 4F 04 43 4F 4C 44 00 B2 40 04 A5 20 01
-B2 40 88 5A 5C 01 B2 D0 03 00 04 02 B2 40 FC FF
-02 02 B2 C0 03 00 06 02 B2 D0 00 04 24 02 B2 D3
-26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02 B2 43
-62 02 B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40
-A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
-A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
-80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
-08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
-FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03
-F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40 50 52
-39 40 B4 FF 89 4A 00 00 29 53 FC 23 92 42 02 18
-F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43 00 00
-29 53 39 90 FF 2B FA 2B 31 40 E0 1C 3F 40 80 1C
-37 40 00 40 36 40 BC 40 35 40 08 40 34 40 14 40
-B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01 18 42
-08 18 E2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
-B2 40 81 00 C0 05 B2 40 05 00 C6 05 B2 40 00 49
-C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05
-3D 40 8A 53 18 42 08 18 38 90 0A 00 19 27 38 90
-16 00 16 2F 28 93 EF 22 E4 26 8C 53 E2 B2 60 02
-56 23 B2 40 81 A9 40 06 B2 40 30 00 46 06 D2 D3
-25 02 B2 D0 C0 04 0C 02 92 C3 40 06 39 42 B0 12
-C4 5C D2 C3 23 02 2C 42 B2 40 95 00 14 20 B2 40
-00 40 18 20 1A 43 B0 12 88 5C 02 24 30 40 62 5D
-B0 12 C2 5C 7A 93 FC 23 B2 40 87 AA 14 20 92 43
-16 20 B2 40 00 48 18 20 1A 43 B0 12 88 5C 29 42
-B0 12 C4 5C 92 43 14 20 82 43 16 20 78 43 3C 42
-B2 40 00 77 18 20 1A 43 B0 12 88 5C B2 40 40 69
-18 20 B0 12 7E 5C 03 24 58 83 F2 23 D7 3F 0C 5C
-A2 43 16 20 B2 40 00 50 18 20 B0 12 7E 5C CE 23
-92 D3 40 06 82 43 46 06 92 C3 40 06 B0 12 EA 5C
-38 40 00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20
-5A 48 C2 01 92 43 02 20 7A 80 06 00 0D 24 7A 82
-0B 24 A2 43 02 20 6A 53 07 24 5A 53 05 24 3A 50
-0B 20 0C 4A 30 40 68 5D B0 12 EA 5C D2 48 0D 00
-12 20 19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93
-02 20 1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20
-09 5A A2 93 02 20 04 24 82 49 0E 20 39 50 20 00
-19 82 12 20 19 82 12 20 82 49 10 20 92 42 02 20
-2C 20 BD 3E BA 50 09 41 53 53 45 4D 42 4C 45 52
-84 12 82 50 1A 5B C6 5B CE 5A 1A 5C 94 5A 4E 5B
-98 57 00 00 8A 5A 3A 5B EC 5A 2A 5B A8 58 00 00
-00 00 2C 5C B6 50 D4 51 85 48 49 32 4C 4F 87 12
-C6 43 EA 4E DC 48 A2 4B C0 50 D0 54 2A 40 44 52
-04 43 4F 44 45 00 B0 12 6C 4C A2 82 CC 1D 87 12
-3E 4D DC 41 08 55 00 00 07 45 4E 44 43 4F 44 45
-87 12 DA 50 50 4C 2A 40 C6 54 03 41 53 4D 92 42
-E0 1D C0 1D B2 40 D4 54 E0 1D E5 3F 28 55 06 45
-4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00
-05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00
-A2 53 CC 1D B2 43 BE 1D 30 40 DA 50 00 00 05 4C
-4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40
-2A 40 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48
-2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27
-1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 40
-B0 46 0E 47 EA 41 D0 55 D0 47 E0 41 90 4B F2 55
-D2 55 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
-85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
-2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 40
-B0 46 D0 47 E0 41 24 56 1A 56 21 53 3E 90 10 00
-BB 2D 30 41 26 56 B2 41 CA 1D 22 D3 30 41 87 12
-6E 42 9A 55 36 56 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20
-92 53 CA 1D B0 12 BC 55 0E 93 04 20 B2 40 00 03
-C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C
-2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20
-B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02
-C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C
-B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E
-00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
-B2 40 10 02 C4 1D 92 53 CA 1D 30 12 A6 56 76 3F
-FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53
-CA 1D B0 12 04 56 0E 20 B2 50 10 00 C4 1D 3E 40
-2B 00 B0 12 04 56 32 24 92 92 C6 1D CA 1D 02 24
-92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 04 56
-F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12 BC 55
-30 12 F6 56 67 3F 87 12 6E 42 9A 55 2E 57 FE 90
-26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D
-C2 3F B0 12 04 56 DF 23 B2 50 80 00 C4 1D 3E 40
-28 00 B0 12 BC 55 B0 12 F4 55 D5 23 3D 40 90 4B
-30 4D FA 44 04 52 45 54 49 00 87 12 34 40 00 13
-DC 48 2A 40 34 40 2C 00 2E 56 26 57 7E 57 2E 4E
-1E D2 C4 1D 19 42 C2 1D 92 3F 7E 55 03 4D 4F 56
-84 12 74 57 00 40 8C 57 05 4D 4F 56 2E 42 84 12
-74 57 40 40 00 00 03 41 44 44 84 12 74 57 00 50
-A6 57 05 41 44 44 2E 42 84 12 74 57 40 50 B2 57
-04 41 44 44 43 00 84 12 74 57 00 60 C0 57 06 41
-44 44 43 2E 42 00 84 12 74 57 40 60 64 57 04 53
-55 42 43 00 84 12 74 57 00 70 DE 57 06 53 55 42
-43 2E 42 00 84 12 74 57 40 70 EC 57 03 53 55 42
-84 12 74 57 00 80 FC 57 05 53 55 42 2E 42 84 12
-74 57 40 80 60 55 03 43 4D 50 84 12 74 57 00 90
-16 58 05 43 4D 50 2E 42 84 12 74 57 40 90 4E 55
-04 44 41 44 44 00 84 12 74 57 00 A0 30 58 06 44
-41 44 44 2E 42 00 84 12 74 57 40 A0 22 58 03 42
-49 54 84 12 74 57 00 B0 4E 58 05 42 49 54 2E 42
-84 12 74 57 40 B0 5A 58 03 42 49 43 84 12 74 57
-00 C0 68 58 05 42 49 43 2E 42 84 12 74 57 40 C0
-74 58 03 42 49 53 84 12 74 57 00 D0 82 58 05 42
-49 53 2E 42 84 12 74 57 40 D0 00 00 03 58 4F 52
-84 12 74 57 00 E0 9C 58 05 58 4F 52 2E 42 84 12
-74 57 40 E0 CE 57 03 41 4E 44 84 12 74 57 00 F0
-B6 58 05 41 4E 44 2E 42 84 12 74 57 40 F0 6E 42
-2E 56 D4 58 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10
-3A F0 0F 00 82 DA C4 1D 4A 3F 08 58 03 52 52 43
-84 12 CE 58 00 10 EC 58 05 52 52 43 2E 42 84 12
-CE 58 40 10 F8 58 04 53 57 50 42 00 84 12 CE 58
-80 10 06 59 03 52 52 41 84 12 CE 58 00 11 14 59
-05 52 52 41 2E 42 84 12 CE 58 40 11 20 59 03 53
-58 54 84 12 CE 58 80 11 00 00 04 50 55 53 48 00
-84 12 CE 58 00 12 3A 59 06 50 55 53 48 2E 42 00
-84 12 CE 58 40 12 8E 58 04 43 41 4C 4C 00 84 12
-CE 58 80 12 34 40 2C 00 2E 56 26 57 6E 59 59 42
-C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00
-02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
-5A 0E A8 3F 1A 53 0E 4A 87 12 B0 43 30 46 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 E6 4A 48 59
-05 50 55 53 48 4D 84 12 64 59 00 15 B0 59 04 50
-4F 50 4D 00 84 12 64 59 00 17 6E 42 9A 55 D0 59
-82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53
-CA 1D 3E 40 2C 00 B0 12 2A 40 B0 46 D0 47 E0 41
-90 4B 26 57 F6 59 0A 4E 3E 4F 1A 83 2A 92 CA 2F
-8A 10 5A 06 6F 3F 2E 59 04 52 52 43 4D 00 84 12
-CA 59 50 00 08 5A 04 52 52 41 4D 00 84 12 CA 59
-50 01 16 5A 04 52 4C 41 4D 00 84 12 CA 59 50 02
-24 5A 04 52 52 55 4D 00 84 12 CA 59 50 03 85 12
-00 3C 32 5A 03 53 3E 3D 85 12 00 38 44 5A 02 53
-3C 00 85 12 00 34 BE 59 03 30 3E 3D 85 12 00 30
-58 5A 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
-85 12 00 2C 6C 5A 03 55 3E 3D 85 12 00 28 62 5A
-03 30 3C 3E 85 12 00 24 80 5A 02 30 3D 00 85 12
-00 20 06 45 02 49 46 00 1A 42 CC 1D 8A 4E 00 00
-A2 53 CC 1D 0E 4A 30 4D 76 5A 04 54 48 45 4E 00
-1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 68 2F 88 DA 00 00 30 4D 3E 58 04 45
-4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53
-CC 1D 2F 83 8F 4A 00 00 E3 3F AA 5A 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 CC 1D 30 4D C2 58 05 41 47 41 49 4E
-87 12 3E 5A F2 5A 2A 40 00 00 05 57 48 49 4C 45
-87 12 98 5A 78 40 2A 40 4E 5A 06 52 45 50 45 41
-54 00 87 12 3E 5A F2 5A B0 5A 2A 40 00 00 03 4A
-4D 50 87 12 82 4B 3E 5A F2 5A 2A 40 3E B0 00 10
-03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
-3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
-4A 4D 50 00 87 12 5C 5B 82 4B 78 40 F2 5A 2A 40
-92 5B 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
-CC 1D 00 00 30 4D 88 43 00 00 A4 3F 58 59 03 42
-57 31 84 12 90 5B 00 00 AE 5B 03 42 57 32 84 12
-90 5B 00 00 BA 5B 03 42 57 33 84 12 90 5B 00 00
-D2 5B 3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F
-00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43
-00 00 61 3F 00 00 03 46 57 31 84 12 D0 5B 00 00
-F6 5B 03 46 57 32 84 12 D0 5B 00 00 02 5C 03 46
-57 33 84 12 D0 5B 00 00 0E 5C 04 47 4F 54 4F 00
-87 12 3E 5A 82 4B D2 48 2A 40 7E 5B 05 3F 47 4F
-54 4F 87 12 5C 5B 82 4B D2 48 2A 40 D2 C3 23 02
-E2 B2 60 02 02 24 30 40 4A 52 1A 52 04 20 19 62
-06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69
-82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10
-C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12
-C2 5C 7A 93 FC 23 0A 43 39 40 05 00 D2 49 14 20
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93
-46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23
-30 41 19 43 3A 43 8A 10 C2 4A 4E 06 82 93 46 06
-05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83 F3 23
-5A 42 4C 06 30 41 1A 52 08 20 09 43 1C D3 F2 40
-51 00 19 20 B0 12 3C 5C 34 20 B0 12 C2 5C 7A 90
-FE FF 04 24 FA 23 D9 42 4C 06 FF 1D F2 43 4E 06
-03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0
-03 00 D2 D3 23 02 30 41 09 43 2C D3 F0 40 58 00
-E9 C2 B0 12 3C 5C 15 20 3A 40 FE FF 29 43 B0 12
-C6 5C D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02
-F8 23 39 40 03 00 B0 12 C4 5C 7A C0 E1 00 6A 92
-DE 27 8C 10 1C 52 4C 06 D2 D3 23 02 87 12 30 46
-0B 3C 20 53 44 20 45 72 72 6F 72 21 7E 5D 2F 82
-8F 4E 02 00 9F 42 E2 1D 00 00 B2 40 10 00 E2 1D
-0E 4C B0 12 2A 40 7C 43 84 42 FA 40 E6 4A 92 4B
-0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20 58 42
-22 20 92 93 02 20 08 24 59 42 24 20 89 10 0A 59
-88 10 08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20
-92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20
-14 24 92 42 22 20 D0 04 92 42 24 20 D2 04 92 42
-12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20
-92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00
-22 20 92 4B 10 00 24 20 B0 12 CC 5D 5A 4B 03 00
-82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90
-20 00 00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42
-32 20 82 43 1E 20 B2 90 00 02 20 20 A3 20 BB 80
-00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20
-03 00 11 28 CB 43 03 00 B0 12 9E 5D B0 12 E6 5C
-8B 43 10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24
-9B 48 02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00
-0B 20 92 9B 12 00 1E 20 7D 2C BB 90 00 02 12 00
-03 2C 92 4B 12 00 20 20 B0 12 0C 5E 1A 42 1A 20
-19 42 1C 20 1B 3F 3C 42 3B 40 40 20 09 43 CB 93
-02 00 10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20
-0A 00 07 24 09 4B 3B 50 18 00 3B 90 00 21 EF 23
-0C 5C 30 41 0C 43 82 4B 32 20 8B 49 00 00 49 93
-0A 24 99 52 CA 1D 16 00 4A 93 05 34 C9 93 02 00
-02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42
-1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48
-08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48
-1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00
-9B 48 1E 1E 14 00 82 43 1E 20 6A 93 5F 27 C9 37
-8B 43 16 00 7A 93 02 24 0A 38 95 3F B2 40 3C 21
-5C 42 B2 40 28 44 92 44 B2 40 02 21 00 21 18 42
-00 21 B2 50 06 00 00 21 19 42 CA 1D 1A 42 C6 1D
-0A 89 88 4A 00 00 19 52 C8 1D 88 49 02 00 88 4D
-04 00 79 3F 1B 42 32 20 0B 93 A3 27 EB 93 02 00
-04 20 B0 12 16 64 B0 12 DE 63 5A 4B 02 00 CB 43
-02 00 09 4B 2B 4B 82 4B 32 20 7A 93 07 20 B2 40
-3C 1D 5C 42 B2 40 A0 44 92 44 0A 3C 0B 93 89 27
-CB 93 02 00 86 37 92 4B 16 00 1E 20 B0 12 86 5E
-21 52 2F 53 B2 80 06 00 00 21 1A 42 00 21 3E 4A
-BF 4A 00 00 3D 4A 30 4D 90 51 85 52 45 41 44 22
-5A 43 19 3C 38 52 86 57 52 49 54 45 22 00 6A 43
-12 3C F8 50 84 44 45 4C 22 00 6A 42 0C 3C 10 55
-05 43 4C 4F 53 45 B0 12 94 5F 30 4D 16 50 85 4C
-4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93
-BE 1D 0B 24 87 12 34 40 34 40 DC 48 DC 48 4E 46
-6C 46 34 40 68 60 DC 48 2A 40 87 12 34 40 22 00
-B0 46 24 49 66 60 3D 41 35 4F 0E 55 82 4E 36 20
-1C 43 92 42 2C 20 22 20 92 42 2E 20 24 20 0E 95
-8D 24 F5 90 3A 00 01 00 01 20 25 53 F5 90 5C 00
-00 00 08 20 15 53 92 42 02 20 22 20 82 43 24 20
-0E 95 70 24 82 45 34 20 B0 12 CC 5D 34 40 20 00
-A2 93 02 20 04 24 92 92 22 20 02 20 02 24 14 42
-12 20 B0 12 AC 5E 2C 43 0A 43 08 4A 58 0E 08 58
-82 48 30 20 C8 93 00 1E 61 24 39 42 F8 95 00 1E
-04 20 18 53 19 83 FA 23 15 53 F5 90 2E 00 FF FF
-19 24 39 50 03 00 B0 12 2A 5E 06 20 F5 90 5C 00
-FF FF 29 24 0E 95 27 28 15 42 34 20 1A 53 3A 90
-10 00 DB 23 92 53 1A 20 82 63 1C 20 14 83 D1 23
-2C 42 3C 3C F5 90 2E 00 FE FF EE 27 B0 12 2A 5E
-EB 23 39 40 03 00 F8 95 00 1E 04 20 18 53 19 83
-FA 23 09 3C 0E 95 E0 2F F5 90 5C 00 FF FF DC 23
-B0 12 2A 5E D9 23 18 42 30 20 92 48 1A 1E 22 20
-92 48 14 1E 24 20 F8 B0 10 00 0B 1E 14 24 82 93
-24 20 06 20 82 93 22 20 03 20 92 42 02 20 22 20
-0E 95 8E 2F 92 42 22 20 2C 20 92 42 24 20 2E 20
-8F 43 00 00 03 3C 2A 4F B0 12 B6 5E 34 40 14 40
-35 40 08 40 3A 4F 3E 4F 0A 93 05 24 7A 93 13 20
-0C 93 02 20 3D 41 30 4D 87 12 30 46 0B 3C 20 4F
-70 65 6E 45 72 72 6F 72 8C 45 C6 43 24 49 EE 45
-B6 45 DC 41 7C 5D 1A 93 B6 20 0C 93 ED 23 30 4D
-FA 5F 04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42
-32 20 B0 12 3E 5E 1E 82 32 20 30 4D 2C 43 12 12
-2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20 A1 24
-B0 12 E6 5C 09 43 28 93 03 24 89 93 02 1E 03 20
-89 93 00 1E 07 24 09 58 39 90 00 02 F4 23 91 53
-00 00 EA 3F 0C 43 6A 41 B9 43 00 1E 28 93 0F 24
-B9 40 FF 0F 02 1E 09 11 8A 10 09 5A 5A 41 01 00
-0A 11 09 10 82 4A 28 20 82 49 26 20 07 3C 09 11
-C2 49 26 20 C2 4A 27 20 82 43 28 20 3A 41 82 4A
-2A 20 30 41 0A 12 1A 52 08 20 B0 12 28 5D 3A 41
-1A 52 0C 20 30 40 28 5D F2 B0 40 00 A2 04 29 20
-F2 B0 10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42
-B4 04 F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52
-E4 04 D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04
-C0 04 B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04
-C0 04 B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52
-E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B
-5C 5D 7C 2E 29 92 06 38 39 80 03 00 B0 12 34 63
-39 40 03 00 7A 4B C8 4A 00 1E 82 9B 36 20 12 28
-0D 12 3D 40 0F 00 3C 40 E4 62 7A 9C F3 27 1D 83
-FC 23 3D 41 6A 9C E6 27 3A 80 21 00 EB 3B 18 53
-19 83 E8 23 09 93 06 24 F8 40 20 00 00 1E 18 53
-19 83 FA 23 30 41 2A 93 EB 20 2C 93 0D 24 0C 93
-BA 24 87 12 30 46 0C 3C 20 57 72 69 74 65 45 72
-72 6F 72 00 DC 41 C8 61 B0 12 FC 61 92 42 26 20
-22 20 92 42 28 20 24 20 B0 12 74 62 B0 12 AC 5E
-18 42 30 20 F8 40 20 00 0B 1E B0 12 88 62 88 43
-0C 1E 88 4A 0E 1E 88 49 10 1E 88 49 12 1E 98 42
-24 20 14 1E 98 42 22 20 1A 1E 88 43 1C 1E 88 43
-1E 1E 1C 43 1B 42 34 20 82 9B 36 20 CA 27 FB 90
-2E 00 00 00 C6 27 39 40 0B 00 B0 12 04 63 B0 12
-20 64 2A 43 B0 12 B6 5E 0C 93 BB 23 30 4D 1A 4B
-04 00 19 4B 06 00 B0 12 EC 5C B0 12 88 62 18 4B
-08 00 88 49 12 1E 88 4A 16 1E 88 49 18 1E 98 4B
-12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B
-06 00 30 40 2A 5D 9B 52 1E 20 12 00 8B 63 14 00
-1A 42 1A 20 19 42 1C 20 30 40 2A 5D B2 40 00 02
-1E 20 1B 42 32 20 B0 12 16 64 82 43 1E 20 DB 53
-03 00 DB 92 12 20 03 00 22 20 CB 43 03 00 B0 12
-9E 5D 08 12 0A 12 B0 12 FC 61 2A 91 05 24 B0 12
-74 62 2A 41 B0 12 E6 5C 3A 41 38 41 98 42 26 20
-00 1E 92 93 02 20 03 24 98 42 28 20 02 1E B0 12
-74 62 9B 42 26 20 0E 00 9B 42 28 20 10 00 30 40
-0C 5E 06 60 05 57 52 49 54 45 B0 12 2C 64 30 4D
-E2 61 07 53 44 5F 45 4D 49 54 B2 90 00 02 1E 20
-02 28 B0 12 2C 64 18 42 1E 20 C8 4E 00 1E 92 53
-1E 20 3E 4F 30 4D 58 4B 13 00 59 4B 14 00 89 10
-09 58 58 4B 15 00 5B 42 12 20 0A 43 3C 42 08 11
-09 10 4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37
-1B 42 32 20 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00
-8B 48 10 00 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01
-12 00 3A F0 FF 01 82 4A 1E 20 B0 12 A8 5E 30 4D
-0C 93 38 20 38 90 E0 01 03 2C C8 93 20 1E 02 24
-7C 40 E5 00 C8 4C 00 1E B0 12 20 64 B0 12 AA 5D
-82 4A 2A 20 0B 4A B0 12 E6 5C 1A 48 00 1E 88 43
-00 1E 92 93 02 20 09 24 19 48 02 1E 88 43 02 1E
-39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24 82 4A
-22 20 82 49 24 20 B0 12 AA 5D 0B 9A E6 27 0A 12
-0A 4B B0 12 74 62 3A 41 DD 3F 0A 4B B0 12 74 62
-B0 12 94 5F 30 4D 2E 4F 08 54 45 52 4D 32 53 44
-22 00 87 12 1A 60 34 40 02 00 C6 43 24 49 68 60
-B2 65 3D 41 92 C3 DC 05 08 43 B0 12 D4 44 92 B3
-DC 05 FD 27 59 42 CC 05 69 92 0D 24 C8 49 00 1E
-18 53 38 90 FF 01 F3 2B 03 24 B0 12 2C 64 EC 3F
-B0 12 E6 44 EC 3F B0 12 E6 44 82 48 1E 20 B0 12
-94 5F 3D 41 30 4D 6E 4E 0A 7B 53 44 5F 54 4F 4F
-4C 53 7D 00 30 4D A2 64 06 53 45 43 54 4F 52 00
-09 4E 2A 4F B0 12 EC 5C 87 12 9A 42 2C 43 46 43
-EE 45 B6 45 34 40 00 1E 34 40 00 02 F4 67 2A 40
-20 60 07 43 4C 55 53 54 45 52 82 4E 24 20 A2 4F
-22 20 B0 12 CC 5D 9F 42 1A 20 00 00 1E 42 1C 20
-DF 3F 8C 50 03 46 41 54 2F 82 8F 4E 02 00 9F 42
-08 20 00 00 0E 43 D4 3F 14 60 03 44 49 52 2F 82
-8F 4E 02 00 92 42 2C 20 22 20 92 42 2E 20 24 20
-E0 3F F8 65 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-EA 50 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 40 3C 00 80 45 B0 43 34 40
-08 00 80 45 34 40 3E 00 80 45 B6 45 8A 40 8A 40
-C4 41 EA 41 DC 66 62 40 62 40 2A 40 F0 41 28 42
-F2 40 7C 43 34 40 02 00 00 42 DE 66 2A 40 92 66
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F 76 47 01 3F 2E 4E 30 40 7C 43 94 64 05 57
-4F 52 44 53 87 12 2C 46 34 40 03 00 CC 45 34 40
-D0 1D F2 40 50 42 34 40 10 00 44 40 20 41 1C 50
-34 40 00 00 44 40 34 40 10 00 44 40 20 41 34 40
-00 00 F0 41 44 40 28 42 50 42 20 41 F2 40 D2 41
-E0 41 62 67 62 40 62 40 28 42 44 40 50 42 20 41
-F2 40 34 40 02 00 00 42 44 67 54 40 E0 41 A4 67
-44 40 34 40 02 00 28 41 F2 40 9A 40 50 42 20 41
-FA 40 44 40 24 49 34 40 7F 00 36 41 EE 45 08 41
-34 40 0F 00 36 41 34 40 10 00 78 40 28 41 CC 45
-DC 41 30 67 62 40 2A 40 2E 60 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D AA 67 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 98 65 03 55 2E 52 87 12 B0 40 9A 42
-34 40 00 00 FA 42 2C 43 46 43 BC 40 8A 40 28 41
-34 40 00 00 AE 67 CC 45 EE 45 2A 40 6A 66 04 44
-55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D
-2E 5F B0 12 2A 40 78 40 8A 40 8A 40 7C 43 34 40
-01 00 28 41 7C 43 34 40 FE FF 36 41 F0 41 2C 46
-28 42 34 40 07 00 CA 67 B6 45 28 42 34 40 10 00
-20 41 28 42 F0 41 28 42 08 41 34 40 03 00 CA 67
-12 42 36 68 B6 45 B6 45 28 42 34 40 10 00 20 41
-28 42 F0 41 28 42 08 41 34 40 7E 00 BC 67 6E 42
-AE 67 80 45 12 42 54 68 34 40 10 00 00 42 1E 68
-BC 40 84 42 FA 40 2A 40
-@FFFE
-50 52
-q
diff --git a/MSP_EXP430FR5994_16MHz_3Mbds_SD_CARD.txt b/MSP_EXP430FR5994_16MHz_3Mbds_SD_CARD.txt
deleted file mode 100644 (file)
index 4bb3436..0000000
+++ /dev/null
@@ -1,649 +0,0 @@
-@1800
-10 00 14 45 80 3E 30 75 05 00 18 00 20 68 9C 54
-D4 44 E6 44 94 5C D2 5C
-@4000
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 40
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 40 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 40 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 40 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 40 02 3E 52 00
-0E 12 3E 4F 30 4D 96 40 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 40 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 40 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 40 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 EE 45 B6 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 EE 45 B6 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-E6 5D 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 52 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 46 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05 FD 27
-82 48 CE 05 30 4D 48 45 2D 83 92 B3 DC 05 E4 23
-FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24 92 53
-E4 1D 3E 8F 3D 41 30 4D 96 44 06 28 45 4D 49 54
-29 00 08 4E 3E 4F E1 3F 90 43 04 45 4D 49 54 00
-30 40 72 45 7A 45 04 45 43 48 4F 00 B2 40 82 48
-40 45 82 43 E4 1D 30 4D AE 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 40 45 92 43 E4 1D 30 4D 64 43
-05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00
-DF 3F B0 45 06 53 50 41 43 45 53 00 0E 93 09 24
-0D 12 3D 40 D8 45 EF 3F DA 45 2D 83 1E 83 EB 23
-3D 41 3E 4F 30 4D 78 43 04 54 59 50 45 00 0E 93
-BE 24 1E 15 3D 40 04 46 28 4F 7E 48 8F 48 00 00
-2F 83 BE 3F 06 46 2D 83 91 83 02 00 F5 23 1D 17
-AE 3C 6A 45 04 28 43 52 29 00 87 12 30 46 02 0D
-0A 00 EE 45 2A 40 E4 43 02 43 52 00 30 40 1A 46
-2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
-0D 63 30 4D 28 46 07 43 41 50 53 5F 4F 4E B2 43
-B4 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
-82 43 B4 1D 30 4D C4 45 82 53 22 00 87 12 34 40
-30 46 DC 48 60 46 34 40 22 00 B0 46 4E 46 80 46
-3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D
-A2 63 CC 1D 30 4D 9A 45 82 2E 22 00 87 12 6C 46
-34 40 EE 45 DC 48 2A 40 00 00 04 57 4F 52 44 00
-3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
-1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
-09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
-82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
-ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E
-CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
-0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B 0E 93
-1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
-FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
-0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
-09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
-00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
-3E 4F 30 4D 74 42 07 3E 4E 55 4D 42 45 52 3C 4F
-38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00
-7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
-0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
-19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
-E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
-0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43
-3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
-7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
-6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
-6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
-22 48 0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02
-FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00
-00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A
-09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
-07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
-0E 4B 2C 15 B0 12 B0 42 2A 17 E6 3F 9F 4F 04 00
-02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
-E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
-02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
-02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
-00 02 01 20 2F 53 30 4D 86 45 07 45 58 45 43 55
-54 45 0A 4E 3E 4F 00 4A 26 41 01 2C 1A 42 CC 1D
-A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D DA 48 87 4C
-49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D
-A2 52 CC 1D BA 40 34 40 00 00 8A 4E 02 00 3E 4F
-32 B0 00 02 32 C0 00 02 F1 23 30 4D 56 46 05 43
-4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
-30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D
-87 12 6E 42 B0 46 48 49 3D 40 54 49 E0 22 3E 4F
-3D 41 30 4D 56 49 0A 4E 3E 4F 3D 40 6C 49 38 27
-3D 40 42 49 1A E2 BE 1D B9 27 B3 23 6E 49 3E 4F
-3D 40 42 49 C0 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 12 4C CD 3F CA 48 08 45 56 41
-4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49
-3D 15 B0 12 2A 40 32 49 AA 49 B2 41 CA 1D B2 41
-C8 1D B2 41 C6 1D 3D 41 30 4D 4C 42 04 51 55 49
-54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D
-82 43 08 18 B0 12 2A 40 30 46 05 0D 0A 6F 6B 20
-EE 45 5A 42 44 40 64 42 90 44 B6 45 32 49 DE 40
-92 41 30 46 0D 73 74 61 63 6B 20 65 6D 70 74 79
-21 20 88 4A 34 40 30 FF C6 43 D2 41 30 46 0B 46
-52 41 4D 20 66 75 6C 6C 21 20 88 4A 90 42 F2 40
-E0 41 D8 49 30 46 05 0D 0A 20 20 20 DC 41 E0 49
-B2 40 84 51 E8 51 B2 40 0E 45 02 45 B2 40 72 45
-82 45 B2 40 1A 46 2E 46 B2 40 00 44 26 44 B2 40
-A0 44 92 44 B2 40 3C 1D 5C 42 82 43 5E 5B 82 43
-6A 5B 82 43 76 5B 82 43 A6 5B 82 43 B2 5B 82 43
-BE 5B B2 40 0A 00 E2 1D 30 41 88 44 05 41 42 4F
-52 54 3F 40 80 1C 9D 3F 8F 93 02 00 6F 26 B2 40
-82 48 40 45 1B 42 32 20 0B 93 04 24 CB 43 02 00
-2B 4B FA 3F B0 12 3C 4A A2 B3 DC 05 FD 27 B2 40
-11 00 CE 05 E2 C2 23 02 92 C3 DC 05 38 40 A0 AA
-39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05
-F3 23 87 12 30 46 04 1B 5B 37 6D 00 EE 45 34 40
-E4 1D F2 40 54 40 E0 41 FE 4A 30 46 05 6C 69 6E
-65 3A EE 45 34 40 01 00 28 41 7C 43 8C 45 EE 45
-30 46 04 1B 5B 30 6D 00 EE 45 FA 50 4E 46 82 4A
-7C 4A 86 41 42 4F 52 54 22 00 87 12 6C 46 34 40
-88 4A DC 48 2A 40 08 47 01 27 87 12 6E 42 B0 46
-0E 47 E0 41 38 4B 2A 40 76 49 96 42 81 5C 92 42
-C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
-3C 4B 01 5D B2 43 BE 1D 30 4D 48 4B 83 5B 27 5D
-87 12 2A 4B 34 40 34 40 DC 48 DC 48 2A 40 BE 4F
-02 00 3E 4F 30 4D 14 46 82 49 53 00 87 12 90 42
-F2 40 E0 41 90 4B 60 4B 34 40 6E 4B DC 48 2A 40
-2A 4B 6E 4B 2A 40 78 4B 09 49 4D 4D 45 44 49 41
-54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D 68 46
-87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
-00 00 A2 53 CC 1D 30 4D BC 49 88 50 4F 53 54 50
-4F 4E 45 00 87 12 6E 42 B0 46 0E 47 54 40 E0 41
-38 4B 92 41 E0 41 F4 4B 34 40 34 40 DC 48 DC 48
-34 40 DC 48 DC 48 2A 40 82 9F BC 1D 34 25 87 12
-30 46 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
-68 21 8E 4A 87 12 8C 46 6E 42 B0 46 1E 4C 08 4E
-7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0
-1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D
-2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 AA 46 08 56
-41 52 49 41 42 4C 45 00 B0 12 14 4C BA 40 86 12
-FC FF 05 3D 1E 49 08 43 4F 4E 53 54 41 4E 54 00
-B0 12 14 4C BA 40 85 12 FC FF 8A 4E FE FF 3E 4F
-F6 3C 66 4C 06 43 52 45 41 54 45 00 B0 12 14 4C
-BA 40 85 12 FC FF 8A 4A FE FF E9 3C 8C 49 05 44
-4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00 8A 4D
-02 00 3D 41 30 4D 9E 4C 05 44 45 46 45 52 B0 12
-14 4C BA 40 30 40 FC FF BA 40 B4 4C FE FF CF 3C
-5C 4B 01 3A B0 12 14 4C BA 40 87 12 FC FF A2 83
-CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D D2 4C 81 3B
-82 93 BE 1D 24 27 87 12 34 40 2A 40 DC 48 F8 4B
-4A 4B 2A 40 84 4C 07 43 4F 4D 50 41 52 45 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
-1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
-30 4D 1E 43 30 4D EE 4C 86 5B 54 48 45 4E 5D 00
-30 4D 1E 83 30 4D 38 4D 86 5B 45 4C 53 45 5D 00
-87 12 34 40 01 00 6E 42 B0 46 24 49 44 40 E0 41
-BE 4D 8A 40 8A 40 30 46 04 5B 49 46 5D 00 0E 4D
-EA 41 7C 4D 6E 47 2C 41 DC 41 B2 4D 8A 40 8A 40
-30 46 06 5B 45 4C 53 45 5D 00 0E 4D EA 41 A0 4D
-6E 47 42 4D 44 40 E0 41 9C 4D 2C 41 DC 41 B2 4D
-30 46 06 5B 54 48 45 4E 5D 00 0E 4D EA 41 B2 4D
-42 4D 54 40 EA 41 BA 4D 2A 40 DC 41 56 4D 6E 47
-30 46 05 0D 0A 6B 6F 20 EE 45 5A 42 44 40 64 42
-90 44 D4 4D 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
-3E 4F 3D 40 56 4D 30 4D 48 4D 84 5B 49 46 5D 00
-0E 93 3E 4F AD 27 30 4D EA 4D 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 87 12 6E 42 B0 46 0E 47 6C 40
-86 41 2A 40 FA 4D 89 5B 44 45 46 49 4E 45 44 5D
-87 12 6E 42 B0 46 0E 47 6C 40 2A 40 2E 4E 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D EE 48 06 4D
-41 52 4B 45 52 00 B0 12 14 4C BA 40 84 12 FC FF
-BA 40 2C 4E FE FF 9A 42 CE 1D 00 00 28 83 8A 48
-02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D A8 49
-FE FF 89 48 00 00 30 4D 98 4B 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40 E0 41
-00 00 2E 53 30 4D B8 4C 84 45 4C 53 45 00 A2 52
-CC 1D 1A 42 CC 1D BA 40 DC 41 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D E8 45 84 54 48 45 4E 00 9E 42
-CC 1D 00 00 3E 4F 30 4D 06 4D 85 42 45 47 49 4E
-30 40 C6 43 B8 4E 85 55 4E 54 49 4C 39 40 E0 41
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 12 4B 85 41 47 41 49 4E 39 40 DC 41
-EF 3F 4E 4C 85 57 48 49 4C 45 87 12 7E 4E 78 40
-2A 40 B0 4B 86 52 45 50 45 41 54 00 87 12 FC 4E
-BE 4E 2A 40 98 4E 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 CC 1D 1E 42 CC 1D BE 40 F0 41 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D 3E 4E 84 4C
-4F 4F 50 00 39 40 12 42 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-20 44 85 2B 4C 4F 4F 50 39 40 00 42 E5 3F 4E 4F
-85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 42 00 00
-BA 40 DC 41 02 00 B2 50 06 00 CC 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D 90 4F 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 04 4F 0A 56 4F 43 41 42 55 4C 41 52
-59 00 87 12 8C 4C 34 40 10 00 34 40 00 00 F0 41
-34 40 00 00 DC 48 12 42 10 50 C6 43 34 40 CE 1D
-44 40 F2 40 DC 48 FA 40 A4 4C 34 40 D0 1D FA 40
-2A 40 28 4B 05 46 4F 52 54 48 84 12 2A 50 E2 54
-DA 65 96 67 FC 65 A0 54 82 4F 60 67 98 66 1E 51
-B0 65 6E 67 B6 66 46 41 2C 66 52 4B AC 66 00 00
-F6 4E 04 41 4C 53 4F 00 3A 40 0E 00 39 40 D0 1D
-38 40 D2 1D B6 3F CA 4B 08 50 52 45 56 49 4F 55
-53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D A3 3F
-98 46 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D 26 4F
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 D0 1D
-E0 1D 30 4D 3A 50 98 50 AC 50 BC 50 3A 4E 82 4A
-CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 78 50
-09 50 57 52 5F 53 54 41 54 45 84 12 B4 50 9C 54
-20 68 14 4F 09 52 53 54 5F 53 54 41 54 45 92 42
-0E 18 FE 50 92 42 0C 18 00 51 EF 3F F0 50 08 50
-57 52 5F 48 45 52 45 00 92 42 CE 1D FE 50 92 42
-CC 1D 00 51 30 4D 04 51 08 52 53 54 5F 48 45 52
-45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18 EC 3F
-F6 4F 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
-29 53 39 90 B4 FF FA 23 B0 12 30 4A B2 40 20 68
-0C 18 B2 40 9C 54 0E 18 CA 3F 7A 4E 06 28 57 41
-52 4D 29 00 1E 42 08 18 87 12 30 46 06 0D 1B 5B
-37 6D 23 00 EE 45 B0 43 30 46 27 20 46 61 73 74
-46 6F 72 74 68 20 56 32 30 33 20 31 36 4D 48 7A
-20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 EE 45 34 40 30 FF C6 43 28 41 7C 43 30 46
-0B 62 79 74 65 73 20 66 72 65 65 20 A4 4A 52 51
-04 57 41 52 4D 00 30 40 84 51 CA 4E 04 43 4F 4C
-44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 B2 D0
-03 00 04 02 B2 40 FC FF 02 02 B2 C0 03 00 06 02
-B2 D0 00 04 24 02 B2 D3 26 02 B2 43 22 02 B2 43
-42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
-26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00
-40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00
-62 01 82 43 66 01 39 40 80 00 B2 40 33 00 64 01
-D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24
-59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 42
-B0 01 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0
-40 00 A2 04 3A 40 F8 51 39 40 B4 FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
-39 40 00 1C 89 43 00 00 29 53 39 90 FF 2B FA 2B
-31 40 E0 1C 3F 40 80 1C 37 40 00 40 36 40 BC 40
-35 40 08 40 34 40 14 40 B2 40 0A 00 E2 1D B2 43
-B4 1D 92 C3 30 01 18 42 08 18 E2 B3 01 02 04 20
-38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40
-05 00 C6 05 B2 40 00 49 C8 05 F2 D0 03 00 0D 02
-92 C3 C0 05 92 D3 DA 05 3D 40 32 53 18 42 08 18
-38 90 0A 00 19 27 38 90 16 00 16 2F 28 93 EF 22
-E4 26 34 53 E2 B2 60 02 56 23 B2 40 81 A9 40 06
-B2 40 30 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02
-92 C3 40 06 39 42 B0 12 6C 5C D2 C3 23 02 2C 42
-B2 40 95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12
-30 5C 02 24 30 40 0A 5D B0 12 6A 5C 7A 93 FC 23
-B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48 18 20
-1A 43 B0 12 30 5C 29 42 B0 12 6C 5C 92 43 14 20
-82 43 16 20 78 43 3C 42 B2 40 00 77 18 20 1A 43
-B0 12 30 5C B2 40 40 69 18 20 B0 12 26 5C 03 24
-58 83 F2 23 D7 3F 0C 5C A2 43 16 20 B2 40 00 50
-18 20 B0 12 26 5C CE 23 92 D3 40 06 82 43 46 06
-92 C3 40 06 B0 12 92 5C 38 40 00 1E 92 48 C6 01
-04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20
-7A 80 06 00 0D 24 7A 82 0B 24 A2 43 02 20 6A 53
-07 24 5A 53 05 24 3A 50 0B 20 0C 4A 30 40 10 5D
-B0 12 92 5C D2 48 0D 00 12 20 19 48 0E 00 82 49
-08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A
-0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24
-82 49 0E 20 39 50 20 00 19 82 12 20 19 82 12 20
-82 49 10 20 92 42 02 20 2C 20 BD 3E 62 50 09 41
-53 53 45 4D 42 4C 45 52 84 12 2A 50 C2 5A 6E 5B
-76 5A C2 5B 3C 5A F6 5A 40 57 00 00 32 5A E2 5A
-94 5A D2 5A 50 58 00 00 00 00 D4 5B 5E 50 7C 51
-85 48 49 32 4C 4F 87 12 C6 43 92 4E DC 48 4A 4B
-68 50 78 54 2A 40 EC 51 04 43 4F 44 45 00 B0 12
-14 4C A2 82 CC 1D 87 12 E6 4C DC 41 B0 54 00 00
-07 45 4E 44 43 4F 44 45 87 12 82 50 F8 4B 2A 40
-6E 54 03 41 53 4D 92 42 E0 1D C0 1D B2 40 7C 54
-E0 1D E5 3F D0 54 06 45 4E 44 41 53 4D 00 92 42
-C0 1D E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
-CC 1D BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D
-30 40 82 50 00 00 05 4C 4F 32 48 49 1A 42 CC 1D
-BA 40 B0 12 00 00 BA 40 2A 40 02 00 A2 52 CC 1D
-ED 3F 38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D
-09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
-CA 1D 30 4D B0 12 2A 40 B0 46 0E 47 EA 41 78 55
-D0 47 E0 41 38 4B 9A 55 7A 55 29 4E 39 90 86 12
-02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
-30 41 39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D
-92 53 CA 1D B0 12 2A 40 B0 46 D0 47 E0 41 CC 55
-C2 55 21 53 3E 90 10 00 BB 2D 30 41 CE 55 B2 41
-CA 1D 22 D3 30 41 87 12 6E 42 42 55 DE 55 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F
-FA 90 23 00 00 00 34 20 92 53 CA 1D B0 12 64 55
-0E 93 04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20
-B2 40 10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03
-C4 1D 1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C
-3E 92 04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20
-B2 40 30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42
-CC 1D A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-FA 90 26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53
-CA 1D 30 12 4E 56 76 3F FA 90 40 00 00 00 1A 20
-B2 40 20 00 C4 1D 92 53 CA 1D B0 12 AC 55 0E 20
-B2 50 10 00 C4 1D 3E 40 2B 00 B0 12 AC 55 32 24
-92 92 C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E
-C4 1D D3 3F B0 12 AC 55 F9 23 B2 50 10 00 C4 1D
-3E 40 28 00 B0 12 64 55 30 12 9E 56 67 3F 87 12
-6E 42 42 55 D6 56 FE 90 26 00 00 00 3E 40 20 00
-04 20 B2 50 82 00 C4 1D C2 3F B0 12 AC 55 DF 23
-B2 50 80 00 C4 1D 3E 40 28 00 B0 12 64 55 B0 12
-9C 55 D5 23 3D 40 38 4B 30 4D FA 44 04 52 45 54
-49 00 87 12 34 40 00 13 DC 48 2A 40 34 40 2C 00
-D6 55 CE 56 26 57 2E 4E 1E D2 C4 1D 19 42 C2 1D
-92 3F 26 55 03 4D 4F 56 84 12 1C 57 00 40 34 57
-05 4D 4F 56 2E 42 84 12 1C 57 40 40 00 00 03 41
-44 44 84 12 1C 57 00 50 4E 57 05 41 44 44 2E 42
-84 12 1C 57 40 50 5A 57 04 41 44 44 43 00 84 12
-1C 57 00 60 68 57 06 41 44 44 43 2E 42 00 84 12
-1C 57 40 60 0C 57 04 53 55 42 43 00 84 12 1C 57
-00 70 86 57 06 53 55 42 43 2E 42 00 84 12 1C 57
-40 70 94 57 03 53 55 42 84 12 1C 57 00 80 A4 57
-05 53 55 42 2E 42 84 12 1C 57 40 80 08 55 03 43
-4D 50 84 12 1C 57 00 90 BE 57 05 43 4D 50 2E 42
-84 12 1C 57 40 90 F6 54 04 44 41 44 44 00 84 12
-1C 57 00 A0 D8 57 06 44 41 44 44 2E 42 00 84 12
-1C 57 40 A0 CA 57 03 42 49 54 84 12 1C 57 00 B0
-F6 57 05 42 49 54 2E 42 84 12 1C 57 40 B0 02 58
-03 42 49 43 84 12 1C 57 00 C0 10 58 05 42 49 43
-2E 42 84 12 1C 57 40 C0 1C 58 03 42 49 53 84 12
-1C 57 00 D0 2A 58 05 42 49 53 2E 42 84 12 1C 57
-40 D0 00 00 03 58 4F 52 84 12 1C 57 00 E0 44 58
-05 58 4F 52 2E 42 84 12 1C 57 40 E0 76 57 03 41
-4E 44 84 12 1C 57 00 F0 5E 58 05 41 4E 44 2E 42
-84 12 1C 57 40 F0 6E 42 D6 55 7C 58 1A 42 C4 1D
-B2 F0 70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D
-4A 3F B0 57 03 52 52 43 84 12 76 58 00 10 94 58
-05 52 52 43 2E 42 84 12 76 58 40 10 A0 58 04 53
-57 50 42 00 84 12 76 58 80 10 AE 58 03 52 52 41
-84 12 76 58 00 11 BC 58 05 52 52 41 2E 42 84 12
-76 58 40 11 C8 58 03 53 58 54 84 12 76 58 80 11
-00 00 04 50 55 53 48 00 84 12 76 58 00 12 E2 58
-06 50 55 53 48 2E 42 00 84 12 76 58 40 12 36 58
-04 43 41 4C 4C 00 84 12 76 58 80 12 34 40 2C 00
-D6 55 CE 56 16 59 59 42 C4 1D 5A 42 C5 1D 82 4A
-C4 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
-0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
-87 12 B0 43 30 46 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 8E 4A F0 58 05 50 55 53 48 4D 84 12
-0C 59 00 15 58 59 04 50 4F 50 4D 00 84 12 0C 59
-00 17 6E 42 42 55 78 59 82 43 C4 1D 92 42 CC 1D
-C2 1D A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12
-2A 40 B0 46 D0 47 E0 41 38 4B CE 56 9E 59 0A 4E
-3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F D6 58
-04 52 52 43 4D 00 84 12 72 59 50 00 B0 59 04 52
-52 41 4D 00 84 12 72 59 50 01 BE 59 04 52 4C 41
-4D 00 84 12 72 59 50 02 CC 59 04 52 52 55 4D 00
-84 12 72 59 50 03 85 12 00 3C DA 59 03 53 3E 3D
-85 12 00 38 EC 59 02 53 3C 00 85 12 00 34 66 59
-03 30 3E 3D 85 12 00 30 00 5A 02 30 3C 00 85 12
-00 30 00 00 02 55 3C 00 85 12 00 2C 14 5A 03 55
-3E 3D 85 12 00 28 0A 5A 03 30 3C 3E 85 12 00 24
-28 5A 02 30 3D 00 85 12 00 20 06 45 02 49 46 00
-1A 42 CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D
-1E 5A 04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
-00 00 30 4D E6 57 04 45 4C 53 45 00 1A 42 CC 1D
-BA 40 00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00
-E3 3F 52 5A 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D
-6A 58 05 41 47 41 49 4E 87 12 E6 59 9A 5A 2A 40
-00 00 05 57 48 49 4C 45 87 12 40 5A 78 40 2A 40
-F6 59 06 52 45 50 45 41 54 00 87 12 E6 59 9A 5A
-58 5A 2A 40 00 00 03 4A 4D 50 87 12 2A 4B E6 59
-9A 5A 2A 40 3E B0 00 10 03 20 3E E0 00 04 30 4D
-3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
-00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 04 5B
-2A 4B 78 40 9A 5A 2A 40 3A 5B 3D 41 08 4E 3E 4F
-2A 48 0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43
-00 00 A4 3F 00 59 03 42 57 31 84 12 38 5B 00 00
-56 5B 03 42 57 32 84 12 38 5B 00 00 62 5B 03 42
-57 33 84 12 38 5B 00 00 7A 5B 3D 41 1A 42 CC 1D
-28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A
-00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
-57 31 84 12 78 5B 00 00 9E 5B 03 46 57 32 84 12
-78 5B 00 00 AA 5B 03 46 57 33 84 12 78 5B 00 00
-B6 5B 04 47 4F 54 4F 00 87 12 E6 59 2A 4B D2 48
-2A 40 26 5B 05 3F 47 4F 54 4F 87 12 04 5B 2A 4B
-D2 48 2A 40 D2 C3 23 02 E2 B2 60 02 02 24 30 40
-F2 51 1A 52 04 20 19 62 06 20 92 43 14 20 A2 93
-02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20
-0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20
-89 10 C2 49 18 20 B0 12 6A 5C 7A 93 FC 23 0A 43
-39 40 05 00 D2 49 14 20 4E 06 82 93 46 06 05 24
-92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83
-0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06
-FD 27 5A 92 4C 06 F3 23 30 41 19 43 3A 43 8A 10
-C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27
-C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41 1A 52
-08 20 09 43 1C D3 F2 40 51 00 19 20 B0 12 E4 5B
-34 20 B0 12 6A 5C 7A 90 FE FF 04 24 FA 23 D9 42
-4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02
-F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41
-09 43 2C D3 F0 40 58 00 41 C3 B0 12 E4 5B 15 20
-3A 40 FE FF 29 43 B0 12 6E 5C D2 49 00 1E 4E 06
-03 43 19 53 39 90 00 02 F8 23 39 40 03 00 B0 12
-6C 5C 7A C0 E1 00 6A 92 DE 27 8C 10 1C 52 4C 06
-D2 D3 23 02 87 12 30 46 0B 3C 20 53 44 20 45 72
-72 6F 72 21 26 5D 2F 82 8F 4E 02 00 9F 42 E2 1D
-00 00 B2 40 10 00 E2 1D 0E 4C B0 12 2A 40 7C 43
-84 42 FA 40 8E 4A 92 4B 0E 00 22 20 92 4B 10 00
-24 20 5A 42 23 20 58 42 22 20 92 93 02 20 08 24
-59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10
-08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93
-24 20 03 20 92 93 22 20 14 24 92 42 22 20 D0 04
-92 42 24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04
-1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63
-1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20
-B0 12 74 5D 5A 4B 03 00 82 5A 1A 20 82 63 1C 20
-30 41 09 93 07 24 F8 90 20 00 00 1E 03 20 18 53
-19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90
-00 02 20 20 A3 20 BB 80 00 02 12 00 8B 73 14 00
-DB 53 03 00 DB 92 12 20 03 00 11 28 CB 43 03 00
-B0 12 46 5D B0 12 8E 5C 8B 43 10 00 9B 48 00 1E
-0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40
-00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20
-7D 2C BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20
-B0 12 B4 5D 1A 42 1A 20 19 42 1C 20 1B 3F 3C 42
-3B 40 40 20 09 43 CB 93 02 00 10 24 9B 92 24 20
-0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50
-18 00 3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B
-32 20 8B 49 00 00 49 93 0A 24 99 52 CA 1D 16 00
-4A 93 05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A
-02 00 CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20
-06 00 18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00
-9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E
-10 00 9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43
-1E 20 6A 93 5F 27 C9 37 8B 43 16 00 7A 93 02 24
-0A 38 95 3F B2 40 3C 21 5C 42 B2 40 28 44 92 44
-B2 40 02 21 00 21 18 42 00 21 B2 50 06 00 00 21
-19 42 CA 1D 1A 42 C6 1D 0A 89 88 4A 00 00 19 52
-C8 1D 88 49 02 00 88 4D 04 00 79 3F 1B 42 32 20
-0B 93 A3 27 EB 93 02 00 04 20 B0 12 BE 63 B0 12
-86 63 5A 4B 02 00 CB 43 02 00 09 4B 2B 4B 82 4B
-32 20 7A 93 07 20 B2 40 3C 1D 5C 42 B2 40 A0 44
-92 44 0A 3C 0B 93 89 27 CB 93 02 00 86 37 92 4B
-16 00 1E 20 B0 12 2E 5E 21 52 2F 53 B2 80 06 00
-00 21 1A 42 00 21 3E 4A BF 4A 00 00 3D 4A 30 4D
-38 51 85 52 45 41 44 22 5A 43 19 3C E0 51 86 57
-52 49 54 45 22 00 6A 43 12 3C A0 50 84 44 45 4C
-22 00 6A 42 0C 3C B8 54 05 43 4C 4F 53 45 B0 12
-3C 5F 30 4D BE 4F 85 4C 4F 41 44 22 7A 43 2F 83
-8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24 87 12 34 40
-34 40 DC 48 DC 48 4E 46 6C 46 34 40 10 60 DC 48
-2A 40 87 12 34 40 22 00 B0 46 24 49 0E 60 3D 41
-35 4F 0E 55 82 4E 36 20 1C 43 92 42 2C 20 22 20
-92 42 2E 20 24 20 0E 95 8D 24 F5 90 3A 00 01 00
-01 20 25 53 F5 90 5C 00 00 00 08 20 15 53 92 42
-02 20 22 20 82 43 24 20 0E 95 70 24 82 45 34 20
-B0 12 74 5D 34 40 20 00 A2 93 02 20 04 24 92 92
-22 20 02 20 02 24 14 42 12 20 B0 12 54 5E 2C 43
-0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E
-61 24 39 42 F8 95 00 1E 04 20 18 53 19 83 FA 23
-15 53 F5 90 2E 00 FF FF 19 24 39 50 03 00 B0 12
-D2 5D 06 20 F5 90 5C 00 FF FF 29 24 0E 95 27 28
-15 42 34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20
-82 63 1C 20 14 83 D1 23 2C 42 3C 3C F5 90 2E 00
-FE FF EE 27 B0 12 D2 5D EB 23 39 40 03 00 F8 95
-00 1E 04 20 18 53 19 83 FA 23 09 3C 0E 95 E0 2F
-F5 90 5C 00 FF FF DC 23 B0 12 D2 5D D9 23 18 42
-30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0
-10 00 0B 1E 14 24 82 93 24 20 06 20 82 93 22 20
-03 20 92 42 02 20 22 20 0E 95 8E 2F 92 42 22 20
-2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F
-B0 12 5E 5E 34 40 14 40 35 40 08 40 3A 4F 3E 4F
-0A 93 05 24 7A 93 13 20 0C 93 02 20 3D 41 30 4D
-87 12 30 46 0B 3C 20 4F 70 65 6E 45 72 72 6F 72
-8C 45 C6 43 24 49 EE 45 B6 45 DC 41 24 5D 1A 93
-B6 20 0C 93 ED 23 30 4D A2 5F 04 52 45 41 44 00
-2F 83 8F 4E 00 00 1E 42 32 20 B0 12 E6 5D 1E 82
-32 20 30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58
-2A 41 82 9A 0A 20 A1 24 B0 12 8E 5C 09 43 28 93
-03 24 89 93 02 1E 03 20 89 93 00 1E 07 24 09 58
-39 90 00 02 F4 23 91 53 00 00 EA 3F 0C 43 6A 41
-B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11
-8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20
-82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20
-82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52
-08 20 B0 12 D0 5C 3A 41 1A 52 0C 20 30 40 D0 5C
-F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27
-5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04
-D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04
-19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04
-1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04
-B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C
-2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38
-39 80 03 00 B0 12 DC 62 39 40 03 00 7A 4B C8 4A
-00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00 3C 40
-8C 62 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C E6 27
-3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93 06 24
-F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93
-EB 20 2C 93 0D 24 0C 93 BA 24 87 12 30 46 0C 3C
-20 57 72 69 74 65 45 72 72 6F 72 00 DC 41 70 61
-B0 12 A4 61 92 42 26 20 22 20 92 42 28 20 24 20
-B0 12 1C 62 B0 12 54 5E 18 42 30 20 F8 40 20 00
-0B 1E B0 12 30 62 88 43 0C 1E 88 4A 0E 1E 88 49
-10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42 22 20
-1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 34 20
-82 9B 36 20 CA 27 FB 90 2E 00 00 00 C6 27 39 40
-0B 00 B0 12 AC 62 B0 12 C8 63 2A 43 B0 12 5E 5E
-0C 93 BB 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12
-94 5C B0 12 30 62 18 4B 08 00 88 49 12 1E 88 4A
-16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00
-1E 1E 1A 4B 04 00 19 4B 06 00 30 40 D2 5C 9B 52
-1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20
-30 40 D2 5C B2 40 00 02 1E 20 1B 42 32 20 B0 12
-BE 63 82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00
-22 20 CB 43 03 00 B0 12 46 5D 08 12 0A 12 B0 12
-A4 61 2A 91 05 24 B0 12 1C 62 2A 41 B0 12 8E 5C
-3A 41 38 41 98 42 26 20 00 1E 92 93 02 20 03 24
-98 42 28 20 02 1E B0 12 1C 62 9B 42 26 20 0E 00
-9B 42 28 20 10 00 30 40 B4 5D AE 5F 05 57 52 49
-54 45 B0 12 D4 63 30 4D 8A 61 07 53 44 5F 45 4D
-49 54 B2 90 00 02 1E 20 02 28 B0 12 D4 63 18 42
-1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D 58 4B
-13 00 59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42
-12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11
-FA 2B 0A 11 1C 83 FD 37 1B 42 32 20 19 5B 0A 00
-18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00
-1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A
-1E 20 B0 12 50 5E 30 4D 0C 93 38 20 38 90 E0 01
-03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E
-B0 12 C8 63 B0 12 52 5D 82 4A 2A 20 0B 4A B0 12
-8E 5C 1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24
-19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F
-02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12
-52 5D 0B 9A E6 27 0A 12 0A 4B B0 12 1C 62 3A 41
-DD 3F 0A 4B B0 12 1C 62 B0 12 3C 5F 30 4D D6 4E
-08 54 45 52 4D 32 53 44 22 00 87 12 C2 5F 34 40
-02 00 C6 43 24 49 10 60 5A 65 3D 41 92 C3 DC 05
-08 43 B0 12 D4 44 92 B3 DC 05 FD 27 59 42 CC 05
-69 92 0D 24 C8 49 00 1E 18 53 38 90 FF 01 F3 2B
-03 24 B0 12 D4 63 EC 3F B0 12 E6 44 EC 3F B0 12
-E6 44 82 48 1E 20 B0 12 3C 5F 3D 41 30 4D 16 4E
-0A 7B 53 44 5F 54 4F 4F 4C 53 7D 00 30 4D 4A 64
-06 53 45 43 54 4F 52 00 09 4E 2A 4F B0 12 94 5C
-87 12 9A 42 2C 43 46 43 EE 45 B6 45 34 40 00 1E
-34 40 00 02 9C 67 2A 40 C8 5F 07 43 4C 55 53 54
-45 52 82 4E 24 20 A2 4F 22 20 B0 12 74 5D 9F 42
-1A 20 00 00 1E 42 1C 20 DF 3F 34 50 03 46 41 54
-2F 82 8F 4E 02 00 9F 42 08 20 00 00 0E 43 D4 3F
-BC 5F 03 44 49 52 2F 82 8F 4E 02 00 92 42 2C 20
-22 20 92 42 2E 20 24 20 E0 3F A0 65 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 92 50 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40
-3C 00 80 45 B0 43 34 40 08 00 80 45 34 40 3E 00
-80 45 B6 45 8A 40 8A 40 C4 41 EA 41 84 66 62 40
-62 40 2A 40 F0 41 28 42 F2 40 7C 43 34 40 02 00
-00 42 86 66 2A 40 3A 66 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 76 47 01 3F 2E 4E
-30 40 7C 43 3C 64 05 57 4F 52 44 53 87 12 2C 46
-34 40 03 00 CC 45 34 40 D0 1D F2 40 50 42 34 40
-10 00 44 40 20 41 C4 4F 34 40 00 00 44 40 34 40
-10 00 44 40 20 41 34 40 00 00 F0 41 44 40 28 42
-50 42 20 41 F2 40 D2 41 E0 41 0A 67 62 40 62 40
-28 42 44 40 50 42 20 41 F2 40 34 40 02 00 00 42
-EC 66 54 40 E0 41 4C 67 44 40 34 40 02 00 28 41
-F2 40 9A 40 50 42 20 41 FA 40 44 40 24 49 34 40
-7F 00 36 41 EE 45 08 41 34 40 0F 00 36 41 34 40
-10 00 78 40 28 41 CC 45 DC 41 D8 66 62 40 2A 40
-D6 5F 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 52 67
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 40 65 03 55
-2E 52 87 12 B0 40 9A 42 34 40 00 00 FA 42 2C 43
-46 43 BC 40 8A 40 28 41 34 40 00 00 56 67 CC 45
-EE 45 2A 40 12 66 04 44 55 4D 50 00 0D 12 12 12
-E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A 40 78 40
-8A 40 8A 40 7C 43 34 40 01 00 28 41 7C 43 34 40
-FE FF 36 41 F0 41 2C 46 28 42 34 40 07 00 72 67
-B6 45 28 42 34 40 10 00 20 41 28 42 F0 41 28 42
-08 41 34 40 03 00 72 67 12 42 DE 67 B6 45 B6 45
-28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
-34 40 7E 00 64 67 6E 42 56 67 80 45 12 42 FC 67
-34 40 10 00 00 42 C6 67 BC 40 84 42 FA 40 2A 40
-@FFFE
-F8 51
-q
diff --git a/MSP_EXP430FR5994_16MHz_5Mbds_SD_CARD.txt b/MSP_EXP430FR5994_16MHz_5Mbds_SD_CARD.txt
new file mode 100644 (file)
index 0000000..f759be0
--- /dev/null
@@ -0,0 +1,671 @@
+@1800
+10 00 C2 44 80 3E 50 C3 05 00 18 00 80 69 2E 54
+8E 44 9A 44 26 5C 64 5C
+@4000
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 40
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 40 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 40 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 40 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 40 02 3E 52 00
+0E 12 3E 4F 30 4D 96 40 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 40 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 40 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 40 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 41 02 31 2D 00
+1E 83 30 4D D8 40 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 41
+02 30 3D 00 1E 83 0E 7E 30 4D 60 41 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 41 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 40 01 3E 3E 8F F9 3F 6C 41 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 41 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 41
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 41 03 43 49 42 85 12 3C 1D 24 42 02 42
+4C 00 85 12 20 00 2E 42 04 42 41 53 45 00 85 12
+E2 1D C8 40 05 53 54 41 54 45 85 12 BE 1D 86 41
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 41 06 55
+4D 2F 4D 4F 44 00 30 12 62 40 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 40 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 42 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 42
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 42 02 23 53 00 87 12 B4 42 EC 42 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 42 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 41
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 42 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 42 02 55 2E 00 87 12 54 42 34 40 00 00 E6 42
+00 43 BE 45 86 45 2A 40 46 41 02 44 2E 00 87 12
+54 42 78 40 8A 40 4C 41 E6 42 9A 40 24 43 00 43
+BE 45 86 45 2A 40 84 40 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 43 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 40 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 42 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 43 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 8E 44 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 9A 44 30 4D 10 42 03 4B 45 59 30 40
+BA 43 0D 12 3D 40 02 44 1B 42 32 20 9B 42 1E 20
+16 00 1A 4F 02 00 8F 4E 00 00 0E 43 19 42 1E 20
+02 3C 04 44 2D 83 19 92 20 20 15 2C 58 49 00 1E
+19 53 78 90 20 00 08 2C 78 90 0A 00 F4 23 82 49
+1E 20 2F 53 3D 41 30 4D 2E 9F 5E 24 CA 48 00 00
+1A 53 1E 53 59 3C 0A 12 B0 12 78 5D 3A 41 DE 3F
+8E 43 06 41 43 43 45 50 54 00 30 40 5A 44 B4 43
+08 28 41 43 43 45 50 54 29 00 3C 40 FA 44 3B 40
+CA 44 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40
+20 00 3D 40 EE 44 92 B3 DC 05 05 24 18 42 CC 05
+38 90 0A 00 04 20 21 53 39 40 AE 44 4D 15 B2 40
+11 00 CE 05 E2 C2 23 02 30 41 B2 40 13 00 CE 05
+E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50 30 40
+BC 44 00 00 07 28 53 4C 45 45 50 29 12 D2 0A 18
+F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C 48 9B
+E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C 0E 9A
+03 24 CE 48 00 00 1E 53 82 48 CE 05 30 4D F0 44
+2D 83 92 B3 DC 05 E7 23 FC 27 B2 40 18 00 0A 18
+82 93 E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41 30 4D
+50 44 06 28 45 4D 49 54 29 00 08 4E 3E 4F E4 3F
+3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D
+00 00 AF 4F 02 00 89 3F 82 43 CA 1D 82 4E C6 1D
+B2 4F C8 1D 3E 4F 30 4D 4A 43 04 45 4D 49 54 00
+30 40 1A 45 4A 45 04 45 43 48 4F 00 B2 40 82 48
+E8 44 82 43 E4 1D 30 4D 68 43 06 4E 4F 45 43 48
+4F 00 B2 40 30 4D E8 44 92 43 E4 1D 30 4D 1E 43
+05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00
+DF 3F 80 45 06 53 50 41 43 45 53 00 0E 93 09 24
+0D 12 3D 40 A8 45 EF 3F AA 45 2D 83 1E 83 EB 23
+3D 41 3E 4F 30 4D 32 43 04 54 59 50 45 00 0E 93
+0F 24 1E 15 3D 40 D4 45 28 4F 7E 48 8F 48 00 00
+2F 83 BE 3F D6 45 2D 83 91 83 02 00 F5 23 1D 17
+2F 53 3E 4F 30 4D 12 45 04 28 43 52 29 00 87 12
+04 46 02 0D 0A 00 BE 45 2A 40 9E 43 02 43 52 00
+30 40 EE 45 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
+0D 5E 1D B3 0D 63 30 4D FC 45 07 43 41 50 53 5F
+4F 4E B2 43 B4 1D 30 4D 1A 46 08 43 41 50 53 5F
+4F 46 46 00 82 43 B4 1D 30 4D 94 45 82 53 22 00
+87 12 34 40 04 46 A8 48 34 46 34 40 22 00 84 46
+22 46 54 46 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F
+92 B3 CC 1D A2 63 CC 1D 30 4D 6A 45 82 2E 22 00
+87 12 40 46 34 40 BE 45 A8 48 2A 40 00 00 04 57
+4F 52 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C
+28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00
+C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53
+4B 9C F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F
+7C 80 20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42
+CC 1D 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
+44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 1D
+3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58
+2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4
+48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83
+FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30
+2E 83 8F 4C 00 00 35 40 08 40 34 40 14 40 30 4D
+94 41 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
+2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00
+05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C
+82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04
+18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48
+02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42
+E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40 EC 47
+3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00
+10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24
+3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80
+2C 00 5A 93 B8 23 B1 43 02 00 CE 3F EE 47 0E 93
+32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00
+00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20
+0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
+39 80 30 00 79 90 0A 00 05 28 79 80 07 00 79 90
+0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15
+B0 12 6A 42 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
+04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24
+3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00
+BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
+00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
+2F 53 30 4D 56 45 07 45 58 45 43 55 54 45 0A 4E
+3E 4F 00 4A 26 41 01 2C 1A 42 CC 1D A2 53 CC 1D
+8A 4E 00 00 3E 4F 30 4D A6 48 87 4C 49 54 45 52
+41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D
+BA 40 34 40 00 00 8A 4E 02 00 3E 4F 32 B0 00 02
+32 C0 00 02 F1 23 30 4D 2A 46 05 43 4F 55 4E 54
+2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12
+38 45 32 42 84 46 08 49 3D 40 10 49 EA 22 50 3E
+12 49 0A 4E 3E 4F 3D 40 28 49 40 27 3D 40 02 49
+1A E2 BE 1D C1 27 BB 23 2A 49 3E 4F 3D 40 02 49
+C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00
+3D 40 CA 4B D5 3F 96 48 08 45 56 41 4C 55 41 54
+45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12
+2A 40 FE 48 66 49 B2 41 CA 1D B2 41 C8 1D B2 41
+C6 1D 3D 41 30 4D 9C 41 04 51 55 49 54 00 31 40
+E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18
+B0 12 2A 40 04 46 05 0D 0A 6F 6B 20 BE 45 20 45
+86 45 FE 48 DE 40 70 41 04 46 0D 73 74 61 63 6B
+20 65 6D 70 74 79 21 20 3E 4A 34 40 30 FF 80 43
+AC 41 04 46 0B 46 52 41 4D 20 66 75 6C 6C 21 20
+3E 4A 4A 42 F2 40 B8 41 94 49 04 46 05 0D 0A 20
+20 20 B4 41 9C 49 42 44 05 41 42 4F 52 54 3F 40
+80 1C C5 3F B2 40 1E 51 7A 51 B2 40 BC 44 B0 44
+B2 40 3C 1D 2A 42 B2 40 1A 45 52 45 B2 40 EE 45
+02 46 B2 40 BA 43 E0 43 B2 40 5A 44 4C 44 82 43
+F0 5A 82 43 FC 5A 82 43 08 5B 82 43 38 5B 82 43
+44 5B 82 43 50 5B B2 40 0A 00 E2 1D 30 41 8F 93
+02 00 03 20 2F 52 3E 4F 30 4D B2 40 82 48 E8 44
+1B 42 32 20 0B 93 04 24 CB 43 02 00 2B 4B FA 3F
+B0 12 00 4A A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
+E2 C2 23 02 92 C3 DC 05 38 40 A0 AA 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 DC 05 F3 23 87 12
+04 46 04 1B 5B 37 6D 00 BE 45 34 40 E4 1D F2 40
+54 40 B8 41 B6 4A 04 46 05 6C 69 6E 65 3A BE 45
+40 41 36 43 5C 45 BE 45 04 46 04 1B 5B 30 6D 00
+BE 45 94 50 22 46 EE 49 E8 49 86 41 42 4F 52 54
+22 00 87 12 40 46 34 40 3E 4A A8 48 2A 40 DC 46
+01 27 87 12 32 42 84 46 E2 46 B8 41 F0 4A 2A 40
+32 49 50 42 81 5C 92 42 C6 1D CA 1D 30 4D 00 00
+81 5B 82 43 BE 1D 30 4D F4 4A 01 5D B2 43 BE 1D
+30 4D 00 4B 83 5B 27 5D 87 12 E2 4A 34 40 34 40
+A8 48 A8 48 2A 40 BE 4F 02 00 3E 4F 30 4D E8 45
+82 49 53 00 87 12 4A 42 F2 40 B8 41 48 4B 18 4B
+34 40 26 4B A8 48 2A 40 E2 4A 26 4B 2A 40 30 4B
+09 49 4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0
+80 00 00 00 30 4D 3C 46 87 52 45 43 55 52 53 45
+19 42 CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D
+78 49 88 50 4F 53 54 50 4F 4E 45 00 87 12 32 42
+84 46 E2 46 54 40 B8 41 F0 4A 70 41 B8 41 AC 4B
+34 40 34 40 A8 48 A8 48 34 40 A8 48 A8 48 2A 40
+82 9F BC 1D 25 25 87 12 04 46 0F 73 74 61 63 6B
+20 6D 69 73 6D 61 74 63 68 21 4A 4A 14 4B 81 3B
+82 93 BE 1D 90 27 87 12 34 40 2A 40 A8 48 B0 4B
+02 4B 2A 40 BA 40 87 12 FC FF A2 83 CC 1D B2 43
+BE 1D 82 4F BC 1D 30 4D CE 4B 01 3A 30 12 E4 4B
+87 12 60 46 32 42 84 46 0A 4C 08 4E 7A 4E 5A D3
+5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E
+82 48 B6 1D 82 49 B8 1D 82 4A BA 1D 2A 52 82 4A
+CC 1D 3E 4F 3D 41 30 41 7E 46 08 56 41 52 49 41
+42 4C 45 00 B0 12 00 4C BA 40 86 12 FC FF DC 3C
+EA 48 08 43 4F 4E 53 54 41 4E 54 00 B0 12 00 4C
+BA 40 85 12 FC FF 8A 4E FE FF 3E 4F CD 3C 52 4C
+06 43 52 45 41 54 45 00 B0 12 00 4C BA 40 85 12
+FC FF 8A 4A FE FF C0 3C 48 49 05 44 4F 45 53 3E
+1A 42 BA 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
+30 4D 8A 4C 05 44 45 46 45 52 B0 12 00 4C BA 40
+30 40 FC FF BA 40 A0 4C FE FF A6 3C 70 4C 07 43
+4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B
+0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98
+FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D FA 4B
+86 5B 54 48 45 4E 5D 00 30 4D F0 4C 86 5B 45 4C
+53 45 5D 00 87 12 34 40 01 00 32 42 84 46 F0 48
+44 40 B8 41 6E 4D 8A 40 8A 40 04 46 04 5B 49 46
+5D 00 C6 4C C2 41 30 4D E0 45 36 41 B4 41 66 4D
+8A 40 8A 40 04 46 06 5B 45 4C 53 45 5D 00 C6 4C
+C2 41 54 4D E0 45 40 41 44 40 B8 41 66 4D 36 41
+B4 41 66 4D 04 46 06 5B 54 48 45 4E 5D 00 C6 4C
+C2 41 66 4D 40 41 54 40 C2 41 0A 4D 2A 40 E0 45
+04 46 05 0D 0A 6B 6F 20 BE 45 20 45 38 45 B4 41
+0A 4D FC 4C 84 5B 49 46 5D 00 0E 93 3E 4F BA 27
+30 4D 84 4D 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
+87 12 32 42 84 46 E2 46 6C 40 64 41 2A 40 94 4D
+89 5B 44 45 46 49 4E 45 44 5D 87 12 32 42 84 46
+E2 46 6C 40 2A 40 C8 4D 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D BA 48 06 4D 41 52 4B 45 52 00
+B0 12 00 4C BA 40 84 12 FC FF BA 40 C6 4D FE FF
+9A 42 CE 1D 00 00 28 83 8A 48 02 00 A2 52 CC 1D
+18 42 B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00
+30 4D 50 4B 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+CC 1D A2 52 CC 1D BE 40 B8 41 00 00 2E 53 30 4D
+A4 4C 84 45 4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D
+BA 40 B4 41 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+B8 45 84 54 48 45 4E 00 9E 42 CC 1D 00 00 3E 4F
+30 4D BE 4C 85 42 45 47 49 4E 30 40 80 43 52 4E
+85 55 4E 54 49 4C 39 40 B8 41 A2 52 CC 1D 1A 42
+CC 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D CA 4A
+85 41 47 41 49 4E 39 40 B4 41 EF 3F 3A 4C 85 57
+48 49 4C 45 87 12 18 4E 78 40 2A 40 68 4B 86 52
+45 50 45 41 54 00 87 12 96 4E 58 4E 2A 40 32 4E
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42
+CC 1D BE 40 C8 41 FE FF A2 53 00 1C 1A 42 00 1C
+8A 43 00 00 30 4D D8 4D 84 4C 4F 4F 50 00 39 40
+EA 41 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D DA 43 85 2B 4C 4F
+4F 50 39 40 D8 41 E5 3F E8 4E 85 4C 45 41 56 45
+1A 42 CC 1D BA 40 FA 41 00 00 BA 40 B4 41 02 00
+B2 50 06 00 CC 1D A2 53 00 1C 2A 52 19 42 00 1C
+89 4A 00 00 30 4D 2A 4F 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D 9E 4E
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 78 4C
+34 40 10 00 34 40 00 00 C8 41 34 40 00 00 A8 48
+EA 41 AA 4F 80 43 34 40 CE 1D 44 40 F2 40 A8 48
+FA 40 90 4C 34 40 D0 1D FA 40 2A 40 E0 4A 05 46
+4F 52 54 48 84 12 C4 4F CA 65 6C 65 3A 67 22 69
+D6 67 1C 4F 04 67 32 66 50 66 68 69 12 67 5A 66
+00 00 C6 67 0A 4B 46 66 00 00 90 4E 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 D0 1D 38 40 D2 1D B6 3F
+82 4B 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 D2 1D 38 40 D0 1D A3 3F 6C 46 04 4F 4E 4C
+59 00 82 43 D2 1D 30 4D C0 4E 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 D0 1D E0 1D 30 4D D4 4F
+32 50 46 50 56 50 3A 4E 82 4A CE 1D 2E 4E 82 4E
+CC 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 12 50 09 50 57 52 5F 53
+54 41 54 45 84 12 4E 50 2E 54 80 69 AE 4E 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 98 50 92 42
+0C 18 9A 50 EF 3F 8A 50 08 50 57 52 5F 48 45 52
+45 00 92 42 CE 1D 98 50 92 42 CC 1D 9A 50 30 4D
+9E 50 08 52 53 54 5F 48 45 52 45 00 92 42 CE 1D
+0E 18 92 42 CC 1D 0C 18 EC 3F 90 4F 04 57 49 50
+45 00 39 40 80 FF B9 43 00 00 29 53 39 90 B4 FF
+FA 23 B0 12 F4 49 B2 40 80 69 0C 18 B2 40 2E 54
+0E 18 CA 3F 14 4E 06 28 57 41 52 4D 29 00 1E 42
+08 18 87 12 04 46 06 0D 1B 5B 37 6D 23 00 BE 45
+6A 43 04 46 1F 46 61 73 74 46 6F 72 74 68 20 56
+32 30 35 20 28 43 29 4A 2E 4D 2E 54 68 6F 6F 72
+65 6E 73 20 BE 45 34 40 30 FF 80 43 28 41 36 43
+04 46 0B 62 79 74 65 73 20 66 72 65 65 20 60 4A
+EC 50 04 57 41 52 4D 00 30 40 1E 51 64 4E 04 43
+4F 4C 44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01
+B2 D0 03 00 04 02 B2 40 FC FF 02 02 B2 C0 03 00
+06 02 B2 D0 00 04 24 02 B2 D3 26 02 B2 43 22 02
+B2 43 42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02
+F2 43 26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40
+10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40
+48 00 62 01 82 43 66 01 39 40 00 01 B2 40 33 00
+64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
+01 24 59 07 38 40 59 14 18 83 FE 23 19 83 FA 23
+B2 42 B0 01 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04
+F2 C0 40 00 A2 04 3A 40 8A 51 39 40 B4 FF 89 4A
+00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00
+0A 18 39 40 00 1C 89 43 00 00 29 53 39 90 FF 2B
+FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 40 36 40
+BC 40 35 40 08 40 34 40 14 40 B2 40 0A 00 E2 1D
+B2 43 B4 1D 92 C3 30 01 18 42 08 18 E2 B3 01 02
+04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05
+B2 40 03 00 C6 05 B2 40 00 21 C8 05 F2 D0 03 00
+0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 C4 52 18 42
+08 18 38 90 0A 00 1D 27 38 90 16 00 1A 2F 28 93
+F3 22 E8 26 C6 52 E2 B2 60 02 56 23 B2 40 81 A9
+40 06 B2 40 30 00 46 06 D2 D3 25 02 B2 D0 C0 04
+0C 02 92 C3 40 06 39 42 B0 12 FE 5B D2 C3 23 02
+2C 42 B2 40 95 00 14 20 B2 40 00 40 18 20 1A 43
+B0 12 C2 5B 02 24 30 40 9C 5C B0 12 FC 5B 7A 93
+FC 23 B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48
+18 20 1A 43 B0 12 C2 5B 29 42 B0 12 FE 5B 92 43
+14 20 82 43 16 20 78 43 3C 42 B2 40 00 77 18 20
+1A 43 B0 12 C2 5B B2 40 40 69 18 20 B0 12 B8 5B
+03 24 58 83 F2 23 D7 3F 0C 5C A2 43 16 20 B2 40
+00 50 18 20 B0 12 B8 5B CE 23 92 D3 40 06 82 43
+46 06 92 C3 40 06 B0 12 24 5C 38 40 00 1E 92 48
+C6 01 04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43
+02 20 7A 80 06 00 0D 24 7A 82 0B 24 A2 43 02 20
+6A 53 07 24 5A 53 05 24 3A 50 0B 20 0C 4A 30 40
+A2 5C B0 12 24 5C D2 48 0D 00 12 20 19 48 0E 00
+82 49 08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00
+82 4A 0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20
+04 24 82 49 0E 20 39 50 20 00 19 82 12 20 19 82
+12 20 82 49 10 20 92 42 02 20 2C 20 BD 3E FC 4F
+09 41 53 53 45 4D 42 4C 45 52 84 12 C4 4F 54 5A
+00 5B 08 5A 54 5B CE 59 88 5A D2 56 00 00 C4 59
+74 5A 26 5A 64 5A E2 57 00 00 00 00 66 5B F8 4F
+16 51 85 48 49 32 4C 4F 87 12 80 43 2C 4E A8 48
+02 4B 02 50 0A 54 2A 40 7E 51 04 43 4F 44 45 00
+B0 12 00 4C A2 82 CC 1D 87 12 F2 4B B4 41 42 54
+00 00 07 45 4E 44 43 4F 44 45 87 12 1C 50 B0 4B
+2A 40 00 54 03 41 53 4D 92 42 E0 1D C0 1D B2 40
+0E 54 E0 1D E5 3F 62 54 06 45 4E 44 41 53 4D 00
+92 42 C0 1D E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E
+1A 42 CC 1D BA 40 87 12 00 00 A2 53 CC 1D B2 43
+BE 1D 30 40 1C 50 00 00 05 4C 4F 32 48 49 1A 42
+CC 1D BA 40 B0 12 00 00 BA 40 2A 40 02 00 A2 52
+CC 1D ED 3F 38 40 C6 1D 39 48 2A 48 09 5A 1A 52
+CA 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
+82 4A CA 1D 30 4D B0 12 2A 40 84 46 E2 46 C2 41
+0A 55 9C 47 B8 41 F0 4A 2C 55 0C 55 29 4E 39 90
+86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
+02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
+CC 1D A2 53 CC 1D 89 4E 00 00 3E 40 29 00 12 12
+CA 1D 92 53 CA 1D B0 12 2A 40 84 46 9C 47 B8 41
+5E 55 54 55 21 53 3E 90 10 00 BB 2D 30 41 60 55
+B2 41 CA 1D 22 D3 30 41 87 12 32 42 D4 54 70 55
+82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E
+3E 4F FA 90 23 00 00 00 34 20 92 53 CA 1D B0 12
+F6 54 0E 93 04 20 B2 40 00 03 C4 1D 27 3C 1E 93
+04 20 B2 40 10 03 C4 1D 21 3C 2E 93 04 20 B2 40
+20 03 C4 1D 1B 3C 2E 92 04 20 B2 40 20 02 C4 1D
+15 3C 3E 92 04 20 B2 40 30 02 C4 1D 0F 3C 3E 93
+04 20 B2 40 30 03 C4 1D 09 3C B2 40 30 00 C4 1D
+19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41
+30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 C4 1D
+92 53 CA 1D 30 12 E0 55 76 3F FA 90 40 00 00 00
+1A 20 B2 40 20 00 C4 1D 92 53 CA 1D B0 12 3E 55
+0E 20 B2 50 10 00 C4 1D 3E 40 2B 00 B0 12 3E 55
+32 24 92 92 C6 1D CA 1D 02 24 92 53 CA 1D 8E 10
+82 5E C4 1D D3 3F B0 12 3E 55 F9 23 B2 50 10 00
+C4 1D 3E 40 28 00 B0 12 F6 54 30 12 30 56 67 3F
+87 12 32 42 D4 54 68 56 FE 90 26 00 00 00 3E 40
+20 00 04 20 B2 50 82 00 C4 1D C2 3F B0 12 3E 55
+DF 23 B2 50 80 00 C4 1D 3E 40 28 00 B0 12 F6 54
+B0 12 2E 55 D5 23 3D 40 F0 4A 30 4D A8 44 04 52
+45 54 49 00 87 12 34 40 00 13 A8 48 2A 40 34 40
+2C 00 68 55 60 56 B8 56 2E 4E 1E D2 C4 1D 19 42
+C2 1D 92 3F B8 54 03 4D 4F 56 84 12 AE 56 00 40
+C6 56 05 4D 4F 56 2E 42 84 12 AE 56 40 40 00 00
+03 41 44 44 84 12 AE 56 00 50 E0 56 05 41 44 44
+2E 42 84 12 AE 56 40 50 EC 56 04 41 44 44 43 00
+84 12 AE 56 00 60 FA 56 06 41 44 44 43 2E 42 00
+84 12 AE 56 40 60 9E 56 04 53 55 42 43 00 84 12
+AE 56 00 70 18 57 06 53 55 42 43 2E 42 00 84 12
+AE 56 40 70 26 57 03 53 55 42 84 12 AE 56 00 80
+36 57 05 53 55 42 2E 42 84 12 AE 56 40 80 9A 54
+03 43 4D 50 84 12 AE 56 00 90 50 57 05 43 4D 50
+2E 42 84 12 AE 56 40 90 88 54 04 44 41 44 44 00
+84 12 AE 56 00 A0 6A 57 06 44 41 44 44 2E 42 00
+84 12 AE 56 40 A0 5C 57 03 42 49 54 84 12 AE 56
+00 B0 88 57 05 42 49 54 2E 42 84 12 AE 56 40 B0
+94 57 03 42 49 43 84 12 AE 56 00 C0 A2 57 05 42
+49 43 2E 42 84 12 AE 56 40 C0 AE 57 03 42 49 53
+84 12 AE 56 00 D0 BC 57 05 42 49 53 2E 42 84 12
+AE 56 40 D0 00 00 03 58 4F 52 84 12 AE 56 00 E0
+D6 57 05 58 4F 52 2E 42 84 12 AE 56 40 E0 08 57
+03 41 4E 44 84 12 AE 56 00 F0 F0 57 05 41 4E 44
+2E 42 84 12 AE 56 40 F0 32 42 68 55 0E 58 1A 42
+C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0 0F 00 82 DA
+C4 1D 4A 3F 42 57 03 52 52 43 84 12 08 58 00 10
+26 58 05 52 52 43 2E 42 84 12 08 58 40 10 32 58
+04 53 57 50 42 00 84 12 08 58 80 10 40 58 03 52
+52 41 84 12 08 58 00 11 4E 58 05 52 52 41 2E 42
+84 12 08 58 40 11 5A 58 03 53 58 54 84 12 08 58
+80 11 00 00 04 50 55 53 48 00 84 12 08 58 00 12
+74 58 06 50 55 53 48 2E 42 00 84 12 08 58 40 12
+C8 57 04 43 41 4C 4C 00 84 12 08 58 80 12 34 40
+2C 00 68 55 60 56 A8 58 59 42 C4 1D 5A 42 C5 1D
+82 4A C4 1D BE 90 00 15 00 00 02 20 0A 89 02 3C
+09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
+0E 4A 87 12 6A 43 04 46 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 4A 4A 82 58 05 50 55 53 48 4D
+84 12 9E 58 00 15 EA 58 04 50 4F 50 4D 00 84 12
+9E 58 00 17 32 42 D4 54 0A 59 82 43 C4 1D 92 42
+CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00
+B0 12 2A 40 84 46 9C 47 B8 41 F0 4A 60 56 30 59
+0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
+68 58 04 52 52 43 4D 00 84 12 04 59 50 00 42 59
+04 52 52 41 4D 00 84 12 04 59 50 01 50 59 04 52
+4C 41 4D 00 84 12 04 59 50 02 5E 59 04 52 52 55
+4D 00 84 12 04 59 50 03 85 12 00 3C 6C 59 03 53
+3E 3D 85 12 00 38 7E 59 02 53 3C 00 85 12 00 34
+F8 58 03 30 3E 3D 85 12 00 30 92 59 02 30 3C 00
+85 12 00 30 00 00 02 55 3C 00 85 12 00 2C A6 59
+03 55 3E 3D 85 12 00 28 9C 59 03 30 3C 3E 85 12
+00 24 BA 59 02 30 3D 00 85 12 00 20 B4 44 02 49
+46 00 1A 42 CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A
+30 4D B0 59 04 54 48 45 4E 00 1A 42 CC 1D 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
+88 DA 00 00 30 4D 78 57 04 45 4C 53 45 00 1A 42
+CC 1D BA 40 00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A
+00 00 E3 3F E4 59 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 1D
+30 4D FC 57 05 41 47 41 49 4E 87 12 78 59 2C 5A
+2A 40 00 00 05 57 48 49 4C 45 87 12 D2 59 78 40
+2A 40 88 59 06 52 45 50 45 41 54 00 87 12 78 59
+2C 5A EA 59 2A 40 00 00 03 4A 4D 50 87 12 E2 4A
+78 59 2C 5A 2A 40 3E B0 00 10 03 20 3E E0 00 04
+30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
+3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
+96 5A E2 4A 78 40 2C 5A 2A 40 CC 5A 3D 41 08 4E
+3E 4F 2A 48 0A 93 04 20 98 42 CC 1D 00 00 30 4D
+88 43 00 00 A4 3F 92 58 03 42 57 31 84 12 CA 5A
+00 00 E8 5A 03 42 57 32 84 12 CA 5A 00 00 F4 5A
+03 42 57 33 84 12 CA 5A 00 00 0C 5B 3D 41 1A 42
+CC 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 1D
+8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
+03 46 57 31 84 12 0A 5B 00 00 30 5B 03 46 57 32
+84 12 0A 5B 00 00 3C 5B 03 46 57 33 84 12 0A 5B
+00 00 48 5B 04 47 4F 54 4F 00 87 12 78 59 E2 4A
+9E 48 2A 40 B8 5A 05 3F 47 4F 54 4F 87 12 96 5A
+E2 4A 9E 48 2A 40 D2 C3 23 02 E2 B2 60 02 02 24
+30 40 84 51 1A 52 04 20 19 62 06 20 92 43 14 20
+A2 93 02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49
+18 20 0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49
+17 20 89 10 C2 49 18 20 B0 12 FC 5B 7A 93 FC 23
+0A 43 39 40 05 00 D2 49 14 20 4E 06 82 93 46 06
+05 24 92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F
+19 83 0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3
+6C 06 FD 27 5A 92 4C 06 F3 23 30 41 19 43 3A 43
+8A 10 C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06
+FD 27 C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41
+1A 52 08 20 09 43 1C D3 F2 40 51 00 19 20 B0 12
+76 5B 34 20 B0 12 FC 5B 7A 90 FE FF 04 24 FA 23
+D9 42 4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90
+01 02 F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02
+30 41 09 43 2C D3 F0 40 58 00 AF C3 B0 12 76 5B
+15 20 3A 40 FE FF 29 43 B0 12 00 5C D2 49 00 1E
+4E 06 03 43 19 53 39 90 00 02 F8 23 39 40 03 00
+B0 12 FE 5B 7A C0 E1 00 6A 92 DE 27 8C 10 1C 52
+4C 06 D2 D3 23 02 87 12 04 46 0B 3C 20 53 44 20
+45 72 72 6F 72 21 B8 5C 2F 82 8F 4E 02 00 9F 42
+E2 1D 00 00 B2 40 10 00 E2 1D 0E 4C B0 12 2A 40
+36 43 3E 42 FA 40 4A 4A 92 4B 0E 00 22 20 92 4B
+10 00 24 20 5A 42 23 20 58 42 22 20 92 93 02 20
+08 24 59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A
+88 10 08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20
+C2 93 24 20 03 20 92 93 22 20 14 24 92 42 22 20
+D0 04 92 42 24 20 D2 04 92 42 12 20 C8 04 92 42
+E4 04 1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20
+82 63 1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00
+24 20 B0 12 06 5D 5A 4B 03 00 82 5A 1A 20 82 63
+1C 20 30 41 09 93 07 24 F8 90 20 00 00 1E 03 20
+18 53 19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20
+B2 90 00 02 20 20 A3 20 BB 80 00 02 12 00 8B 73
+14 00 DB 53 03 00 DB 92 12 20 03 00 11 28 CB 43
+03 00 B0 12 D8 5C B0 12 20 5C 8B 43 10 00 9B 48
+00 1E 0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00
+B2 40 00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00
+1E 20 7D 2C BB 90 00 02 12 00 03 2C 92 4B 12 00
+20 20 B0 12 46 5D 1A 42 1A 20 19 42 1C 20 1B 3F
+3C 42 3B 40 40 20 09 43 CB 93 02 00 10 24 9B 92
+24 20 0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B
+3B 50 18 00 3B 90 00 21 EF 23 0C 5C 30 41 0C 43
+82 4B 32 20 8B 49 00 00 49 93 0A 24 99 52 CA 1D
+16 00 4A 93 05 34 C9 93 02 00 02 34 5A 59 02 00
+CB 4A 02 00 CB 43 03 00 9B 42 1A 20 04 00 9B 42
+1C 20 06 00 18 42 30 20 8B 48 08 00 9B 48 1A 1E
+0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48
+14 1E 10 00 9B 48 1C 1E 12 00 9B 48 1E 1E 14 00
+82 43 1E 20 6A 93 5F 27 C9 37 8B 43 16 00 7A 93
+02 24 0A 38 95 3F B2 40 3C 21 2A 42 B2 40 E2 43
+4C 44 B2 40 02 21 00 21 18 42 00 21 B2 50 06 00
+00 21 19 42 CA 1D 1A 42 C6 1D 0A 89 88 4A 00 00
+19 52 C8 1D 88 49 02 00 88 4D 04 00 79 3F 1B 42
+32 20 0B 93 A3 27 EB 93 02 00 04 20 B0 12 50 63
+B0 12 18 63 5A 4B 02 00 CB 43 02 00 09 4B 2B 4B
+82 4B 32 20 7A 93 07 20 B2 40 3C 1D 2A 42 B2 40
+5A 44 4C 44 0A 3C 0B 93 89 27 CB 93 02 00 86 37
+92 4B 16 00 1E 20 B0 12 C0 5D 21 52 2F 53 B2 80
+06 00 00 21 1A 42 00 21 3E 4A BF 4A 00 00 3D 4A
+30 4D D2 50 85 52 45 41 44 22 5A 43 19 3C 72 51
+86 57 52 49 54 45 22 00 6A 43 12 3C 3A 50 84 44
+45 4C 22 00 6A 42 0C 3C 4A 54 05 43 4C 4F 53 45
+B0 12 CE 5E 30 4D 58 4F 85 4C 4F 41 44 22 7A 43
+2F 83 8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24 87 12
+34 40 34 40 A8 48 A8 48 22 46 40 46 34 40 A2 5F
+A8 48 2A 40 87 12 34 40 22 00 84 46 F0 48 A0 5F
+3D 41 35 4F 0E 55 82 4E 36 20 1C 43 92 42 2C 20
+22 20 92 42 2E 20 24 20 0E 95 8D 24 F5 90 3A 00
+01 00 01 20 25 53 F5 90 5C 00 00 00 08 20 15 53
+92 42 02 20 22 20 82 43 24 20 0E 95 70 24 82 45
+34 20 B0 12 06 5D 34 40 20 00 A2 93 02 20 04 24
+92 92 22 20 02 20 02 24 14 42 12 20 B0 12 E6 5D
+2C 43 0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93
+00 1E 61 24 39 42 F8 95 00 1E 04 20 18 53 19 83
+FA 23 15 53 F5 90 2E 00 FF FF 19 24 39 50 03 00
+B0 12 64 5D 06 20 F5 90 5C 00 FF FF 29 24 0E 95
+27 28 15 42 34 20 1A 53 3A 90 10 00 DB 23 92 53
+1A 20 82 63 1C 20 14 83 D1 23 2C 42 3C 3C F5 90
+2E 00 FE FF EE 27 B0 12 64 5D EB 23 39 40 03 00
+F8 95 00 1E 04 20 18 53 19 83 FA 23 09 3C 0E 95
+E0 2F F5 90 5C 00 FF FF DC 23 B0 12 64 5D D9 23
+18 42 30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20
+F8 B0 10 00 0B 1E 14 24 82 93 24 20 06 20 82 93
+22 20 03 20 92 42 02 20 22 20 0E 95 8E 2F 92 42
+22 20 2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C
+2A 4F B0 12 F0 5D 34 40 14 40 35 40 08 40 3A 4F
+3E 4F 0A 93 05 24 7A 93 13 20 0C 93 02 20 3D 41
+30 4D 87 12 04 46 0B 3C 20 4F 70 65 6E 45 72 72
+6F 72 5C 45 80 43 F0 48 BE 45 86 45 B4 41 B6 5C
+1A 93 B6 20 0C 93 ED 23 30 4D 34 5F 04 52 45 41
+44 00 2F 83 8F 4E 00 00 1E 42 32 20 B0 12 78 5D
+1E 82 32 20 30 4D 2C 43 12 12 2A 20 18 42 02 20
+08 58 2A 41 82 9A 0A 20 A1 24 B0 12 20 5C 09 43
+28 93 03 24 89 93 02 1E 03 20 89 93 00 1E 07 24
+09 58 39 90 00 02 F4 23 91 53 00 00 EA 3F 0C 43
+6A 41 B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E
+09 11 8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A
+28 20 82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A
+27 20 82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12
+1A 52 08 20 B0 12 62 5C 3A 41 1A 52 0C 20 30 40
+62 5C F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04
+FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00
+C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04
+C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08
+C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07
+C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A
+2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92
+06 38 39 80 03 00 B0 12 6E 62 39 40 03 00 7A 4B
+C8 4A 00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00
+3C 40 1E 62 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C
+E6 27 3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93
+06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41
+2A 93 EB 20 2C 93 0D 24 0C 93 BA 24 87 12 04 46
+0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00 B4 41
+02 61 B0 12 36 61 92 42 26 20 22 20 92 42 28 20
+24 20 B0 12 AE 61 B0 12 E6 5D 18 42 30 20 F8 40
+20 00 0B 1E B0 12 C2 61 88 43 0C 1E 88 4A 0E 1E
+88 49 10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42
+22 20 1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42
+34 20 82 9B 36 20 CA 27 FB 90 2E 00 00 00 C6 27
+39 40 0B 00 B0 12 3E 62 B0 12 5A 63 2A 43 B0 12
+F0 5D 0C 93 BB 23 30 4D 1A 4B 04 00 19 4B 06 00
+B0 12 26 5C B0 12 C2 61 18 4B 08 00 88 49 12 1E
+88 4A 16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B
+14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40 64 5C
+9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42
+1C 20 30 40 64 5C B2 40 00 02 1E 20 1B 42 32 20
+B0 12 50 63 82 43 1E 20 DB 53 03 00 DB 92 12 20
+03 00 22 20 CB 43 03 00 B0 12 D8 5C 08 12 0A 12
+B0 12 36 61 2A 91 05 24 B0 12 AE 61 2A 41 B0 12
+20 5C 3A 41 38 41 98 42 26 20 00 1E 92 93 02 20
+03 24 98 42 28 20 02 1E B0 12 AE 61 9B 42 26 20
+0E 00 9B 42 28 20 10 00 30 40 46 5D 40 5F 05 57
+52 49 54 45 B0 12 66 63 30 4D 1C 61 07 53 44 5F
+45 4D 49 54 B2 90 00 02 1E 20 02 28 B0 12 66 63
+18 42 1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D
+58 4B 13 00 59 4B 14 00 89 10 09 58 58 4B 15 00
+5B 42 12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83
+0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42 32 20 19 5B
+0A 00 18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A
+03 00 1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01
+82 4A 1E 20 B0 12 E2 5D 30 4D 0C 93 38 20 38 90
+E0 01 03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C
+00 1E B0 12 5A 63 B0 12 E4 5C 82 4A 2A 20 0B 4A
+B0 12 20 5C 1A 48 00 1E 88 43 00 1E 92 93 02 20
+09 24 19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90
+FF 0F 02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20
+B0 12 E4 5C 0B 9A E6 27 0A 12 0A 4B B0 12 AE 61
+3A 41 DD 3F 0A 4B B0 12 AE 61 B0 12 CE 5E 30 4D
+70 4E 08 54 45 52 4D 32 53 44 22 00 87 12 54 5F
+34 40 02 00 80 43 F0 48 A2 5F EC 64 3D 41 92 C3
+DC 05 08 43 B0 12 8E 44 92 B3 DC 05 FD 27 59 42
+CC 05 69 92 0D 24 C8 49 00 1E 18 53 38 90 FF 01
+F3 2B 03 24 B0 12 66 63 EC 3F B0 12 9A 44 EC 3F
+B0 12 9A 44 82 48 1E 20 B0 12 CE 5E 3D 41 30 4D
+B0 4D 0A 7B 53 44 5F 54 4F 4F 4C 53 7D 00 30 4D
+DC 63 06 53 45 43 54 4F 52 00 09 4E 2A 4F B0 12
+26 5C 87 12 54 42 E6 42 00 43 BE 45 86 45 34 40
+00 1E 34 40 00 02 40 67 2A 40 5A 5F 07 43 4C 55
+53 54 45 52 82 4E 24 20 A2 4F 22 20 B0 12 06 5D
+9F 42 1A 20 00 00 1E 42 1C 20 DF 3F CE 4F 03 46
+41 54 2F 82 8F 4E 02 00 9F 42 08 20 00 00 0E 43
+D4 3F 4E 5F 03 44 49 52 2F 82 8F 4E 02 00 92 42
+2C 20 22 20 92 42 2E 20 24 20 E0 3F 32 65 07 7B
+54 4F 4F 4C 53 7D 30 4D 74 54 03 41 4E 44 3E FF
+30 4D 2C 50 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
+8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
+06 00 2E 8F 0E 11 87 12 34 40 3C 00 50 45 6A 43
+34 40 08 00 50 45 34 40 3E 00 50 45 86 45 8A 40
+8A 40 96 41 C2 41 1E 66 62 40 62 40 2A 40 C8 41
+00 42 F2 40 36 43 34 40 02 00 D8 41 20 66 2A 40
+D4 65 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
+E0 1C D3 3F 42 47 01 3F 2E 4E 30 40 36 43 B8 50
+03 50 41 44 85 12 E4 1C CE 63 05 57 4F 52 44 53
+87 12 00 46 34 40 03 00 9C 45 34 40 D0 1D F2 40
+54 66 34 40 10 00 44 40 20 41 5E 4F 34 40 00 00
+44 40 34 40 10 00 44 40 20 41 34 40 00 00 C8 41
+44 40 00 42 54 66 20 41 F2 40 AC 41 B8 41 AE 66
+62 40 62 40 00 42 44 40 54 66 20 41 F2 40 34 40
+02 00 D8 41 90 66 54 40 B8 41 F0 66 44 40 34 40
+02 00 28 41 F2 40 9A 40 54 66 20 41 FA 40 44 40
+F0 48 34 40 7F 00 CE 65 BE 45 08 41 34 40 0F 00
+CE 65 34 40 10 00 78 40 28 41 9C 45 B4 41 7C 66
+62 40 2A 40 68 5F 03 4D 41 58 2E 9F 07 38 2F 53
+30 4D F6 66 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D
+D2 64 03 55 2E 52 87 12 B0 40 54 42 34 40 00 00
+B4 42 E6 42 00 43 BC 40 8A 40 28 41 34 40 00 00
+FA 66 9C 45 BE 45 2A 40 A4 65 04 44 55 4D 50 00
+0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F B0 12
+2A 40 78 40 8A 40 8A 40 36 43 34 40 01 00 28 41
+36 43 34 40 FE FF CE 65 C8 41 00 46 00 42 34 40
+07 00 16 67 86 45 00 42 34 40 10 00 20 41 00 42
+C8 41 00 42 08 41 34 40 03 00 16 67 EA 41 82 67
+86 45 86 45 00 42 34 40 10 00 20 41 00 42 C8 41
+00 42 08 41 34 40 7E 00 08 67 32 42 FA 66 50 45
+EA 41 A0 67 34 40 10 00 D8 41 6A 67 BC 40 3E 42
+FA 40 2A 40 BE 65 0A 7B 46 49 58 50 4F 49 4E 54
+7D 00 30 4D 32 54 05 48 4F 4C 44 53 39 4F 09 5E
+18 42 B2 1D 19 83 1E 83 04 28 18 83 E8 49 00 00
+F9 3F 82 48 B2 1D 3E 4F 30 4D 8E 65 02 46 2B 00
+BF 5F 02 00 3E 6F 30 4D FC 67 02 46 2D 00 BF 8F
+02 00 8F 7E 00 00 3E 4F 30 4D 0A 68 02 46 2F 00
+1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F 02 00
+39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63 3E B0
+40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20 09 96
+02 28 09 86 0A 7E 07 67 04 64 15 83 09 30 0B 5B
+08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F
+2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 30 4D 1C 68 03 46
+23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00 2B 42
+B2 90 0A 00 E2 1D 01 20 1B 53 0C 43 A2 4F C0 04
+92 42 E2 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04
+3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E
+90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40
+90 1D 75 3F 9E 68 02 46 2A 00 92 4F 04 00 D4 04
+92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52
+00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D
+F6 68 02 46 2E 00 87 12 54 42 44 40 B0 40 4C 41
+A2 68 34 40 2C 00 16 43 E6 42 BC 40 24 43 00 43
+BE 45 86 45 2A 40 42 65 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 48 69 02 32 40 00 2F 83 9F 4E 02 00
+00 00 2E 4E 30 4D 56 69 09 32 43 4F 4E 53 54 41
+4E 54 87 12 78 4C A8 48 A8 48 90 4C 5A 69 2A 40
+@FFFE
+8A 51
+q
diff --git a/MSP_EXP430FR5994_16MHz_6Mbds_SD_BOOT.txt b/MSP_EXP430FR5994_16MHz_6Mbds_SD_BOOT.txt
deleted file mode 100644 (file)
index d138029..0000000
+++ /dev/null
@@ -1,654 +0,0 @@
-@1800
-10 00 14 45 80 3E 60 EA 05 00 18 00 70 68 EC 54
-D4 44 E6 44 E4 5C 22 5D
-@4000
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 40
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 40 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 40 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 40 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 40 02 3E 52 00
-0E 12 3E 4F 30 4D 96 40 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 40 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 40 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 40 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 E8 45 B0 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 E8 45 B0 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-36 5E 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 4C 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 40 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 82 48 CE 05 30 4D
-42 45 2D 83 92 B3 DC 05 E7 23 FC 27 B2 40 18 00
-0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41
-30 4D 96 44 06 28 45 4D 49 54 29 00 08 4E 3E 4F
-E4 3F 90 43 04 45 4D 49 54 00 30 40 6C 45 74 45
-04 45 43 48 4F 00 B2 40 82 48 3A 45 82 43 E4 1D
-30 4D AE 43 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
-3A 45 92 43 E4 1D 30 4D 64 43 05 53 50 41 43 45
-2F 83 8F 4E 00 00 3E 40 20 00 DF 3F AA 45 06 53
-50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40 D2 45
-EF 3F D4 45 2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D
-78 43 04 54 59 50 45 00 0E 93 BE 24 1E 15 3D 40
-FE 45 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F 00 46
-2D 83 91 83 02 00 F5 23 1D 17 AE 3C 64 45 04 28
-43 52 29 00 87 12 2A 46 02 0D 0A 00 E8 45 2A 40
-E4 43 02 43 52 00 30 40 14 46 2F 82 8F 4E 02 00
-7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D 22 46
-07 43 41 50 53 5F 4F 4E B2 43 B4 1D 30 4D 40 46
-08 43 41 50 53 5F 4F 46 46 00 82 43 B4 1D 30 4D
-BE 45 82 53 22 00 87 12 34 40 2A 46 D6 48 5A 46
-34 40 22 00 AA 46 48 46 7A 46 3D 41 6E 4E 1E 83
-82 5E CC 1D 3E 4F 92 B3 CC 1D A2 63 CC 1D 30 4D
-94 45 82 2E 22 00 87 12 66 46 34 40 E8 45 D6 48
-2A 40 00 00 04 57 4F 52 44 00 3C 40 C6 1D 39 4C
-3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27
-1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24 7C 4A
-4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 B4 1D F3 27
-7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82 C8 1D
-82 4A CA 1D 1E 42 CC 1D 08 8E CE 48 00 00 30 4D
-00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C 74 40
-80 00 3B 40 D0 1D 3E 4B 0E 93 1E 24 58 4C 01 00
-78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93 F3 27
-09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53 FA 99
-00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49 6A 4E
-1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40 08 40
-34 40 14 40 30 4D 2F 53 2F 53 3E 4F 30 4D 74 42
-07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
-1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
-7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
-D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
-E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
-8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
-32 C0 00 02 2D 15 09 43 08 43 3D 40 1A 48 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
-5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
-5A 93 B8 23 B1 43 02 00 CE 3F 1C 48 0E 93 32 24
-32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
-02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
-09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
-30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
-0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
-B0 42 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
-0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
-06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
-00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
-9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
-30 4D 80 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
-00 4A 26 41 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
-00 00 3E 4F 30 4D D4 48 87 4C 49 54 45 52 41 4C
-82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
-34 40 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
-00 02 F1 23 30 4D 50 46 05 43 4F 55 4E 54 2F 83
-1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E C6 1D
-B2 4F C8 1D 3E 4F 82 43 CA 1D 87 12 6E 42 AA 46
-42 49 3D 40 4E 49 E0 22 3E 4F 3D 41 30 4D 50 49
-0A 4E 3E 4F 3D 40 66 49 38 27 3D 40 3C 49 1A E2
-BE 1D B9 27 B3 23 68 49 3E 4F 3D 40 3C 49 C0 23
-DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-64 4C CD 3F C4 48 08 45 56 41 4C 55 41 54 45 00
-39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40
-2C 49 A4 49 B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
-3D 41 30 4D 18 49 04 42 4F 4F 54 00 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 82 93 08 18 29 24
-E2 B2 60 02 26 20 2F 83 8F 4E 00 00 1E 42 08 18
-82 43 08 18 B0 12 2A 40 2A 46 0F 4C 4F 41 44 22
-20 42 4F 4F 54 2E 34 54 48 22 DC 41 3E 4A 4C 42
-04 51 55 49 54 00 30 40 BC 49 0E 46 06 28 51 55
-49 54 29 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A 40 2A 46 05 0D 0A 6F
-6B 20 E8 45 5A 42 44 40 64 42 90 44 B0 45 2C 49
-DE 40 92 41 2A 46 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 DA 4A 34 40 30 FF C6 43 D2 41 2A 46
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 DA 4A 90 42
-F2 40 E0 41 2A 4A 2A 46 05 0D 0A 20 20 20 DC 41
-32 4A B2 40 D6 51 3A 52 B2 40 0E 45 02 45 B2 40
-6C 45 7C 45 B2 40 14 46 28 46 B2 40 00 44 26 44
-B2 40 A0 44 92 44 B2 40 3C 1D 5C 42 82 43 AE 5B
-82 43 BA 5B 82 43 C6 5B 82 43 F6 5B 82 43 02 5C
-82 43 0E 5C B2 40 0A 00 E2 1D 30 41 88 44 05 41
-42 4F 52 54 3F 40 80 1C 96 3F 8F 93 02 00 43 26
-B2 40 82 48 3A 45 1B 42 32 20 0B 93 04 24 CB 43
-02 00 2B 4B FA 3F B0 12 8E 4A A2 B3 DC 05 FD 27
-B2 40 11 00 CE 05 E2 C2 23 02 92 C3 DC 05 38 40
-A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3
-DC 05 F3 23 87 12 2A 46 04 1B 5B 37 6D 00 E8 45
-34 40 E4 1D F2 40 54 40 E0 41 50 4B 2A 46 05 6C
-69 6E 65 3A E8 45 34 40 01 00 28 41 7C 43 86 45
-E8 45 2A 46 04 1B 5B 30 6D 00 E8 45 4C 51 48 46
-D4 4A CE 4A 86 41 42 4F 52 54 22 00 87 12 66 46
-34 40 DA 4A D6 48 2A 40 02 47 01 27 87 12 6E 42
-AA 46 08 47 E0 41 8A 4B 2A 40 70 49 96 42 81 5C
-92 42 C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D
-30 4D 8E 4B 01 5D B2 43 BE 1D 30 4D 9A 4B 83 5B
-27 5D 87 12 7C 4B 34 40 34 40 D6 48 D6 48 2A 40
-BE 4F 02 00 3E 4F 30 4D 0C 4A 82 49 53 00 87 12
-90 42 F2 40 E0 41 E2 4B B2 4B 34 40 C0 4B D6 48
-2A 40 7C 4B C0 4B 2A 40 CA 4B 09 49 4D 4D 45 44
-49 41 54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D
-62 46 87 52 45 43 55 52 53 45 19 42 CC 1D 99 42
-BA 1D 00 00 A2 53 CC 1D 30 4D 00 4A 88 50 4F 53
-54 50 4F 4E 45 00 87 12 6E 42 AA 46 08 47 54 40
-E0 41 8A 4B 92 41 E0 41 46 4C 34 40 34 40 D6 48
-D6 48 34 40 D6 48 D6 48 2A 40 82 9F BC 1D 34 25
-87 12 2A 46 0F 73 74 61 63 6B 20 6D 69 73 6D 61
-74 63 68 21 E0 4A 87 12 86 46 6E 42 AA 46 70 4C
-08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E
-3E F0 1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A
-BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 A4 46
-08 56 41 52 49 41 42 4C 45 00 B0 12 66 4C BA 40
-86 12 FC FF 05 3D B6 49 08 43 4F 4E 53 54 41 4E
-54 00 B0 12 66 4C BA 40 85 12 FC FF 8A 4E FE FF
-3E 4F F6 3C B8 4C 06 43 52 45 41 54 45 00 B0 12
-66 4C BA 40 85 12 FC FF 8A 4A FE FF E9 3C 86 49
-05 44 4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00
-8A 4D 02 00 3D 41 30 4D F0 4C 05 44 45 46 45 52
-B0 12 66 4C BA 40 30 40 FC FF BA 40 06 4D FE FF
-CF 3C AE 4B 01 3A B0 12 66 4C BA 40 87 12 FC FF
-A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D 24 4D
-81 3B 82 93 BE 1D 24 27 87 12 34 40 2A 40 D6 48
-4A 4C 9C 4B 2A 40 D6 4C 07 43 4F 4D 50 41 52 45
-0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83
-07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C
-3E 43 30 4D 1E 43 30 4D 40 4D 86 5B 54 48 45 4E
-5D 00 30 4D 1E 83 30 4D 8A 4D 86 5B 45 4C 53 45
-5D 00 87 12 34 40 01 00 6E 42 AA 46 1E 49 44 40
-E0 41 10 4E 8A 40 8A 40 2A 46 04 5B 49 46 5D 00
-60 4D EA 41 CE 4D 68 47 2C 41 DC 41 04 4E 8A 40
-8A 40 2A 46 06 5B 45 4C 53 45 5D 00 60 4D EA 41
-F2 4D 68 47 94 4D 44 40 E0 41 EE 4D 2C 41 DC 41
-04 4E 2A 46 06 5B 54 48 45 4E 5D 00 60 4D EA 41
-04 4E 94 4D 54 40 EA 41 0C 4E 2A 40 DC 41 A8 4D
-68 47 2A 46 05 0D 0A 6B 6F 20 E8 45 5A 42 44 40
-64 42 90 44 26 4E 82 43 CA 1D 82 4E C6 1D B2 4F
-C8 1D 3E 4F 3D 40 A8 4D 30 4D 9A 4D 84 5B 49 46
-5D 00 0E 93 3E 4F AD 27 30 4D 3C 4E 8B 5B 55 4E
-44 45 46 49 4E 45 44 5D 87 12 6E 42 AA 46 08 47
-6C 40 86 41 2A 40 4C 4E 89 5B 44 45 46 49 4E 45
-44 5D 87 12 6E 42 AA 46 08 47 6C 40 2A 40 80 4E
-3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D E8 48
-06 4D 41 52 4B 45 52 00 B0 12 66 4C BA 40 84 12
-FC FF BA 40 7E 4E FE FF 9A 42 CE 1D 00 00 28 83
-8A 48 02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D
-A8 49 FE FF 89 48 00 00 30 4D EA 4B 82 49 46 00
-2F 83 8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40
-E0 41 00 00 2E 53 30 4D 0A 4D 84 45 4C 53 45 00
-A2 52 CC 1D 1A 42 CC 1D BA 40 DC 41 FC FF 8E 4A
-00 00 2A 83 0E 4A 30 4D E2 45 84 54 48 45 4E 00
-9E 42 CC 1D 00 00 3E 4F 30 4D 58 4D 85 42 45 47
-49 4E 30 40 C6 43 0A 4F 85 55 4E 54 49 4C 39 40
-E0 41 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
-FE FF 3E 4F 30 4D 64 4B 85 41 47 41 49 4E 39 40
-DC 41 EF 3F A0 4C 85 57 48 49 4C 45 87 12 D0 4E
-78 40 2A 40 02 4C 86 52 45 50 45 41 54 00 87 12
-4E 4F 10 4F 2A 40 EA 4E 82 44 4F 00 2F 83 8F 4E
-00 00 A2 53 CC 1D 1E 42 CC 1D BE 40 F0 41 FE FF
-A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D 90 4E
-84 4C 4F 4F 50 00 39 40 12 42 A2 52 CC 1D 1A 42
-CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83
-00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
-30 4D 20 44 85 2B 4C 4F 4F 50 39 40 00 42 E5 3F
-A0 4F 85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 42
-00 00 BA 40 DC 41 02 00 B2 50 06 00 CC 1D A2 53
-00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D E2 4F
-04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
-11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
-FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
-1A 83 FA 23 30 4D 56 4F 0A 56 4F 43 41 42 55 4C
-41 52 59 00 87 12 DE 4C 34 40 10 00 34 40 00 00
-F0 41 34 40 00 00 D6 48 12 42 62 50 C6 43 34 40
-CE 1D 44 40 F2 40 D6 48 FA 40 F6 4C 34 40 D0 1D
-FA 40 2A 40 7A 4B 05 46 4F 52 54 48 84 12 7C 50
-32 55 2A 66 E6 67 4C 66 F0 54 D4 4F B0 67 E8 66
-70 51 00 66 BE 67 06 67 46 41 7C 66 A4 4B FC 66
-00 00 48 4F 04 41 4C 53 4F 00 3A 40 0E 00 39 40
-D0 1D 38 40 D2 1D B6 3F 1C 4C 08 50 52 45 56 49
-4F 55 53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D
-A3 3F 92 46 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D
-78 4F 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
-D0 1D E0 1D 30 4D 8C 50 EA 50 FE 50 0E 51 3A 4E
-82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A
-08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
-1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
-CA 50 09 50 57 52 5F 53 54 41 54 45 84 12 06 51
-EC 54 70 68 66 4F 09 52 53 54 5F 53 54 41 54 45
-92 42 0E 18 50 51 92 42 0C 18 52 51 EF 3F 42 51
-08 50 57 52 5F 48 45 52 45 00 92 42 CE 1D 50 51
-92 42 CC 1D 52 51 30 4D 56 51 08 52 53 54 5F 48
-45 52 45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18
-EC 3F 48 50 04 57 49 50 45 00 39 40 80 FF B9 43
-00 00 29 53 39 90 B4 FF FA 23 B0 12 82 4A B2 40
-70 68 0C 18 B2 40 EC 54 0E 18 CA 3F CC 4E 06 28
-57 41 52 4D 29 00 1E 42 08 18 87 12 2A 46 06 0D
-1B 5B 37 6D 23 00 E8 45 B0 43 2A 46 27 20 46 61
-73 74 46 6F 72 74 68 20 56 32 30 33 20 31 36 4D
-48 7A 20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72
-65 6E 73 20 E8 45 34 40 30 FF C6 43 28 41 7C 43
-2A 46 0B 62 79 74 65 73 20 66 72 65 65 20 F6 4A
-A4 51 04 57 41 52 4D 00 30 40 D6 51 1C 4F 04 43
-4F 4C 44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01
-B2 D0 03 00 04 02 B2 40 FC FF 02 02 B2 C0 03 00
-06 02 B2 D0 00 04 24 02 B2 D3 26 02 B2 43 22 02
-B2 43 42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02
-F2 43 26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40
-10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40
-48 00 62 01 82 43 66 01 39 40 80 00 B2 40 33 00
-64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
-01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
-B2 42 B0 01 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04
-F2 C0 40 00 A2 04 3A 40 4A 52 39 40 B4 FF 89 4A
-00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00
-0A 18 39 40 00 1C 89 43 00 00 29 53 39 90 FF 2B
-FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 40 36 40
-BC 40 35 40 08 40 34 40 14 40 B2 40 0A 00 E2 1D
-B2 43 B4 1D 92 C3 30 01 18 42 08 18 E2 B3 01 02
-04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05
-A2 43 C6 05 B2 40 00 B6 C8 05 F2 D0 03 00 0D 02
-92 C3 C0 05 92 D3 DA 05 3D 40 82 53 18 42 08 18
-38 90 0A 00 1A 27 38 90 16 00 17 2F 28 93 F0 22
-E5 26 84 53 E2 B2 60 02 57 23 B2 40 81 A9 40 06
-B2 40 30 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02
-92 C3 40 06 39 42 B0 12 BC 5C D2 C3 23 02 2C 42
-B2 40 95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12
-80 5C 02 24 30 40 5A 5D B0 12 BA 5C 7A 93 FC 23
-B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48 18 20
-1A 43 B0 12 80 5C 29 42 B0 12 BC 5C 92 43 14 20
-82 43 16 20 78 43 3C 42 B2 40 00 77 18 20 1A 43
-B0 12 80 5C B2 40 40 69 18 20 B0 12 76 5C 03 24
-58 83 F2 23 D7 3F 0C 5C A2 43 16 20 B2 40 00 50
-18 20 B0 12 76 5C CE 23 92 D3 40 06 82 43 46 06
-92 C3 40 06 B0 12 E2 5C 38 40 00 1E 92 48 C6 01
-04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20
-7A 80 06 00 0D 24 7A 82 0B 24 A2 43 02 20 6A 53
-07 24 5A 53 05 24 3A 50 0B 20 0C 4A 30 40 60 5D
-B0 12 E2 5C D2 48 0D 00 12 20 19 48 0E 00 82 49
-08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A
-0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24
-82 49 0E 20 39 50 20 00 19 82 12 20 19 82 12 20
-82 49 10 20 92 42 02 20 2C 20 BE 3E B4 50 09 41
-53 53 45 4D 42 4C 45 52 84 12 7C 50 12 5B BE 5B
-C6 5A 12 5C 8C 5A 46 5B 90 57 00 00 82 5A 32 5B
-E4 5A 22 5B A0 58 00 00 00 00 24 5C B0 50 CE 51
-85 48 49 32 4C 4F 87 12 C6 43 E4 4E D6 48 9C 4B
-BA 50 C8 54 2A 40 3E 52 04 43 4F 44 45 00 B0 12
-66 4C A2 82 CC 1D 87 12 38 4D DC 41 00 55 00 00
-07 45 4E 44 43 4F 44 45 87 12 D4 50 4A 4C 2A 40
-BE 54 03 41 53 4D 92 42 E0 1D C0 1D B2 40 CC 54
-E0 1D E5 3F 20 55 06 45 4E 44 41 53 4D 00 92 42
-C0 1D E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
-CC 1D BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D
-30 40 D4 50 00 00 05 4C 4F 32 48 49 1A 42 CC 1D
-BA 40 B0 12 00 00 BA 40 2A 40 02 00 A2 52 CC 1D
-ED 3F 38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D
-09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
-CA 1D 30 4D B0 12 2A 40 AA 46 08 47 EA 41 C8 55
-CA 47 E0 41 8A 4B EA 55 CA 55 29 4E 39 90 86 12
-02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
-30 41 39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D
-92 53 CA 1D B0 12 2A 40 AA 46 CA 47 E0 41 1C 56
-12 56 21 53 3E 90 10 00 BB 2D 30 41 1E 56 B2 41
-CA 1D 22 D3 30 41 87 12 6E 42 92 55 2E 56 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F
-FA 90 23 00 00 00 34 20 92 53 CA 1D B0 12 B4 55
-0E 93 04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20
-B2 40 10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03
-C4 1D 1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C
-3E 92 04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20
-B2 40 30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42
-CC 1D A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-FA 90 26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53
-CA 1D 30 12 9E 56 76 3F FA 90 40 00 00 00 1A 20
-B2 40 20 00 C4 1D 92 53 CA 1D B0 12 FC 55 0E 20
-B2 50 10 00 C4 1D 3E 40 2B 00 B0 12 FC 55 32 24
-92 92 C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E
-C4 1D D3 3F B0 12 FC 55 F9 23 B2 50 10 00 C4 1D
-3E 40 28 00 B0 12 B4 55 30 12 EE 56 67 3F 87 12
-6E 42 92 55 26 57 FE 90 26 00 00 00 3E 40 20 00
-04 20 B2 50 82 00 C4 1D C2 3F B0 12 FC 55 DF 23
-B2 50 80 00 C4 1D 3E 40 28 00 B0 12 B4 55 B0 12
-EC 55 D5 23 3D 40 8A 4B 30 4D FA 44 04 52 45 54
-49 00 87 12 34 40 00 13 D6 48 2A 40 34 40 2C 00
-26 56 1E 57 76 57 2E 4E 1E D2 C4 1D 19 42 C2 1D
-92 3F 76 55 03 4D 4F 56 84 12 6C 57 00 40 84 57
-05 4D 4F 56 2E 42 84 12 6C 57 40 40 00 00 03 41
-44 44 84 12 6C 57 00 50 9E 57 05 41 44 44 2E 42
-84 12 6C 57 40 50 AA 57 04 41 44 44 43 00 84 12
-6C 57 00 60 B8 57 06 41 44 44 43 2E 42 00 84 12
-6C 57 40 60 5C 57 04 53 55 42 43 00 84 12 6C 57
-00 70 D6 57 06 53 55 42 43 2E 42 00 84 12 6C 57
-40 70 E4 57 03 53 55 42 84 12 6C 57 00 80 F4 57
-05 53 55 42 2E 42 84 12 6C 57 40 80 58 55 03 43
-4D 50 84 12 6C 57 00 90 0E 58 05 43 4D 50 2E 42
-84 12 6C 57 40 90 46 55 04 44 41 44 44 00 84 12
-6C 57 00 A0 28 58 06 44 41 44 44 2E 42 00 84 12
-6C 57 40 A0 1A 58 03 42 49 54 84 12 6C 57 00 B0
-46 58 05 42 49 54 2E 42 84 12 6C 57 40 B0 52 58
-03 42 49 43 84 12 6C 57 00 C0 60 58 05 42 49 43
-2E 42 84 12 6C 57 40 C0 6C 58 03 42 49 53 84 12
-6C 57 00 D0 7A 58 05 42 49 53 2E 42 84 12 6C 57
-40 D0 00 00 03 58 4F 52 84 12 6C 57 00 E0 94 58
-05 58 4F 52 2E 42 84 12 6C 57 40 E0 C6 57 03 41
-4E 44 84 12 6C 57 00 F0 AE 58 05 41 4E 44 2E 42
-84 12 6C 57 40 F0 6E 42 26 56 CC 58 1A 42 C4 1D
-B2 F0 70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D
-4A 3F 00 58 03 52 52 43 84 12 C6 58 00 10 E4 58
-05 52 52 43 2E 42 84 12 C6 58 40 10 F0 58 04 53
-57 50 42 00 84 12 C6 58 80 10 FE 58 03 52 52 41
-84 12 C6 58 00 11 0C 59 05 52 52 41 2E 42 84 12
-C6 58 40 11 18 59 03 53 58 54 84 12 C6 58 80 11
-00 00 04 50 55 53 48 00 84 12 C6 58 00 12 32 59
-06 50 55 53 48 2E 42 00 84 12 C6 58 40 12 86 58
-04 43 41 4C 4C 00 84 12 C6 58 80 12 34 40 2C 00
-26 56 1E 57 66 59 59 42 C4 1D 5A 42 C5 1D 82 4A
-C4 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
-0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
-87 12 B0 43 2A 46 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 E0 4A 40 59 05 50 55 53 48 4D 84 12
-5C 59 00 15 A8 59 04 50 4F 50 4D 00 84 12 5C 59
-00 17 6E 42 92 55 C8 59 82 43 C4 1D 92 42 CC 1D
-C2 1D A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12
-2A 40 AA 46 CA 47 E0 41 8A 4B 1E 57 EE 59 0A 4E
-3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 26 59
-04 52 52 43 4D 00 84 12 C2 59 50 00 00 5A 04 52
-52 41 4D 00 84 12 C2 59 50 01 0E 5A 04 52 4C 41
-4D 00 84 12 C2 59 50 02 1C 5A 04 52 52 55 4D 00
-84 12 C2 59 50 03 85 12 00 3C 2A 5A 03 53 3E 3D
-85 12 00 38 3C 5A 02 53 3C 00 85 12 00 34 B6 59
-03 30 3E 3D 85 12 00 30 50 5A 02 30 3C 00 85 12
-00 30 00 00 02 55 3C 00 85 12 00 2C 64 5A 03 55
-3E 3D 85 12 00 28 5A 5A 03 30 3C 3E 85 12 00 24
-78 5A 02 30 3D 00 85 12 00 20 06 45 02 49 46 00
-1A 42 CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D
-6E 5A 04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
-00 00 30 4D 36 58 04 45 4C 53 45 00 1A 42 CC 1D
-BA 40 00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00
-E3 3F A2 5A 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D
-BA 58 05 41 47 41 49 4E 87 12 36 5A EA 5A 2A 40
-00 00 05 57 48 49 4C 45 87 12 90 5A 78 40 2A 40
-46 5A 06 52 45 50 45 41 54 00 87 12 36 5A EA 5A
-A8 5A 2A 40 00 00 03 4A 4D 50 87 12 7C 4B 36 5A
-EA 5A 2A 40 3E B0 00 10 03 20 3E E0 00 04 30 4D
-3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
-00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 54 5B
-7C 4B 78 40 EA 5A 2A 40 8A 5B 3D 41 08 4E 3E 4F
-2A 48 0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43
-00 00 A4 3F 50 59 03 42 57 31 84 12 88 5B 00 00
-A6 5B 03 42 57 32 84 12 88 5B 00 00 B2 5B 03 42
-57 33 84 12 88 5B 00 00 CA 5B 3D 41 1A 42 CC 1D
-28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A
-00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
-57 31 84 12 C8 5B 00 00 EE 5B 03 46 57 32 84 12
-C8 5B 00 00 FA 5B 03 46 57 33 84 12 C8 5B 00 00
-06 5C 04 47 4F 54 4F 00 87 12 36 5A 7C 4B CC 48
-2A 40 76 5B 05 3F 47 4F 54 4F 87 12 54 5B 7C 4B
-CC 48 2A 40 D2 C3 23 02 E2 B2 60 02 02 24 30 40
-44 52 1A 52 04 20 19 62 06 20 92 43 14 20 A2 93
-02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20
-0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20
-89 10 C2 49 18 20 B0 12 BA 5C 7A 93 FC 23 0A 43
-39 40 05 00 D2 49 14 20 4E 06 82 93 46 06 05 24
-92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83
-0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06
-FD 27 5A 92 4C 06 F3 23 30 41 19 43 3A 43 8A 10
-C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27
-C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41 1A 52
-08 20 09 43 1C D3 F2 40 51 00 19 20 B0 12 34 5C
-34 20 B0 12 BA 5C 7A 90 FE FF 04 24 FA 23 D9 42
-4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02
-F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41
-09 43 2C D3 F0 40 58 00 F1 C2 B0 12 34 5C 15 20
-3A 40 FE FF 29 43 B0 12 BE 5C D2 49 00 1E 4E 06
-03 43 19 53 39 90 00 02 F8 23 39 40 03 00 B0 12
-BC 5C 7A C0 E1 00 6A 92 DE 27 8C 10 1C 52 4C 06
-D2 D3 23 02 87 12 2A 46 0B 3C 20 53 44 20 45 72
-72 6F 72 21 76 5D 2F 82 8F 4E 02 00 9F 42 E2 1D
-00 00 B2 40 10 00 E2 1D 0E 4C B0 12 2A 40 7C 43
-84 42 FA 40 E0 4A 92 4B 0E 00 22 20 92 4B 10 00
-24 20 5A 42 23 20 58 42 22 20 92 93 02 20 08 24
-59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10
-08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93
-24 20 03 20 92 93 22 20 14 24 92 42 22 20 D0 04
-92 42 24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04
-1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63
-1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20
-B0 12 C4 5D 5A 4B 03 00 82 5A 1A 20 82 63 1C 20
-30 41 09 93 07 24 F8 90 20 00 00 1E 03 20 18 53
-19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90
-00 02 20 20 A3 20 BB 80 00 02 12 00 8B 73 14 00
-DB 53 03 00 DB 92 12 20 03 00 11 28 CB 43 03 00
-B0 12 96 5D B0 12 DE 5C 8B 43 10 00 9B 48 00 1E
-0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40
-00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20
-7D 2C BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20
-B0 12 04 5E 1A 42 1A 20 19 42 1C 20 1B 3F 3C 42
-3B 40 40 20 09 43 CB 93 02 00 10 24 9B 92 24 20
-0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50
-18 00 3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B
-32 20 8B 49 00 00 49 93 0A 24 99 52 CA 1D 16 00
-4A 93 05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A
-02 00 CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20
-06 00 18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00
-9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E
-10 00 9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43
-1E 20 6A 93 5F 27 C9 37 8B 43 16 00 7A 93 02 24
-0A 38 95 3F B2 40 3C 21 5C 42 B2 40 28 44 92 44
-B2 40 02 21 00 21 18 42 00 21 B2 50 06 00 00 21
-19 42 CA 1D 1A 42 C6 1D 0A 89 88 4A 00 00 19 52
-C8 1D 88 49 02 00 88 4D 04 00 79 3F 1B 42 32 20
-0B 93 A3 27 EB 93 02 00 04 20 B0 12 0E 64 B0 12
-D6 63 5A 4B 02 00 CB 43 02 00 09 4B 2B 4B 82 4B
-32 20 7A 93 07 20 B2 40 3C 1D 5C 42 B2 40 A0 44
-92 44 0A 3C 0B 93 89 27 CB 93 02 00 86 37 92 4B
-16 00 1E 20 B0 12 7E 5E 21 52 2F 53 B2 80 06 00
-00 21 1A 42 00 21 3E 4A BF 4A 00 00 3D 4A 30 4D
-8A 51 85 52 45 41 44 22 5A 43 19 3C 32 52 86 57
-52 49 54 45 22 00 6A 43 12 3C F2 50 84 44 45 4C
-22 00 6A 42 0C 3C 08 55 05 43 4C 4F 53 45 B0 12
-8C 5F 30 4D 10 50 85 4C 4F 41 44 22 7A 43 2F 83
-8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24 87 12 34 40
-34 40 D6 48 D6 48 48 46 66 46 34 40 60 60 D6 48
-2A 40 87 12 34 40 22 00 AA 46 1E 49 5E 60 3D 41
-35 4F 0E 55 82 4E 36 20 1C 43 92 42 2C 20 22 20
-92 42 2E 20 24 20 0E 95 8D 24 F5 90 3A 00 01 00
-01 20 25 53 F5 90 5C 00 00 00 08 20 15 53 92 42
-02 20 22 20 82 43 24 20 0E 95 70 24 82 45 34 20
-B0 12 C4 5D 34 40 20 00 A2 93 02 20 04 24 92 92
-22 20 02 20 02 24 14 42 12 20 B0 12 A4 5E 2C 43
-0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E
-61 24 39 42 F8 95 00 1E 04 20 18 53 19 83 FA 23
-15 53 F5 90 2E 00 FF FF 19 24 39 50 03 00 B0 12
-22 5E 06 20 F5 90 5C 00 FF FF 29 24 0E 95 27 28
-15 42 34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20
-82 63 1C 20 14 83 D1 23 2C 42 3C 3C F5 90 2E 00
-FE FF EE 27 B0 12 22 5E EB 23 39 40 03 00 F8 95
-00 1E 04 20 18 53 19 83 FA 23 09 3C 0E 95 E0 2F
-F5 90 5C 00 FF FF DC 23 B0 12 22 5E D9 23 18 42
-30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0
-10 00 0B 1E 14 24 82 93 24 20 06 20 82 93 22 20
-03 20 92 42 02 20 22 20 0E 95 8E 2F 92 42 22 20
-2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F
-B0 12 AE 5E 34 40 14 40 35 40 08 40 3A 4F 3E 4F
-0A 93 05 24 7A 93 13 20 0C 93 02 20 3D 41 30 4D
-87 12 2A 46 0B 3C 20 4F 70 65 6E 45 72 72 6F 72
-86 45 C6 43 1E 49 E8 45 B0 45 DC 41 74 5D 1A 93
-B6 20 0C 93 ED 23 30 4D F2 5F 04 52 45 41 44 00
-2F 83 8F 4E 00 00 1E 42 32 20 B0 12 36 5E 1E 82
-32 20 30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58
-2A 41 82 9A 0A 20 A1 24 B0 12 DE 5C 09 43 28 93
-03 24 89 93 02 1E 03 20 89 93 00 1E 07 24 09 58
-39 90 00 02 F4 23 91 53 00 00 EA 3F 0C 43 6A 41
-B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11
-8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20
-82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20
-82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52
-08 20 B0 12 20 5D 3A 41 1A 52 0C 20 30 40 20 5D
-F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27
-5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04
-D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04
-19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04
-1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04
-B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C
-2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38
-39 80 03 00 B0 12 2C 63 39 40 03 00 7A 4B C8 4A
-00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00 3C 40
-DC 62 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C E6 27
-3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93 06 24
-F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93
-EB 20 2C 93 0D 24 0C 93 BA 24 87 12 2A 46 0C 3C
-20 57 72 69 74 65 45 72 72 6F 72 00 DC 41 C0 61
-B0 12 F4 61 92 42 26 20 22 20 92 42 28 20 24 20
-B0 12 6C 62 B0 12 A4 5E 18 42 30 20 F8 40 20 00
-0B 1E B0 12 80 62 88 43 0C 1E 88 4A 0E 1E 88 49
-10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42 22 20
-1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 34 20
-82 9B 36 20 CA 27 FB 90 2E 00 00 00 C6 27 39 40
-0B 00 B0 12 FC 62 B0 12 18 64 2A 43 B0 12 AE 5E
-0C 93 BB 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12
-E4 5C B0 12 80 62 18 4B 08 00 88 49 12 1E 88 4A
-16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00
-1E 1E 1A 4B 04 00 19 4B 06 00 30 40 22 5D 9B 52
-1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20
-30 40 22 5D B2 40 00 02 1E 20 1B 42 32 20 B0 12
-0E 64 82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00
-22 20 CB 43 03 00 B0 12 96 5D 08 12 0A 12 B0 12
-F4 61 2A 91 05 24 B0 12 6C 62 2A 41 B0 12 DE 5C
-3A 41 38 41 98 42 26 20 00 1E 92 93 02 20 03 24
-98 42 28 20 02 1E B0 12 6C 62 9B 42 26 20 0E 00
-9B 42 28 20 10 00 30 40 04 5E FE 5F 05 57 52 49
-54 45 B0 12 24 64 30 4D DA 61 07 53 44 5F 45 4D
-49 54 B2 90 00 02 1E 20 02 28 B0 12 24 64 18 42
-1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D 58 4B
-13 00 59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42
-12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11
-FA 2B 0A 11 1C 83 FD 37 1B 42 32 20 19 5B 0A 00
-18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00
-1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A
-1E 20 B0 12 A0 5E 30 4D 0C 93 38 20 38 90 E0 01
-03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E
-B0 12 18 64 B0 12 A2 5D 82 4A 2A 20 0B 4A B0 12
-DE 5C 1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24
-19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F
-02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12
-A2 5D 0B 9A E6 27 0A 12 0A 4B B0 12 6C 62 3A 41
-DD 3F 0A 4B B0 12 6C 62 B0 12 8C 5F 30 4D 28 4F
-08 54 45 52 4D 32 53 44 22 00 87 12 12 60 34 40
-02 00 C6 43 1E 49 60 60 AA 65 3D 41 92 C3 DC 05
-08 43 B0 12 D4 44 92 B3 DC 05 FD 27 59 42 CC 05
-69 92 0D 24 C8 49 00 1E 18 53 38 90 FF 01 F3 2B
-03 24 B0 12 24 64 EC 3F B0 12 E6 44 EC 3F B0 12
-E6 44 82 48 1E 20 B0 12 8C 5F 3D 41 30 4D 68 4E
-0A 7B 53 44 5F 54 4F 4F 4C 53 7D 00 30 4D 9A 64
-06 53 45 43 54 4F 52 00 09 4E 2A 4F B0 12 E4 5C
-87 12 9A 42 2C 43 46 43 E8 45 B0 45 34 40 00 1E
-34 40 00 02 EC 67 2A 40 18 60 07 43 4C 55 53 54
-45 52 82 4E 24 20 A2 4F 22 20 B0 12 C4 5D 9F 42
-1A 20 00 00 1E 42 1C 20 DF 3F 86 50 03 46 41 54
-2F 82 8F 4E 02 00 9F 42 08 20 00 00 0E 43 D4 3F
-0C 60 03 44 49 52 2F 82 8F 4E 02 00 92 42 2C 20
-22 20 92 42 2E 20 24 20 E0 3F F0 65 09 7B 55 54
-49 4C 49 54 59 7D 30 4D E4 50 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40
-3C 00 7A 45 B0 43 34 40 08 00 7A 45 34 40 3E 00
-7A 45 B0 45 8A 40 8A 40 C4 41 EA 41 D4 66 62 40
-62 40 2A 40 F0 41 28 42 F2 40 7C 43 34 40 02 00
-00 42 D6 66 2A 40 8A 66 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 70 47 01 3F 2E 4E
-30 40 7C 43 8C 64 05 57 4F 52 44 53 87 12 26 46
-34 40 03 00 C6 45 34 40 D0 1D F2 40 50 42 34 40
-10 00 44 40 20 41 16 50 34 40 00 00 44 40 34 40
-10 00 44 40 20 41 34 40 00 00 F0 41 44 40 28 42
-50 42 20 41 F2 40 D2 41 E0 41 5A 67 62 40 62 40
-28 42 44 40 50 42 20 41 F2 40 34 40 02 00 00 42
-3C 67 54 40 E0 41 9C 67 44 40 34 40 02 00 28 41
-F2 40 9A 40 50 42 20 41 FA 40 44 40 1E 49 34 40
-7F 00 36 41 E8 45 08 41 34 40 0F 00 36 41 34 40
-10 00 78 40 28 41 C6 45 DC 41 28 67 62 40 2A 40
-26 60 03 4D 41 58 2E 9F 07 38 2F 53 30 4D A2 67
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 90 65 03 55
-2E 52 87 12 B0 40 9A 42 34 40 00 00 FA 42 2C 43
-46 43 BC 40 8A 40 28 41 34 40 00 00 A6 67 C6 45
-E8 45 2A 40 62 66 04 44 55 4D 50 00 0D 12 12 12
-E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A 40 78 40
-8A 40 8A 40 7C 43 34 40 01 00 28 41 7C 43 34 40
-FE FF 36 41 F0 41 26 46 28 42 34 40 07 00 C2 67
-B0 45 28 42 34 40 10 00 20 41 28 42 F0 41 28 42
-08 41 34 40 03 00 C2 67 12 42 2E 68 B0 45 B0 45
-28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
-34 40 7E 00 B4 67 6E 42 A6 67 7A 45 12 42 4C 68
-34 40 10 00 00 42 16 68 BC 40 84 42 FA 40 2A 40
-@FFFE
-4A 52
-q
diff --git a/MSP_EXP430FR5994_16MHz_6Mbds_SD_CARD.txt b/MSP_EXP430FR5994_16MHz_6Mbds_SD_CARD.txt
deleted file mode 100644 (file)
index 27549cf..0000000
+++ /dev/null
@@ -1,649 +0,0 @@
-@1800
-10 00 14 45 80 3E 60 EA 05 00 18 00 18 68 94 54
-D4 44 E6 44 8C 5C CA 5C
-@4000
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 40
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 40 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 40 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 40 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 40 02 3E 52 00
-0E 12 3E 4F 30 4D 96 40 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 40 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 40 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 40 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 E8 45 B0 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 E8 45 B0 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-DE 5D 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 4C 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 40 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 82 48 CE 05 30 4D
-42 45 2D 83 92 B3 DC 05 E7 23 FC 27 B2 40 18 00
-0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41
-30 4D 96 44 06 28 45 4D 49 54 29 00 08 4E 3E 4F
-E4 3F 90 43 04 45 4D 49 54 00 30 40 6C 45 74 45
-04 45 43 48 4F 00 B2 40 82 48 3A 45 82 43 E4 1D
-30 4D AE 43 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
-3A 45 92 43 E4 1D 30 4D 64 43 05 53 50 41 43 45
-2F 83 8F 4E 00 00 3E 40 20 00 DF 3F AA 45 06 53
-50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40 D2 45
-EF 3F D4 45 2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D
-78 43 04 54 59 50 45 00 0E 93 BE 24 1E 15 3D 40
-FE 45 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F 00 46
-2D 83 91 83 02 00 F5 23 1D 17 AE 3C 64 45 04 28
-43 52 29 00 87 12 2A 46 02 0D 0A 00 E8 45 2A 40
-E4 43 02 43 52 00 30 40 14 46 2F 82 8F 4E 02 00
-7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D 22 46
-07 43 41 50 53 5F 4F 4E B2 43 B4 1D 30 4D 40 46
-08 43 41 50 53 5F 4F 46 46 00 82 43 B4 1D 30 4D
-BE 45 82 53 22 00 87 12 34 40 2A 46 D6 48 5A 46
-34 40 22 00 AA 46 48 46 7A 46 3D 41 6E 4E 1E 83
-82 5E CC 1D 3E 4F 92 B3 CC 1D A2 63 CC 1D 30 4D
-94 45 82 2E 22 00 87 12 66 46 34 40 E8 45 D6 48
-2A 40 00 00 04 57 4F 52 44 00 3C 40 C6 1D 39 4C
-3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27
-1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24 7C 4A
-4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 B4 1D F3 27
-7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82 C8 1D
-82 4A CA 1D 1E 42 CC 1D 08 8E CE 48 00 00 30 4D
-00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C 74 40
-80 00 3B 40 D0 1D 3E 4B 0E 93 1E 24 58 4C 01 00
-78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93 F3 27
-09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53 FA 99
-00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49 6A 4E
-1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40 08 40
-34 40 14 40 30 4D 2F 53 2F 53 3E 4F 30 4D 74 42
-07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
-1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
-7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
-D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
-E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
-8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
-32 C0 00 02 2D 15 09 43 08 43 3D 40 1A 48 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
-5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
-10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
-5A 93 B8 23 B1 43 02 00 CE 3F 1C 48 0E 93 32 24
-32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
-02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
-09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
-30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
-0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
-B0 42 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
-0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
-06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
-00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
-9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
-30 4D 80 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
-00 4A 26 41 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
-00 00 3E 4F 30 4D D4 48 87 4C 49 54 45 52 41 4C
-82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
-34 40 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
-00 02 F1 23 30 4D 50 46 05 43 4F 55 4E 54 2F 83
-1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E C6 1D
-B2 4F C8 1D 3E 4F 82 43 CA 1D 87 12 6E 42 AA 46
-42 49 3D 40 4E 49 E0 22 3E 4F 3D 41 30 4D 50 49
-0A 4E 3E 4F 3D 40 66 49 38 27 3D 40 3C 49 1A E2
-BE 1D B9 27 B3 23 68 49 3E 4F 3D 40 3C 49 C0 23
-DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-0C 4C CD 3F C4 48 08 45 56 41 4C 55 41 54 45 00
-39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40
-2C 49 A4 49 B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
-3D 41 30 4D 4C 42 04 51 55 49 54 00 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12
-2A 40 2A 46 05 0D 0A 6F 6B 20 E8 45 5A 42 44 40
-64 42 90 44 B0 45 2C 49 DE 40 92 41 2A 46 0D 73
-74 61 63 6B 20 65 6D 70 74 79 21 20 82 4A 34 40
-30 FF C6 43 D2 41 2A 46 0B 46 52 41 4D 20 66 75
-6C 6C 21 20 82 4A 90 42 F2 40 E0 41 D2 49 2A 46
-05 0D 0A 20 20 20 DC 41 DA 49 B2 40 7E 51 E2 51
-B2 40 0E 45 02 45 B2 40 6C 45 7C 45 B2 40 14 46
-28 46 B2 40 00 44 26 44 B2 40 A0 44 92 44 B2 40
-3C 1D 5C 42 82 43 56 5B 82 43 62 5B 82 43 6E 5B
-82 43 9E 5B 82 43 AA 5B 82 43 B6 5B B2 40 0A 00
-E2 1D 30 41 88 44 05 41 42 4F 52 54 3F 40 80 1C
-9D 3F 8F 93 02 00 6F 26 B2 40 82 48 3A 45 1B 42
-32 20 0B 93 04 24 CB 43 02 00 2B 4B FA 3F B0 12
-36 4A A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2
-23 02 92 C3 DC 05 38 40 A0 AA 39 42 03 43 19 83
-FD 23 18 83 FA 23 92 B3 DC 05 F3 23 87 12 2A 46
-04 1B 5B 37 6D 00 E8 45 34 40 E4 1D F2 40 54 40
-E0 41 F8 4A 2A 46 05 6C 69 6E 65 3A E8 45 34 40
-01 00 28 41 7C 43 86 45 E8 45 2A 46 04 1B 5B 30
-6D 00 E8 45 F4 50 48 46 7C 4A 76 4A 86 41 42 4F
-52 54 22 00 87 12 66 46 34 40 82 4A D6 48 2A 40
-02 47 01 27 87 12 6E 42 AA 46 08 47 E0 41 32 4B
-2A 40 70 49 96 42 81 5C 92 42 C6 1D CA 1D 30 4D
-00 00 81 5B 82 43 BE 1D 30 4D 36 4B 01 5D B2 43
-BE 1D 30 4D 42 4B 83 5B 27 5D 87 12 24 4B 34 40
-34 40 D6 48 D6 48 2A 40 BE 4F 02 00 3E 4F 30 4D
-0E 46 82 49 53 00 87 12 90 42 F2 40 E0 41 8A 4B
-5A 4B 34 40 68 4B D6 48 2A 40 24 4B 68 4B 2A 40
-72 4B 09 49 4D 4D 45 44 49 41 54 45 1A 42 B6 1D
-FA D0 80 00 00 00 30 4D 62 46 87 52 45 43 55 52
-53 45 19 42 CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D
-30 4D B6 49 88 50 4F 53 54 50 4F 4E 45 00 87 12
-6E 42 AA 46 08 47 54 40 E0 41 32 4B 92 41 E0 41
-EE 4B 34 40 34 40 D6 48 D6 48 34 40 D6 48 D6 48
-2A 40 82 9F BC 1D 34 25 87 12 2A 46 0F 73 74 61
-63 6B 20 6D 69 73 6D 61 74 63 68 21 88 4A 87 12
-86 46 6E 42 AA 46 18 4C 08 4E 7A 4E 5A D3 5A 53
-0A 58 19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48
-B6 1D 82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D
-3E 4F 3D 41 30 41 A4 46 08 56 41 52 49 41 42 4C
-45 00 B0 12 0E 4C BA 40 86 12 FC FF 05 3D 18 49
-08 43 4F 4E 53 54 41 4E 54 00 B0 12 0E 4C BA 40
-85 12 FC FF 8A 4E FE FF 3E 4F F6 3C 60 4C 06 43
-52 45 41 54 45 00 B0 12 0E 4C BA 40 85 12 FC FF
-8A 4A FE FF E9 3C 86 49 05 44 4F 45 53 3E 1A 42
-BA 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D
-98 4C 05 44 45 46 45 52 B0 12 0E 4C BA 40 30 40
-FC FF BA 40 AE 4C FE FF CF 3C 56 4B 01 3A B0 12
-0E 4C BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D
-82 4F BC 1D 30 4D CC 4C 81 3B 82 93 BE 1D 24 27
-87 12 34 40 2A 40 D6 48 F2 4B 44 4B 2A 40 7E 4C
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-E8 4C 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-32 4D 86 5B 45 4C 53 45 5D 00 87 12 34 40 01 00
-6E 42 AA 46 1E 49 44 40 E0 41 B8 4D 8A 40 8A 40
-2A 46 04 5B 49 46 5D 00 08 4D EA 41 76 4D 68 47
-2C 41 DC 41 AC 4D 8A 40 8A 40 2A 46 06 5B 45 4C
-53 45 5D 00 08 4D EA 41 9A 4D 68 47 3C 4D 44 40
-E0 41 96 4D 2C 41 DC 41 AC 4D 2A 46 06 5B 54 48
-45 4E 5D 00 08 4D EA 41 AC 4D 3C 4D 54 40 EA 41
-B4 4D 2A 40 DC 41 50 4D 68 47 2A 46 05 0D 0A 6B
-6F 20 E8 45 5A 42 44 40 64 42 90 44 CE 4D 82 43
-CA 1D 82 4E C6 1D B2 4F C8 1D 3E 4F 3D 40 50 4D
-30 4D 42 4D 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D E4 4D 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 6E 42 AA 46 08 47 6C 40 86 41 2A 40 F4 4D
-89 5B 44 45 46 49 4E 45 44 5D 87 12 6E 42 AA 46
-08 47 6C 40 2A 40 28 4E 3D 41 B2 4E 0E 18 A2 4E
-0C 18 3E 4F 69 3D E8 48 06 4D 41 52 4B 45 52 00
-B0 12 0E 4C BA 40 84 12 FC FF BA 40 26 4E FE FF
-9A 42 CE 1D 00 00 28 83 8A 48 02 00 A2 52 CC 1D
-18 42 B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00
-30 4D 92 4B 82 49 46 00 2F 83 8F 4E 00 00 1E 42
-CC 1D A2 52 CC 1D BE 40 E0 41 00 00 2E 53 30 4D
-B2 4C 84 45 4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D
-BA 40 DC 41 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
-E2 45 84 54 48 45 4E 00 9E 42 CC 1D 00 00 3E 4F
-30 4D 00 4D 85 42 45 47 49 4E 30 40 C6 43 B2 4E
-85 55 4E 54 49 4C 39 40 E0 41 A2 52 CC 1D 1A 42
-CC 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 0C 4B
-85 41 47 41 49 4E 39 40 DC 41 EF 3F 48 4C 85 57
-48 49 4C 45 87 12 78 4E 78 40 2A 40 AA 4B 86 52
-45 50 45 41 54 00 87 12 F6 4E B8 4E 2A 40 92 4E
-82 44 4F 00 2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42
-CC 1D BE 40 F0 41 FE FF A2 53 00 1C 1A 42 00 1C
-8A 43 00 00 30 4D 38 4E 84 4C 4F 4F 50 00 39 40
-12 42 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
-FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
-8E 4A 00 00 F6 3F 3E 4F 30 4D 20 44 85 2B 4C 4F
-4F 50 39 40 00 42 E5 3F 48 4F 85 4C 45 41 56 45
-1A 42 CC 1D BA 40 22 42 00 00 BA 40 DC 41 02 00
-B2 50 06 00 CC 1D A2 53 00 1C 2A 52 19 42 00 1C
-89 4A 00 00 30 4D 8A 4F 04 4D 4F 56 45 00 0A 4E
-38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
-F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
-19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D FE 4E
-0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 86 4C
-34 40 10 00 34 40 00 00 F0 41 34 40 00 00 D6 48
-12 42 0A 50 C6 43 34 40 CE 1D 44 40 F2 40 D6 48
-FA 40 9E 4C 34 40 D0 1D FA 40 2A 40 22 4B 05 46
-4F 52 54 48 84 12 24 50 DA 54 D2 65 8E 67 F4 65
-98 54 7C 4F 58 67 90 66 18 51 A8 65 66 67 AE 66
-46 41 24 66 4C 4B A4 66 00 00 F0 4E 04 41 4C 53
-4F 00 3A 40 0E 00 39 40 D0 1D 38 40 D2 1D B6 3F
-C4 4B 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
-39 40 D2 1D 38 40 D0 1D A3 3F 92 46 04 4F 4E 4C
-59 00 82 43 D2 1D 30 4D 20 4F 0B 44 45 46 49 4E
-49 54 49 4F 4E 53 92 42 D0 1D E0 1D 30 4D 34 50
-92 50 A6 50 B6 50 3A 4E 82 4A CE 1D 2E 4E 82 4E
-CC 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
-0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
-F0 23 3E 4F 3D 41 30 4D 72 50 09 50 57 52 5F 53
-54 41 54 45 84 12 AE 50 94 54 18 68 0E 4F 09 52
-53 54 5F 53 54 41 54 45 92 42 0E 18 F8 50 92 42
-0C 18 FA 50 EF 3F EA 50 08 50 57 52 5F 48 45 52
-45 00 92 42 CE 1D F8 50 92 42 CC 1D FA 50 30 4D
-FE 50 08 52 53 54 5F 48 45 52 45 00 92 42 CE 1D
-0E 18 92 42 CC 1D 0C 18 EC 3F F0 4F 04 57 49 50
-45 00 39 40 80 FF B9 43 00 00 29 53 39 90 B4 FF
-FA 23 B0 12 2A 4A B2 40 18 68 0C 18 B2 40 94 54
-0E 18 CA 3F 74 4E 06 28 57 41 52 4D 29 00 1E 42
-08 18 87 12 2A 46 06 0D 1B 5B 37 6D 23 00 E8 45
-B0 43 2A 46 27 20 46 61 73 74 46 6F 72 74 68 20
-56 32 30 33 20 31 36 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 E8 45 34 40
-30 FF C6 43 28 41 7C 43 2A 46 0B 62 79 74 65 73
-20 66 72 65 65 20 9E 4A 4C 51 04 57 41 52 4D 00
-30 40 7E 51 C4 4E 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 B2 40 88 5A 5C 01 B2 D0 03 00 04 02 B2 40
-FC FF 02 02 B2 C0 03 00 06 02 B2 D0 00 04 24 02
-B2 D3 26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02
-B2 43 62 02 B2 D3 66 02 F2 43 26 03 F2 D3 22 03
-F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01
-F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43 66 01
-39 40 80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2
-9E 01 08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2
-18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00
-2A 03 F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40
-F2 51 39 40 B4 FF 89 4A 00 00 29 53 FC 23 92 42
-02 18 F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43
-00 00 29 53 39 90 FF 2B FA 2B 31 40 E0 1C 3F 40
-80 1C 37 40 00 40 36 40 BC 40 35 40 08 40 34 40
-14 40 B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01
-18 42 08 18 E2 B3 01 02 04 20 38 E3 18 53 82 48
-08 18 B2 40 81 00 C0 05 A2 43 C6 05 B2 40 00 B6
-C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05
-3D 40 2A 53 18 42 08 18 38 90 0A 00 1A 27 38 90
-16 00 17 2F 28 93 F0 22 E5 26 2C 53 E2 B2 60 02
-57 23 B2 40 81 A9 40 06 B2 40 30 00 46 06 D2 D3
-25 02 B2 D0 C0 04 0C 02 92 C3 40 06 39 42 B0 12
-64 5C D2 C3 23 02 2C 42 B2 40 95 00 14 20 B2 40
-00 40 18 20 1A 43 B0 12 28 5C 02 24 30 40 02 5D
-B0 12 62 5C 7A 93 FC 23 B2 40 87 AA 14 20 92 43
-16 20 B2 40 00 48 18 20 1A 43 B0 12 28 5C 29 42
-B0 12 64 5C 92 43 14 20 82 43 16 20 78 43 3C 42
-B2 40 00 77 18 20 1A 43 B0 12 28 5C B2 40 40 69
-18 20 B0 12 1E 5C 03 24 58 83 F2 23 D7 3F 0C 5C
-A2 43 16 20 B2 40 00 50 18 20 B0 12 1E 5C CE 23
-92 D3 40 06 82 43 46 06 92 C3 40 06 B0 12 8A 5C
-38 40 00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20
-5A 48 C2 01 92 43 02 20 7A 80 06 00 0D 24 7A 82
-0B 24 A2 43 02 20 6A 53 07 24 5A 53 05 24 3A 50
-0B 20 0C 4A 30 40 08 5D B0 12 8A 5C D2 48 0D 00
-12 20 19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93
-02 20 1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20
-09 5A A2 93 02 20 04 24 82 49 0E 20 39 50 20 00
-19 82 12 20 19 82 12 20 82 49 10 20 92 42 02 20
-2C 20 BE 3E 5C 50 09 41 53 53 45 4D 42 4C 45 52
-84 12 24 50 BA 5A 66 5B 6E 5A BA 5B 34 5A EE 5A
-38 57 00 00 2A 5A DA 5A 8C 5A CA 5A 48 58 00 00
-00 00 CC 5B 58 50 76 51 85 48 49 32 4C 4F 87 12
-C6 43 8C 4E D6 48 44 4B 62 50 70 54 2A 40 E6 51
-04 43 4F 44 45 00 B0 12 0E 4C A2 82 CC 1D 87 12
-E0 4C DC 41 A8 54 00 00 07 45 4E 44 43 4F 44 45
-87 12 7C 50 F2 4B 2A 40 66 54 03 41 53 4D 92 42
-E0 1D C0 1D B2 40 74 54 E0 1D E5 3F C8 54 06 45
-4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00
-05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00
-A2 53 CC 1D B2 43 BE 1D 30 40 7C 50 00 00 05 4C
-4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40
-2A 40 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48
-2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27
-1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 40
-AA 46 08 47 EA 41 70 55 CA 47 E0 41 32 4B 92 55
-72 55 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
-85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
-2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 40
-AA 46 CA 47 E0 41 C4 55 BA 55 21 53 3E 90 10 00
-BB 2D 30 41 C6 55 B2 41 CA 1D 22 D3 30 41 87 12
-6E 42 3A 55 D6 55 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20
-92 53 CA 1D B0 12 5C 55 0E 93 04 20 B2 40 00 03
-C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C
-2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20
-B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02
-C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C
-B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E
-00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
-B2 40 10 02 C4 1D 92 53 CA 1D 30 12 46 56 76 3F
-FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53
-CA 1D B0 12 A4 55 0E 20 B2 50 10 00 C4 1D 3E 40
-2B 00 B0 12 A4 55 32 24 92 92 C6 1D CA 1D 02 24
-92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 A4 55
-F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12 5C 55
-30 12 96 56 67 3F 87 12 6E 42 3A 55 CE 56 FE 90
-26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D
-C2 3F B0 12 A4 55 DF 23 B2 50 80 00 C4 1D 3E 40
-28 00 B0 12 5C 55 B0 12 94 55 D5 23 3D 40 32 4B
-30 4D FA 44 04 52 45 54 49 00 87 12 34 40 00 13
-D6 48 2A 40 34 40 2C 00 CE 55 C6 56 1E 57 2E 4E
-1E D2 C4 1D 19 42 C2 1D 92 3F 1E 55 03 4D 4F 56
-84 12 14 57 00 40 2C 57 05 4D 4F 56 2E 42 84 12
-14 57 40 40 00 00 03 41 44 44 84 12 14 57 00 50
-46 57 05 41 44 44 2E 42 84 12 14 57 40 50 52 57
-04 41 44 44 43 00 84 12 14 57 00 60 60 57 06 41
-44 44 43 2E 42 00 84 12 14 57 40 60 04 57 04 53
-55 42 43 00 84 12 14 57 00 70 7E 57 06 53 55 42
-43 2E 42 00 84 12 14 57 40 70 8C 57 03 53 55 42
-84 12 14 57 00 80 9C 57 05 53 55 42 2E 42 84 12
-14 57 40 80 00 55 03 43 4D 50 84 12 14 57 00 90
-B6 57 05 43 4D 50 2E 42 84 12 14 57 40 90 EE 54
-04 44 41 44 44 00 84 12 14 57 00 A0 D0 57 06 44
-41 44 44 2E 42 00 84 12 14 57 40 A0 C2 57 03 42
-49 54 84 12 14 57 00 B0 EE 57 05 42 49 54 2E 42
-84 12 14 57 40 B0 FA 57 03 42 49 43 84 12 14 57
-00 C0 08 58 05 42 49 43 2E 42 84 12 14 57 40 C0
-14 58 03 42 49 53 84 12 14 57 00 D0 22 58 05 42
-49 53 2E 42 84 12 14 57 40 D0 00 00 03 58 4F 52
-84 12 14 57 00 E0 3C 58 05 58 4F 52 2E 42 84 12
-14 57 40 E0 6E 57 03 41 4E 44 84 12 14 57 00 F0
-56 58 05 41 4E 44 2E 42 84 12 14 57 40 F0 6E 42
-CE 55 74 58 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10
-3A F0 0F 00 82 DA C4 1D 4A 3F A8 57 03 52 52 43
-84 12 6E 58 00 10 8C 58 05 52 52 43 2E 42 84 12
-6E 58 40 10 98 58 04 53 57 50 42 00 84 12 6E 58
-80 10 A6 58 03 52 52 41 84 12 6E 58 00 11 B4 58
-05 52 52 41 2E 42 84 12 6E 58 40 11 C0 58 03 53
-58 54 84 12 6E 58 80 11 00 00 04 50 55 53 48 00
-84 12 6E 58 00 12 DA 58 06 50 55 53 48 2E 42 00
-84 12 6E 58 40 12 2E 58 04 43 41 4C 4C 00 84 12
-6E 58 80 12 34 40 2C 00 CE 55 C6 56 0E 59 59 42
-C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00
-02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
-5A 0E A8 3F 1A 53 0E 4A 87 12 B0 43 2A 46 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 88 4A E8 58
-05 50 55 53 48 4D 84 12 04 59 00 15 50 59 04 50
-4F 50 4D 00 84 12 04 59 00 17 6E 42 3A 55 70 59
-82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53
-CA 1D 3E 40 2C 00 B0 12 2A 40 AA 46 CA 47 E0 41
-32 4B C6 56 96 59 0A 4E 3E 4F 1A 83 2A 92 CA 2F
-8A 10 5A 06 6F 3F CE 58 04 52 52 43 4D 00 84 12
-6A 59 50 00 A8 59 04 52 52 41 4D 00 84 12 6A 59
-50 01 B6 59 04 52 4C 41 4D 00 84 12 6A 59 50 02
-C4 59 04 52 52 55 4D 00 84 12 6A 59 50 03 85 12
-00 3C D2 59 03 53 3E 3D 85 12 00 38 E4 59 02 53
-3C 00 85 12 00 34 5E 59 03 30 3E 3D 85 12 00 30
-F8 59 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
-85 12 00 2C 0C 5A 03 55 3E 3D 85 12 00 28 02 5A
-03 30 3C 3E 85 12 00 24 20 5A 02 30 3D 00 85 12
-00 20 06 45 02 49 46 00 1A 42 CC 1D 8A 4E 00 00
-A2 53 CC 1D 0E 4A 30 4D 16 5A 04 54 48 45 4E 00
-1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 68 2F 88 DA 00 00 30 4D DE 57 04 45
-4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53
-CC 1D 2F 83 8F 4A 00 00 E3 3F 4A 5A 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 CC 1D 30 4D 62 58 05 41 47 41 49 4E
-87 12 DE 59 92 5A 2A 40 00 00 05 57 48 49 4C 45
-87 12 38 5A 78 40 2A 40 EE 59 06 52 45 50 45 41
-54 00 87 12 DE 59 92 5A 50 5A 2A 40 00 00 03 4A
-4D 50 87 12 24 4B DE 59 92 5A 2A 40 3E B0 00 10
-03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
-3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
-4A 4D 50 00 87 12 FC 5A 24 4B 78 40 92 5A 2A 40
-32 5B 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
-CC 1D 00 00 30 4D 88 43 00 00 A4 3F F8 58 03 42
-57 31 84 12 30 5B 00 00 4E 5B 03 42 57 32 84 12
-30 5B 00 00 5A 5B 03 42 57 33 84 12 30 5B 00 00
-72 5B 3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F
-00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43
-00 00 61 3F 00 00 03 46 57 31 84 12 70 5B 00 00
-96 5B 03 46 57 32 84 12 70 5B 00 00 A2 5B 03 46
-57 33 84 12 70 5B 00 00 AE 5B 04 47 4F 54 4F 00
-87 12 DE 59 24 4B CC 48 2A 40 1E 5B 05 3F 47 4F
-54 4F 87 12 FC 5A 24 4B CC 48 2A 40 D2 C3 23 02
-E2 B2 60 02 02 24 30 40 EC 51 1A 52 04 20 19 62
-06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69
-82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10
-C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12
-62 5C 7A 93 FC 23 0A 43 39 40 05 00 D2 49 14 20
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93
-46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23
-30 41 19 43 3A 43 8A 10 C2 4A 4E 06 82 93 46 06
-05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83 F3 23
-5A 42 4C 06 30 41 1A 52 08 20 09 43 1C D3 F2 40
-51 00 19 20 B0 12 DC 5B 34 20 B0 12 62 5C 7A 90
-FE FF 04 24 FA 23 D9 42 4C 06 FF 1D F2 43 4E 06
-03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0
-03 00 D2 D3 23 02 30 41 09 43 2C D3 F0 40 58 00
-49 C3 B0 12 DC 5B 15 20 3A 40 FE FF 29 43 B0 12
-66 5C D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02
-F8 23 39 40 03 00 B0 12 64 5C 7A C0 E1 00 6A 92
-DE 27 8C 10 1C 52 4C 06 D2 D3 23 02 87 12 2A 46
-0B 3C 20 53 44 20 45 72 72 6F 72 21 1E 5D 2F 82
-8F 4E 02 00 9F 42 E2 1D 00 00 B2 40 10 00 E2 1D
-0E 4C B0 12 2A 40 7C 43 84 42 FA 40 88 4A 92 4B
-0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20 58 42
-22 20 92 93 02 20 08 24 59 42 24 20 89 10 0A 59
-88 10 08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20
-92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20
-14 24 92 42 22 20 D0 04 92 42 24 20 D2 04 92 42
-12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20
-92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00
-22 20 92 4B 10 00 24 20 B0 12 6C 5D 5A 4B 03 00
-82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90
-20 00 00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42
-32 20 82 43 1E 20 B2 90 00 02 20 20 A3 20 BB 80
-00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20
-03 00 11 28 CB 43 03 00 B0 12 3E 5D B0 12 86 5C
-8B 43 10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24
-9B 48 02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00
-0B 20 92 9B 12 00 1E 20 7D 2C BB 90 00 02 12 00
-03 2C 92 4B 12 00 20 20 B0 12 AC 5D 1A 42 1A 20
-19 42 1C 20 1B 3F 3C 42 3B 40 40 20 09 43 CB 93
-02 00 10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20
-0A 00 07 24 09 4B 3B 50 18 00 3B 90 00 21 EF 23
-0C 5C 30 41 0C 43 82 4B 32 20 8B 49 00 00 49 93
-0A 24 99 52 CA 1D 16 00 4A 93 05 34 C9 93 02 00
-02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42
-1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48
-08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48
-1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00
-9B 48 1E 1E 14 00 82 43 1E 20 6A 93 5F 27 C9 37
-8B 43 16 00 7A 93 02 24 0A 38 95 3F B2 40 3C 21
-5C 42 B2 40 28 44 92 44 B2 40 02 21 00 21 18 42
-00 21 B2 50 06 00 00 21 19 42 CA 1D 1A 42 C6 1D
-0A 89 88 4A 00 00 19 52 C8 1D 88 49 02 00 88 4D
-04 00 79 3F 1B 42 32 20 0B 93 A3 27 EB 93 02 00
-04 20 B0 12 B6 63 B0 12 7E 63 5A 4B 02 00 CB 43
-02 00 09 4B 2B 4B 82 4B 32 20 7A 93 07 20 B2 40
-3C 1D 5C 42 B2 40 A0 44 92 44 0A 3C 0B 93 89 27
-CB 93 02 00 86 37 92 4B 16 00 1E 20 B0 12 26 5E
-21 52 2F 53 B2 80 06 00 00 21 1A 42 00 21 3E 4A
-BF 4A 00 00 3D 4A 30 4D 32 51 85 52 45 41 44 22
-5A 43 19 3C DA 51 86 57 52 49 54 45 22 00 6A 43
-12 3C 9A 50 84 44 45 4C 22 00 6A 42 0C 3C B0 54
-05 43 4C 4F 53 45 B0 12 34 5F 30 4D B8 4F 85 4C
-4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93
-BE 1D 0B 24 87 12 34 40 34 40 D6 48 D6 48 48 46
-66 46 34 40 08 60 D6 48 2A 40 87 12 34 40 22 00
-AA 46 1E 49 06 60 3D 41 35 4F 0E 55 82 4E 36 20
-1C 43 92 42 2C 20 22 20 92 42 2E 20 24 20 0E 95
-8D 24 F5 90 3A 00 01 00 01 20 25 53 F5 90 5C 00
-00 00 08 20 15 53 92 42 02 20 22 20 82 43 24 20
-0E 95 70 24 82 45 34 20 B0 12 6C 5D 34 40 20 00
-A2 93 02 20 04 24 92 92 22 20 02 20 02 24 14 42
-12 20 B0 12 4C 5E 2C 43 0A 43 08 4A 58 0E 08 58
-82 48 30 20 C8 93 00 1E 61 24 39 42 F8 95 00 1E
-04 20 18 53 19 83 FA 23 15 53 F5 90 2E 00 FF FF
-19 24 39 50 03 00 B0 12 CA 5D 06 20 F5 90 5C 00
-FF FF 29 24 0E 95 27 28 15 42 34 20 1A 53 3A 90
-10 00 DB 23 92 53 1A 20 82 63 1C 20 14 83 D1 23
-2C 42 3C 3C F5 90 2E 00 FE FF EE 27 B0 12 CA 5D
-EB 23 39 40 03 00 F8 95 00 1E 04 20 18 53 19 83
-FA 23 09 3C 0E 95 E0 2F F5 90 5C 00 FF FF DC 23
-B0 12 CA 5D D9 23 18 42 30 20 92 48 1A 1E 22 20
-92 48 14 1E 24 20 F8 B0 10 00 0B 1E 14 24 82 93
-24 20 06 20 82 93 22 20 03 20 92 42 02 20 22 20
-0E 95 8E 2F 92 42 22 20 2C 20 92 42 24 20 2E 20
-8F 43 00 00 03 3C 2A 4F B0 12 56 5E 34 40 14 40
-35 40 08 40 3A 4F 3E 4F 0A 93 05 24 7A 93 13 20
-0C 93 02 20 3D 41 30 4D 87 12 2A 46 0B 3C 20 4F
-70 65 6E 45 72 72 6F 72 86 45 C6 43 1E 49 E8 45
-B0 45 DC 41 1C 5D 1A 93 B6 20 0C 93 ED 23 30 4D
-9A 5F 04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42
-32 20 B0 12 DE 5D 1E 82 32 20 30 4D 2C 43 12 12
-2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20 A1 24
-B0 12 86 5C 09 43 28 93 03 24 89 93 02 1E 03 20
-89 93 00 1E 07 24 09 58 39 90 00 02 F4 23 91 53
-00 00 EA 3F 0C 43 6A 41 B9 43 00 1E 28 93 0F 24
-B9 40 FF 0F 02 1E 09 11 8A 10 09 5A 5A 41 01 00
-0A 11 09 10 82 4A 28 20 82 49 26 20 07 3C 09 11
-C2 49 26 20 C2 4A 27 20 82 43 28 20 3A 41 82 4A
-2A 20 30 41 0A 12 1A 52 08 20 B0 12 C8 5C 3A 41
-1A 52 0C 20 30 40 C8 5C F2 B0 40 00 A2 04 29 20
-F2 B0 10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42
-B4 04 F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52
-E4 04 D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04
-C0 04 B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04
-C0 04 B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52
-E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B
-5C 5D 7C 2E 29 92 06 38 39 80 03 00 B0 12 D4 62
-39 40 03 00 7A 4B C8 4A 00 1E 82 9B 36 20 12 28
-0D 12 3D 40 0F 00 3C 40 84 62 7A 9C F3 27 1D 83
-FC 23 3D 41 6A 9C E6 27 3A 80 21 00 EB 3B 18 53
-19 83 E8 23 09 93 06 24 F8 40 20 00 00 1E 18 53
-19 83 FA 23 30 41 2A 93 EB 20 2C 93 0D 24 0C 93
-BA 24 87 12 2A 46 0C 3C 20 57 72 69 74 65 45 72
-72 6F 72 00 DC 41 68 61 B0 12 9C 61 92 42 26 20
-22 20 92 42 28 20 24 20 B0 12 14 62 B0 12 4C 5E
-18 42 30 20 F8 40 20 00 0B 1E B0 12 28 62 88 43
-0C 1E 88 4A 0E 1E 88 49 10 1E 88 49 12 1E 98 42
-24 20 14 1E 98 42 22 20 1A 1E 88 43 1C 1E 88 43
-1E 1E 1C 43 1B 42 34 20 82 9B 36 20 CA 27 FB 90
-2E 00 00 00 C6 27 39 40 0B 00 B0 12 A4 62 B0 12
-C0 63 2A 43 B0 12 56 5E 0C 93 BB 23 30 4D 1A 4B
-04 00 19 4B 06 00 B0 12 8C 5C B0 12 28 62 18 4B
-08 00 88 49 12 1E 88 4A 16 1E 88 49 18 1E 98 4B
-12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B
-06 00 30 40 CA 5C 9B 52 1E 20 12 00 8B 63 14 00
-1A 42 1A 20 19 42 1C 20 30 40 CA 5C B2 40 00 02
-1E 20 1B 42 32 20 B0 12 B6 63 82 43 1E 20 DB 53
-03 00 DB 92 12 20 03 00 22 20 CB 43 03 00 B0 12
-3E 5D 08 12 0A 12 B0 12 9C 61 2A 91 05 24 B0 12
-14 62 2A 41 B0 12 86 5C 3A 41 38 41 98 42 26 20
-00 1E 92 93 02 20 03 24 98 42 28 20 02 1E B0 12
-14 62 9B 42 26 20 0E 00 9B 42 28 20 10 00 30 40
-AC 5D A6 5F 05 57 52 49 54 45 B0 12 CC 63 30 4D
-82 61 07 53 44 5F 45 4D 49 54 B2 90 00 02 1E 20
-02 28 B0 12 CC 63 18 42 1E 20 C8 4E 00 1E 92 53
-1E 20 3E 4F 30 4D 58 4B 13 00 59 4B 14 00 89 10
-09 58 58 4B 15 00 5B 42 12 20 0A 43 3C 42 08 11
-09 10 4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37
-1B 42 32 20 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00
-8B 48 10 00 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01
-12 00 3A F0 FF 01 82 4A 1E 20 B0 12 48 5E 30 4D
-0C 93 38 20 38 90 E0 01 03 2C C8 93 20 1E 02 24
-7C 40 E5 00 C8 4C 00 1E B0 12 C0 63 B0 12 4A 5D
-82 4A 2A 20 0B 4A B0 12 86 5C 1A 48 00 1E 88 43
-00 1E 92 93 02 20 09 24 19 48 02 1E 88 43 02 1E
-39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24 82 4A
-22 20 82 49 24 20 B0 12 4A 5D 0B 9A E6 27 0A 12
-0A 4B B0 12 14 62 3A 41 DD 3F 0A 4B B0 12 14 62
-B0 12 34 5F 30 4D D0 4E 08 54 45 52 4D 32 53 44
-22 00 87 12 BA 5F 34 40 02 00 C6 43 1E 49 08 60
-52 65 3D 41 92 C3 DC 05 08 43 B0 12 D4 44 92 B3
-DC 05 FD 27 59 42 CC 05 69 92 0D 24 C8 49 00 1E
-18 53 38 90 FF 01 F3 2B 03 24 B0 12 CC 63 EC 3F
-B0 12 E6 44 EC 3F B0 12 E6 44 82 48 1E 20 B0 12
-34 5F 3D 41 30 4D 10 4E 0A 7B 53 44 5F 54 4F 4F
-4C 53 7D 00 30 4D 42 64 06 53 45 43 54 4F 52 00
-09 4E 2A 4F B0 12 8C 5C 87 12 9A 42 2C 43 46 43
-E8 45 B0 45 34 40 00 1E 34 40 00 02 94 67 2A 40
-C0 5F 07 43 4C 55 53 54 45 52 82 4E 24 20 A2 4F
-22 20 B0 12 6C 5D 9F 42 1A 20 00 00 1E 42 1C 20
-DF 3F 2E 50 03 46 41 54 2F 82 8F 4E 02 00 9F 42
-08 20 00 00 0E 43 D4 3F B4 5F 03 44 49 52 2F 82
-8F 4E 02 00 92 42 2C 20 22 20 92 42 2E 20 24 20
-E0 3F 98 65 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-8C 50 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 40 3C 00 7A 45 B0 43 34 40
-08 00 7A 45 34 40 3E 00 7A 45 B0 45 8A 40 8A 40
-C4 41 EA 41 7C 66 62 40 62 40 2A 40 F0 41 28 42
-F2 40 7C 43 34 40 02 00 00 42 7E 66 2A 40 32 66
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F 70 47 01 3F 2E 4E 30 40 7C 43 34 64 05 57
-4F 52 44 53 87 12 26 46 34 40 03 00 C6 45 34 40
-D0 1D F2 40 50 42 34 40 10 00 44 40 20 41 BE 4F
-34 40 00 00 44 40 34 40 10 00 44 40 20 41 34 40
-00 00 F0 41 44 40 28 42 50 42 20 41 F2 40 D2 41
-E0 41 02 67 62 40 62 40 28 42 44 40 50 42 20 41
-F2 40 34 40 02 00 00 42 E4 66 54 40 E0 41 44 67
-44 40 34 40 02 00 28 41 F2 40 9A 40 50 42 20 41
-FA 40 44 40 1E 49 34 40 7F 00 36 41 E8 45 08 41
-34 40 0F 00 36 41 34 40 10 00 78 40 28 41 C6 45
-DC 41 D0 66 62 40 2A 40 CE 5F 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 4A 67 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 38 65 03 55 2E 52 87 12 B0 40 9A 42
-34 40 00 00 FA 42 2C 43 46 43 BC 40 8A 40 28 41
-34 40 00 00 4E 67 C6 45 E8 45 2A 40 0A 66 04 44
-55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D
-2E 5F B0 12 2A 40 78 40 8A 40 8A 40 7C 43 34 40
-01 00 28 41 7C 43 34 40 FE FF 36 41 F0 41 26 46
-28 42 34 40 07 00 6A 67 B0 45 28 42 34 40 10 00
-20 41 28 42 F0 41 28 42 08 41 34 40 03 00 6A 67
-12 42 D6 67 B0 45 B0 45 28 42 34 40 10 00 20 41
-28 42 F0 41 28 42 08 41 34 40 7E 00 5C 67 6E 42
-4E 67 7A 45 12 42 F4 67 34 40 10 00 00 42 BE 67
-BC 40 84 42 FA 40 2A 40
-@FFFE
-F2 51
-q
diff --git a/MSP_EXP430FR5994_16MHz_921600bds_SD_BOOT.txt b/MSP_EXP430FR5994_16MHz_921600bds_SD_BOOT.txt
deleted file mode 100644 (file)
index a1e7a43..0000000
+++ /dev/null
@@ -1,655 +0,0 @@
-@1800
-10 00 14 45 80 3E 00 24 05 00 18 00 78 68 F4 54
-D4 44 E6 44 EC 5C 2A 5D
-@4000
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 40
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 40 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 40 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 40 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 40 02 3E 52 00
-0E 12 3E 4F 30 4D 96 40 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 40 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 40 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 40 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 EE 45 B6 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 EE 45 B6 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-3E 5E 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 52 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 46 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05 FD 27
-82 48 CE 05 30 4D 48 45 2D 83 92 B3 DC 05 E4 23
-FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24 92 53
-E4 1D 3E 8F 3D 41 30 4D 96 44 06 28 45 4D 49 54
-29 00 08 4E 3E 4F E1 3F 90 43 04 45 4D 49 54 00
-30 40 72 45 7A 45 04 45 43 48 4F 00 B2 40 82 48
-40 45 82 43 E4 1D 30 4D AE 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 40 45 92 43 E4 1D 30 4D 64 43
-05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00
-DF 3F B0 45 06 53 50 41 43 45 53 00 0E 93 09 24
-0D 12 3D 40 D8 45 EF 3F DA 45 2D 83 1E 83 EB 23
-3D 41 3E 4F 30 4D 78 43 04 54 59 50 45 00 0E 93
-BE 24 1E 15 3D 40 04 46 28 4F 7E 48 8F 48 00 00
-2F 83 BE 3F 06 46 2D 83 91 83 02 00 F5 23 1D 17
-AE 3C 6A 45 04 28 43 52 29 00 87 12 30 46 02 0D
-0A 00 EE 45 2A 40 E4 43 02 43 52 00 30 40 1A 46
-2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
-0D 63 30 4D 28 46 07 43 41 50 53 5F 4F 4E B2 43
-B4 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
-82 43 B4 1D 30 4D C4 45 82 53 22 00 87 12 34 40
-30 46 DC 48 60 46 34 40 22 00 B0 46 4E 46 80 46
-3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D
-A2 63 CC 1D 30 4D 9A 45 82 2E 22 00 87 12 6C 46
-34 40 EE 45 DC 48 2A 40 00 00 04 57 4F 52 44 00
-3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
-1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
-09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
-82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
-ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E
-CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
-0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B 0E 93
-1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
-FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
-0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
-09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
-00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
-3E 4F 30 4D 74 42 07 3E 4E 55 4D 42 45 52 3C 4F
-38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00
-7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
-0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
-19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
-E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
-0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43
-3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
-7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
-6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
-6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
-22 48 0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02
-FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00
-00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A
-09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
-07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
-0E 4B 2C 15 B0 12 B0 42 2A 17 E6 3F 9F 4F 04 00
-02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
-E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
-02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
-02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
-00 02 01 20 2F 53 30 4D 86 45 07 45 58 45 43 55
-54 45 0A 4E 3E 4F 00 4A 26 41 01 2C 1A 42 CC 1D
-A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D DA 48 87 4C
-49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D
-A2 52 CC 1D BA 40 34 40 00 00 8A 4E 02 00 3E 4F
-32 B0 00 02 32 C0 00 02 F1 23 30 4D 56 46 05 43
-4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
-30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D
-87 12 6E 42 B0 46 48 49 3D 40 54 49 E0 22 3E 4F
-3D 41 30 4D 56 49 0A 4E 3E 4F 3D 40 6C 49 38 27
-3D 40 42 49 1A E2 BE 1D B9 27 B3 23 6E 49 3E 4F
-3D 40 42 49 C0 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 6A 4C CD 3F CA 48 08 45 56 41
-4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49
-3D 15 B0 12 2A 40 32 49 AA 49 B2 41 CA 1D B2 41
-C8 1D B2 41 C6 1D 3D 41 30 4D 1E 49 04 42 4F 4F
-54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D
-82 93 08 18 29 24 E2 B2 60 02 26 20 2F 83 8F 4E
-00 00 1E 42 08 18 82 43 08 18 B0 12 2A 40 30 46
-0F 4C 4F 41 44 22 20 42 4F 4F 54 2E 34 54 48 22
-DC 41 44 4A 4C 42 04 51 55 49 54 00 30 40 C2 49
-14 46 06 28 51 55 49 54 29 00 31 40 E0 1C B2 40
-00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12 2A 40
-30 46 05 0D 0A 6F 6B 20 EE 45 5A 42 44 40 64 42
-90 44 B6 45 32 49 DE 40 92 41 30 46 0D 73 74 61
-63 6B 20 65 6D 70 74 79 21 20 E0 4A 34 40 30 FF
-C6 43 D2 41 30 46 0B 46 52 41 4D 20 66 75 6C 6C
-21 20 E0 4A 90 42 F2 40 E0 41 30 4A 30 46 05 0D
-0A 20 20 20 DC 41 38 4A B2 40 DC 51 40 52 B2 40
-0E 45 02 45 B2 40 72 45 82 45 B2 40 1A 46 2E 46
-B2 40 00 44 26 44 B2 40 A0 44 92 44 B2 40 3C 1D
-5C 42 82 43 B6 5B 82 43 C2 5B 82 43 CE 5B 82 43
-FE 5B 82 43 0A 5C 82 43 16 5C B2 40 0A 00 E2 1D
-30 41 88 44 05 41 42 4F 52 54 3F 40 80 1C 96 3F
-8F 93 02 00 43 26 B2 40 82 48 40 45 1B 42 32 20
-0B 93 04 24 CB 43 02 00 2B 4B FA 3F B0 12 94 4A
-A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02
-92 C3 DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
-18 83 FA 23 92 B3 DC 05 F3 23 87 12 30 46 04 1B
-5B 37 6D 00 EE 45 34 40 E4 1D F2 40 54 40 E0 41
-56 4B 30 46 05 6C 69 6E 65 3A EE 45 34 40 01 00
-28 41 7C 43 8C 45 EE 45 30 46 04 1B 5B 30 6D 00
-EE 45 52 51 4E 46 DA 4A D4 4A 86 41 42 4F 52 54
-22 00 87 12 6C 46 34 40 E0 4A DC 48 2A 40 08 47
-01 27 87 12 6E 42 B0 46 0E 47 E0 41 90 4B 2A 40
-76 49 96 42 81 5C 92 42 C6 1D CA 1D 30 4D 00 00
-81 5B 82 43 BE 1D 30 4D 94 4B 01 5D B2 43 BE 1D
-30 4D A0 4B 83 5B 27 5D 87 12 82 4B 34 40 34 40
-DC 48 DC 48 2A 40 BE 4F 02 00 3E 4F 30 4D 12 4A
-82 49 53 00 87 12 90 42 F2 40 E0 41 E8 4B B8 4B
-34 40 C6 4B DC 48 2A 40 82 4B C6 4B 2A 40 D0 4B
-09 49 4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0
-80 00 00 00 30 4D 68 46 87 52 45 43 55 52 53 45
-19 42 CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D
-06 4A 88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 42
-B0 46 0E 47 54 40 E0 41 90 4B 92 41 E0 41 4C 4C
-34 40 34 40 DC 48 DC 48 34 40 DC 48 DC 48 2A 40
-82 9F BC 1D 34 25 87 12 30 46 0F 73 74 61 63 6B
-20 6D 69 73 6D 61 74 63 68 21 E6 4A 87 12 8C 46
-6E 42 B0 46 76 4C 08 4E 7A 4E 5A D3 5A 53 0A 58
-19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
-82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
-3D 41 30 41 AA 46 08 56 41 52 49 41 42 4C 45 00
-B0 12 6C 4C BA 40 86 12 FC FF 05 3D BC 49 08 43
-4F 4E 53 54 41 4E 54 00 B0 12 6C 4C BA 40 85 12
-FC FF 8A 4E FE FF 3E 4F F6 3C BE 4C 06 43 52 45
-41 54 45 00 B0 12 6C 4C BA 40 85 12 FC FF 8A 4A
-FE FF E9 3C 8C 49 05 44 4F 45 53 3E 1A 42 BA 1D
-BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D F6 4C
-05 44 45 46 45 52 B0 12 6C 4C BA 40 30 40 FC FF
-BA 40 0C 4D FE FF CF 3C B4 4B 01 3A B0 12 6C 4C
-BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
-BC 1D 30 4D 2A 4D 81 3B 82 93 BE 1D 24 27 87 12
-34 40 2A 40 DC 48 50 4C A2 4B 2A 40 DC 4C 07 43
-4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98
-FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 46 4D
-86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D 90 4D
-86 5B 45 4C 53 45 5D 00 87 12 34 40 01 00 6E 42
-B0 46 24 49 44 40 E0 41 16 4E 8A 40 8A 40 30 46
-04 5B 49 46 5D 00 66 4D EA 41 D4 4D 6E 47 2C 41
-DC 41 0A 4E 8A 40 8A 40 30 46 06 5B 45 4C 53 45
-5D 00 66 4D EA 41 F8 4D 6E 47 9A 4D 44 40 E0 41
-F4 4D 2C 41 DC 41 0A 4E 30 46 06 5B 54 48 45 4E
-5D 00 66 4D EA 41 0A 4E 9A 4D 54 40 EA 41 12 4E
-2A 40 DC 41 AE 4D 6E 47 30 46 05 0D 0A 6B 6F 20
-EE 45 5A 42 44 40 64 42 90 44 2C 4E 82 43 CA 1D
-82 4E C6 1D B2 4F C8 1D 3E 4F 3D 40 AE 4D 30 4D
-A0 4D 84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D
-42 4E 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12
-6E 42 B0 46 0E 47 6C 40 86 41 2A 40 52 4E 89 5B
-44 45 46 49 4E 45 44 5D 87 12 6E 42 B0 46 0E 47
-6C 40 2A 40 86 4E 3D 41 B2 4E 0E 18 A2 4E 0C 18
-3E 4F 69 3D EE 48 06 4D 41 52 4B 45 52 00 B0 12
-6C 4C BA 40 84 12 FC FF BA 40 84 4E FE FF 9A 42
-CE 1D 00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42
-B6 1D 19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D
-F0 4B 82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-A2 52 CC 1D BE 40 E0 41 00 00 2E 53 30 4D 10 4D
-84 45 4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40
-DC 41 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D E8 45
-84 54 48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D
-5E 4D 85 42 45 47 49 4E 30 40 C6 43 10 4F 85 55
-4E 54 49 4C 39 40 E0 41 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 6A 4B 85 41
-47 41 49 4E 39 40 DC 41 EF 3F A6 4C 85 57 48 49
-4C 45 87 12 D6 4E 78 40 2A 40 08 4C 86 52 45 50
-45 41 54 00 87 12 54 4F 16 4F 2A 40 F0 4E 82 44
-4F 00 2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D
-BE 40 F0 41 FE FF A2 53 00 1C 1A 42 00 1C 8A 43
-00 00 30 4D 96 4E 84 4C 4F 4F 50 00 39 40 12 42
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A
-00 00 F6 3F 3E 4F 30 4D 20 44 85 2B 4C 4F 4F 50
-39 40 00 42 E5 3F A6 4F 85 4C 45 41 56 45 1A 42
-CC 1D BA 40 22 42 00 00 BA 40 DC 41 02 00 B2 50
-06 00 CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A
-00 00 30 4D E8 4F 04 4D 4F 56 45 00 0A 4E 38 4F
-39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49
-00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83
-18 83 E8 49 00 00 1A 83 FA 23 30 4D 5C 4F 0A 56
-4F 43 41 42 55 4C 41 52 59 00 87 12 E4 4C 34 40
-10 00 34 40 00 00 F0 41 34 40 00 00 DC 48 12 42
-68 50 C6 43 34 40 CE 1D 44 40 F2 40 DC 48 FA 40
-FC 4C 34 40 D0 1D FA 40 2A 40 80 4B 05 46 4F 52
-54 48 84 12 82 50 3A 55 32 66 EE 67 54 66 F8 54
-DA 4F B8 67 F0 66 76 51 08 66 C6 67 0E 67 46 41
-84 66 AA 4B 04 67 00 00 4E 4F 04 41 4C 53 4F 00
-3A 40 0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 22 4C
-08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40
-D2 1D 38 40 D0 1D A3 3F 98 46 04 4F 4E 4C 59 00
-82 43 D2 1D 30 4D 7E 4F 0B 44 45 46 49 4E 49 54
-49 4F 4E 53 92 42 D0 1D E0 1D 30 4D 92 50 F0 50
-04 51 14 51 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D D0 50 09 50 57 52 5F 53 54 41
-54 45 84 12 0C 51 F4 54 78 68 6C 4F 09 52 53 54
-5F 53 54 41 54 45 92 42 0E 18 56 51 92 42 0C 18
-58 51 EF 3F 48 51 08 50 57 52 5F 48 45 52 45 00
-92 42 CE 1D 56 51 92 42 CC 1D 58 51 30 4D 5C 51
-08 52 53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18
-92 42 CC 1D 0C 18 EC 3F 4E 50 04 57 49 50 45 00
-39 40 80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23
-B0 12 88 4A B2 40 78 68 0C 18 B2 40 F4 54 0E 18
-CA 3F D2 4E 06 28 57 41 52 4D 29 00 1E 42 08 18
-87 12 30 46 06 0D 1B 5B 37 6D 23 00 EE 45 B0 43
-30 46 27 20 46 61 73 74 46 6F 72 74 68 20 56 32
-30 33 20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D
-2E 54 68 6F 6F 72 65 6E 73 20 EE 45 34 40 30 FF
-C6 43 28 41 7C 43 30 46 0B 62 79 74 65 73 20 66
-72 65 65 20 FC 4A AA 51 04 57 41 52 4D 00 30 40
-DC 51 22 4F 04 43 4F 4C 44 00 B2 40 04 A5 20 01
-B2 40 88 5A 5C 01 B2 D0 03 00 04 02 B2 40 FC FF
-02 02 B2 C0 03 00 06 02 B2 D0 00 04 24 02 B2 D3
-26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02 B2 43
-62 02 B2 D3 66 02 F2 43 26 03 F2 D3 22 03 F2 40
-A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
-A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
-80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
-08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
-FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03
-F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40 50 52
-39 40 B4 FF 89 4A 00 00 29 53 FC 23 92 42 02 18
-F0 FF B2 40 18 00 0A 18 39 40 00 1C 89 43 00 00
-29 53 39 90 FF 2B FA 2B 31 40 E0 1C 3F 40 80 1C
-37 40 00 40 36 40 BC 40 35 40 08 40 34 40 14 40
-B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3 30 01 18 42
-08 18 E2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
-B2 40 81 00 C0 05 B2 40 11 00 C6 05 B2 40 00 4A
-C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05
-3D 40 8A 53 18 42 08 18 38 90 0A 00 19 27 38 90
-16 00 16 2F 28 93 EF 22 E4 26 8C 53 E2 B2 60 02
-56 23 B2 40 81 A9 40 06 B2 40 30 00 46 06 D2 D3
-25 02 B2 D0 C0 04 0C 02 92 C3 40 06 39 42 B0 12
-C4 5C D2 C3 23 02 2C 42 B2 40 95 00 14 20 B2 40
-00 40 18 20 1A 43 B0 12 88 5C 02 24 30 40 62 5D
-B0 12 C2 5C 7A 93 FC 23 B2 40 87 AA 14 20 92 43
-16 20 B2 40 00 48 18 20 1A 43 B0 12 88 5C 29 42
-B0 12 C4 5C 92 43 14 20 82 43 16 20 78 43 3C 42
-B2 40 00 77 18 20 1A 43 B0 12 88 5C B2 40 40 69
-18 20 B0 12 7E 5C 03 24 58 83 F2 23 D7 3F 0C 5C
-A2 43 16 20 B2 40 00 50 18 20 B0 12 7E 5C CE 23
-92 D3 40 06 82 43 46 06 92 C3 40 06 B0 12 EA 5C
-38 40 00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20
-5A 48 C2 01 92 43 02 20 7A 80 06 00 0D 24 7A 82
-0B 24 A2 43 02 20 6A 53 07 24 5A 53 05 24 3A 50
-0B 20 0C 4A 30 40 68 5D B0 12 EA 5C D2 48 0D 00
-12 20 19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93
-02 20 1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20
-09 5A A2 93 02 20 04 24 82 49 0E 20 39 50 20 00
-19 82 12 20 19 82 12 20 82 49 10 20 92 42 02 20
-2C 20 BD 3E BA 50 09 41 53 53 45 4D 42 4C 45 52
-84 12 82 50 1A 5B C6 5B CE 5A 1A 5C 94 5A 4E 5B
-98 57 00 00 8A 5A 3A 5B EC 5A 2A 5B A8 58 00 00
-00 00 2C 5C B6 50 D4 51 85 48 49 32 4C 4F 87 12
-C6 43 EA 4E DC 48 A2 4B C0 50 D0 54 2A 40 44 52
-04 43 4F 44 45 00 B0 12 6C 4C A2 82 CC 1D 87 12
-3E 4D DC 41 08 55 00 00 07 45 4E 44 43 4F 44 45
-87 12 DA 50 50 4C 2A 40 C6 54 03 41 53 4D 92 42
-E0 1D C0 1D B2 40 D4 54 E0 1D E5 3F 28 55 06 45
-4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00
-05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00
-A2 53 CC 1D B2 43 BE 1D 30 40 DA 50 00 00 05 4C
-4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40
-2A 40 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48
-2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27
-1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 40
-B0 46 0E 47 EA 41 D0 55 D0 47 E0 41 90 4B F2 55
-D2 55 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
-85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
-2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 40
-B0 46 D0 47 E0 41 24 56 1A 56 21 53 3E 90 10 00
-BB 2D 30 41 26 56 B2 41 CA 1D 22 D3 30 41 87 12
-6E 42 9A 55 36 56 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20
-92 53 CA 1D B0 12 BC 55 0E 93 04 20 B2 40 00 03
-C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C
-2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20
-B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02
-C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C
-B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E
-00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
-B2 40 10 02 C4 1D 92 53 CA 1D 30 12 A6 56 76 3F
-FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53
-CA 1D B0 12 04 56 0E 20 B2 50 10 00 C4 1D 3E 40
-2B 00 B0 12 04 56 32 24 92 92 C6 1D CA 1D 02 24
-92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 04 56
-F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12 BC 55
-30 12 F6 56 67 3F 87 12 6E 42 9A 55 2E 57 FE 90
-26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D
-C2 3F B0 12 04 56 DF 23 B2 50 80 00 C4 1D 3E 40
-28 00 B0 12 BC 55 B0 12 F4 55 D5 23 3D 40 90 4B
-30 4D FA 44 04 52 45 54 49 00 87 12 34 40 00 13
-DC 48 2A 40 34 40 2C 00 2E 56 26 57 7E 57 2E 4E
-1E D2 C4 1D 19 42 C2 1D 92 3F 7E 55 03 4D 4F 56
-84 12 74 57 00 40 8C 57 05 4D 4F 56 2E 42 84 12
-74 57 40 40 00 00 03 41 44 44 84 12 74 57 00 50
-A6 57 05 41 44 44 2E 42 84 12 74 57 40 50 B2 57
-04 41 44 44 43 00 84 12 74 57 00 60 C0 57 06 41
-44 44 43 2E 42 00 84 12 74 57 40 60 64 57 04 53
-55 42 43 00 84 12 74 57 00 70 DE 57 06 53 55 42
-43 2E 42 00 84 12 74 57 40 70 EC 57 03 53 55 42
-84 12 74 57 00 80 FC 57 05 53 55 42 2E 42 84 12
-74 57 40 80 60 55 03 43 4D 50 84 12 74 57 00 90
-16 58 05 43 4D 50 2E 42 84 12 74 57 40 90 4E 55
-04 44 41 44 44 00 84 12 74 57 00 A0 30 58 06 44
-41 44 44 2E 42 00 84 12 74 57 40 A0 22 58 03 42
-49 54 84 12 74 57 00 B0 4E 58 05 42 49 54 2E 42
-84 12 74 57 40 B0 5A 58 03 42 49 43 84 12 74 57
-00 C0 68 58 05 42 49 43 2E 42 84 12 74 57 40 C0
-74 58 03 42 49 53 84 12 74 57 00 D0 82 58 05 42
-49 53 2E 42 84 12 74 57 40 D0 00 00 03 58 4F 52
-84 12 74 57 00 E0 9C 58 05 58 4F 52 2E 42 84 12
-74 57 40 E0 CE 57 03 41 4E 44 84 12 74 57 00 F0
-B6 58 05 41 4E 44 2E 42 84 12 74 57 40 F0 6E 42
-2E 56 D4 58 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10
-3A F0 0F 00 82 DA C4 1D 4A 3F 08 58 03 52 52 43
-84 12 CE 58 00 10 EC 58 05 52 52 43 2E 42 84 12
-CE 58 40 10 F8 58 04 53 57 50 42 00 84 12 CE 58
-80 10 06 59 03 52 52 41 84 12 CE 58 00 11 14 59
-05 52 52 41 2E 42 84 12 CE 58 40 11 20 59 03 53
-58 54 84 12 CE 58 80 11 00 00 04 50 55 53 48 00
-84 12 CE 58 00 12 3A 59 06 50 55 53 48 2E 42 00
-84 12 CE 58 40 12 8E 58 04 43 41 4C 4C 00 84 12
-CE 58 80 12 34 40 2C 00 2E 56 26 57 6E 59 59 42
-C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00
-02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
-5A 0E A8 3F 1A 53 0E 4A 87 12 B0 43 30 46 0D 6F
-75 74 20 6F 66 20 62 6F 75 6E 64 73 E6 4A 48 59
-05 50 55 53 48 4D 84 12 64 59 00 15 B0 59 04 50
-4F 50 4D 00 84 12 64 59 00 17 6E 42 9A 55 D0 59
-82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53
-CA 1D 3E 40 2C 00 B0 12 2A 40 B0 46 D0 47 E0 41
-90 4B 26 57 F6 59 0A 4E 3E 4F 1A 83 2A 92 CA 2F
-8A 10 5A 06 6F 3F 2E 59 04 52 52 43 4D 00 84 12
-CA 59 50 00 08 5A 04 52 52 41 4D 00 84 12 CA 59
-50 01 16 5A 04 52 4C 41 4D 00 84 12 CA 59 50 02
-24 5A 04 52 52 55 4D 00 84 12 CA 59 50 03 85 12
-00 3C 32 5A 03 53 3E 3D 85 12 00 38 44 5A 02 53
-3C 00 85 12 00 34 BE 59 03 30 3E 3D 85 12 00 30
-58 5A 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
-85 12 00 2C 6C 5A 03 55 3E 3D 85 12 00 28 62 5A
-03 30 3C 3E 85 12 00 24 80 5A 02 30 3D 00 85 12
-00 20 06 45 02 49 46 00 1A 42 CC 1D 8A 4E 00 00
-A2 53 CC 1D 0E 4A 30 4D 76 5A 04 54 48 45 4E 00
-1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
-3A 90 00 02 68 2F 88 DA 00 00 30 4D 3E 58 04 45
-4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53
-CC 1D 2F 83 8F 4A 00 00 E3 3F AA 5A 05 55 4E 54
-49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89
-0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
-00 00 A2 53 CC 1D 30 4D C2 58 05 41 47 41 49 4E
-87 12 3E 5A F2 5A 2A 40 00 00 05 57 48 49 4C 45
-87 12 98 5A 78 40 2A 40 4E 5A 06 52 45 50 45 41
-54 00 87 12 3E 5A F2 5A B0 5A 2A 40 00 00 03 4A
-4D 50 87 12 82 4B 3E 5A F2 5A 2A 40 3E B0 00 10
-03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
-3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
-4A 4D 50 00 87 12 5C 5B 82 4B 78 40 F2 5A 2A 40
-92 5B 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
-CC 1D 00 00 30 4D 88 43 00 00 A4 3F 58 59 03 42
-57 31 84 12 90 5B 00 00 AE 5B 03 42 57 32 84 12
-90 5B 00 00 BA 5B 03 42 57 33 84 12 90 5B 00 00
-D2 5B 3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F
-00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43
-00 00 61 3F 00 00 03 46 57 31 84 12 D0 5B 00 00
-F6 5B 03 46 57 32 84 12 D0 5B 00 00 02 5C 03 46
-57 33 84 12 D0 5B 00 00 0E 5C 04 47 4F 54 4F 00
-87 12 3E 5A 82 4B D2 48 2A 40 7E 5B 05 3F 47 4F
-54 4F 87 12 5C 5B 82 4B D2 48 2A 40 D2 C3 23 02
-E2 B2 60 02 02 24 30 40 4A 52 1A 52 04 20 19 62
-06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69
-82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10
-C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12
-C2 5C 7A 93 FC 23 0A 43 39 40 05 00 D2 49 14 20
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93
-46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23
-30 41 19 43 3A 43 8A 10 C2 4A 4E 06 82 93 46 06
-05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83 F3 23
-5A 42 4C 06 30 41 1A 52 08 20 09 43 1C D3 F2 40
-51 00 19 20 B0 12 3C 5C 34 20 B0 12 C2 5C 7A 90
-FE FF 04 24 FA 23 D9 42 4C 06 FF 1D F2 43 4E 06
-03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0
-03 00 D2 D3 23 02 30 41 09 43 2C D3 F0 40 58 00
-E9 C2 B0 12 3C 5C 15 20 3A 40 FE FF 29 43 B0 12
-C6 5C D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02
-F8 23 39 40 03 00 B0 12 C4 5C 7A C0 E1 00 6A 92
-DE 27 8C 10 1C 52 4C 06 D2 D3 23 02 87 12 30 46
-0B 3C 20 53 44 20 45 72 72 6F 72 21 7E 5D 2F 82
-8F 4E 02 00 9F 42 E2 1D 00 00 B2 40 10 00 E2 1D
-0E 4C B0 12 2A 40 7C 43 84 42 FA 40 E6 4A 92 4B
-0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20 58 42
-22 20 92 93 02 20 08 24 59 42 24 20 89 10 0A 59
-88 10 08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20
-92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20
-14 24 92 42 22 20 D0 04 92 42 24 20 D2 04 92 42
-12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20
-92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00
-22 20 92 4B 10 00 24 20 B0 12 CC 5D 5A 4B 03 00
-82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90
-20 00 00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42
-32 20 82 43 1E 20 B2 90 00 02 20 20 A3 20 BB 80
-00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20
-03 00 11 28 CB 43 03 00 B0 12 9E 5D B0 12 E6 5C
-8B 43 10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24
-9B 48 02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00
-0B 20 92 9B 12 00 1E 20 7D 2C BB 90 00 02 12 00
-03 2C 92 4B 12 00 20 20 B0 12 0C 5E 1A 42 1A 20
-19 42 1C 20 1B 3F 3C 42 3B 40 40 20 09 43 CB 93
-02 00 10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20
-0A 00 07 24 09 4B 3B 50 18 00 3B 90 00 21 EF 23
-0C 5C 30 41 0C 43 82 4B 32 20 8B 49 00 00 49 93
-0A 24 99 52 CA 1D 16 00 4A 93 05 34 C9 93 02 00
-02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42
-1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48
-08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48
-1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00
-9B 48 1E 1E 14 00 82 43 1E 20 6A 93 5F 27 C9 37
-8B 43 16 00 7A 93 02 24 0A 38 95 3F B2 40 3C 21
-5C 42 B2 40 28 44 92 44 B2 40 02 21 00 21 18 42
-00 21 B2 50 06 00 00 21 19 42 CA 1D 1A 42 C6 1D
-0A 89 88 4A 00 00 19 52 C8 1D 88 49 02 00 88 4D
-04 00 79 3F 1B 42 32 20 0B 93 A3 27 EB 93 02 00
-04 20 B0 12 16 64 B0 12 DE 63 5A 4B 02 00 CB 43
-02 00 09 4B 2B 4B 82 4B 32 20 7A 93 07 20 B2 40
-3C 1D 5C 42 B2 40 A0 44 92 44 0A 3C 0B 93 89 27
-CB 93 02 00 86 37 92 4B 16 00 1E 20 B0 12 86 5E
-21 52 2F 53 B2 80 06 00 00 21 1A 42 00 21 3E 4A
-BF 4A 00 00 3D 4A 30 4D 90 51 85 52 45 41 44 22
-5A 43 19 3C 38 52 86 57 52 49 54 45 22 00 6A 43
-12 3C F8 50 84 44 45 4C 22 00 6A 42 0C 3C 10 55
-05 43 4C 4F 53 45 B0 12 94 5F 30 4D 16 50 85 4C
-4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93
-BE 1D 0B 24 87 12 34 40 34 40 DC 48 DC 48 4E 46
-6C 46 34 40 68 60 DC 48 2A 40 87 12 34 40 22 00
-B0 46 24 49 66 60 3D 41 35 4F 0E 55 82 4E 36 20
-1C 43 92 42 2C 20 22 20 92 42 2E 20 24 20 0E 95
-8D 24 F5 90 3A 00 01 00 01 20 25 53 F5 90 5C 00
-00 00 08 20 15 53 92 42 02 20 22 20 82 43 24 20
-0E 95 70 24 82 45 34 20 B0 12 CC 5D 34 40 20 00
-A2 93 02 20 04 24 92 92 22 20 02 20 02 24 14 42
-12 20 B0 12 AC 5E 2C 43 0A 43 08 4A 58 0E 08 58
-82 48 30 20 C8 93 00 1E 61 24 39 42 F8 95 00 1E
-04 20 18 53 19 83 FA 23 15 53 F5 90 2E 00 FF FF
-19 24 39 50 03 00 B0 12 2A 5E 06 20 F5 90 5C 00
-FF FF 29 24 0E 95 27 28 15 42 34 20 1A 53 3A 90
-10 00 DB 23 92 53 1A 20 82 63 1C 20 14 83 D1 23
-2C 42 3C 3C F5 90 2E 00 FE FF EE 27 B0 12 2A 5E
-EB 23 39 40 03 00 F8 95 00 1E 04 20 18 53 19 83
-FA 23 09 3C 0E 95 E0 2F F5 90 5C 00 FF FF DC 23
-B0 12 2A 5E D9 23 18 42 30 20 92 48 1A 1E 22 20
-92 48 14 1E 24 20 F8 B0 10 00 0B 1E 14 24 82 93
-24 20 06 20 82 93 22 20 03 20 92 42 02 20 22 20
-0E 95 8E 2F 92 42 22 20 2C 20 92 42 24 20 2E 20
-8F 43 00 00 03 3C 2A 4F B0 12 B6 5E 34 40 14 40
-35 40 08 40 3A 4F 3E 4F 0A 93 05 24 7A 93 13 20
-0C 93 02 20 3D 41 30 4D 87 12 30 46 0B 3C 20 4F
-70 65 6E 45 72 72 6F 72 8C 45 C6 43 24 49 EE 45
-B6 45 DC 41 7C 5D 1A 93 B6 20 0C 93 ED 23 30 4D
-FA 5F 04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42
-32 20 B0 12 3E 5E 1E 82 32 20 30 4D 2C 43 12 12
-2A 20 18 42 02 20 08 58 2A 41 82 9A 0A 20 A1 24
-B0 12 E6 5C 09 43 28 93 03 24 89 93 02 1E 03 20
-89 93 00 1E 07 24 09 58 39 90 00 02 F4 23 91 53
-00 00 EA 3F 0C 43 6A 41 B9 43 00 1E 28 93 0F 24
-B9 40 FF 0F 02 1E 09 11 8A 10 09 5A 5A 41 01 00
-0A 11 09 10 82 4A 28 20 82 49 26 20 07 3C 09 11
-C2 49 26 20 C2 4A 27 20 82 43 28 20 3A 41 82 4A
-2A 20 30 41 0A 12 1A 52 08 20 B0 12 28 5D 3A 41
-1A 52 0C 20 30 40 28 5D F2 B0 40 00 A2 04 29 20
-F2 B0 10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42
-B4 04 F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52
-E4 04 D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04
-C0 04 B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04
-C0 04 B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52
-E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B
-5C 5D 7C 2E 29 92 06 38 39 80 03 00 B0 12 34 63
-39 40 03 00 7A 4B C8 4A 00 1E 82 9B 36 20 12 28
-0D 12 3D 40 0F 00 3C 40 E4 62 7A 9C F3 27 1D 83
-FC 23 3D 41 6A 9C E6 27 3A 80 21 00 EB 3B 18 53
-19 83 E8 23 09 93 06 24 F8 40 20 00 00 1E 18 53
-19 83 FA 23 30 41 2A 93 EB 20 2C 93 0D 24 0C 93
-BA 24 87 12 30 46 0C 3C 20 57 72 69 74 65 45 72
-72 6F 72 00 DC 41 C8 61 B0 12 FC 61 92 42 26 20
-22 20 92 42 28 20 24 20 B0 12 74 62 B0 12 AC 5E
-18 42 30 20 F8 40 20 00 0B 1E B0 12 88 62 88 43
-0C 1E 88 4A 0E 1E 88 49 10 1E 88 49 12 1E 98 42
-24 20 14 1E 98 42 22 20 1A 1E 88 43 1C 1E 88 43
-1E 1E 1C 43 1B 42 34 20 82 9B 36 20 CA 27 FB 90
-2E 00 00 00 C6 27 39 40 0B 00 B0 12 04 63 B0 12
-20 64 2A 43 B0 12 B6 5E 0C 93 BB 23 30 4D 1A 4B
-04 00 19 4B 06 00 B0 12 EC 5C B0 12 88 62 18 4B
-08 00 88 49 12 1E 88 4A 16 1E 88 49 18 1E 98 4B
-12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B
-06 00 30 40 2A 5D 9B 52 1E 20 12 00 8B 63 14 00
-1A 42 1A 20 19 42 1C 20 30 40 2A 5D B2 40 00 02
-1E 20 1B 42 32 20 B0 12 16 64 82 43 1E 20 DB 53
-03 00 DB 92 12 20 03 00 22 20 CB 43 03 00 B0 12
-9E 5D 08 12 0A 12 B0 12 FC 61 2A 91 05 24 B0 12
-74 62 2A 41 B0 12 E6 5C 3A 41 38 41 98 42 26 20
-00 1E 92 93 02 20 03 24 98 42 28 20 02 1E B0 12
-74 62 9B 42 26 20 0E 00 9B 42 28 20 10 00 30 40
-0C 5E 06 60 05 57 52 49 54 45 B0 12 2C 64 30 4D
-E2 61 07 53 44 5F 45 4D 49 54 B2 90 00 02 1E 20
-02 28 B0 12 2C 64 18 42 1E 20 C8 4E 00 1E 92 53
-1E 20 3E 4F 30 4D 58 4B 13 00 59 4B 14 00 89 10
-09 58 58 4B 15 00 5B 42 12 20 0A 43 3C 42 08 11
-09 10 4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37
-1B 42 32 20 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00
-8B 48 10 00 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01
-12 00 3A F0 FF 01 82 4A 1E 20 B0 12 A8 5E 30 4D
-0C 93 38 20 38 90 E0 01 03 2C C8 93 20 1E 02 24
-7C 40 E5 00 C8 4C 00 1E B0 12 20 64 B0 12 AA 5D
-82 4A 2A 20 0B 4A B0 12 E6 5C 1A 48 00 1E 88 43
-00 1E 92 93 02 20 09 24 19 48 02 1E 88 43 02 1E
-39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24 82 4A
-22 20 82 49 24 20 B0 12 AA 5D 0B 9A E6 27 0A 12
-0A 4B B0 12 74 62 3A 41 DD 3F 0A 4B B0 12 74 62
-B0 12 94 5F 30 4D 2E 4F 08 54 45 52 4D 32 53 44
-22 00 87 12 1A 60 34 40 02 00 C6 43 24 49 68 60
-B2 65 3D 41 92 C3 DC 05 08 43 B0 12 D4 44 92 B3
-DC 05 FD 27 59 42 CC 05 69 92 0D 24 C8 49 00 1E
-18 53 38 90 FF 01 F3 2B 03 24 B0 12 2C 64 EC 3F
-B0 12 E6 44 EC 3F B0 12 E6 44 82 48 1E 20 B0 12
-94 5F 3D 41 30 4D 6E 4E 0A 7B 53 44 5F 54 4F 4F
-4C 53 7D 00 30 4D A2 64 06 53 45 43 54 4F 52 00
-09 4E 2A 4F B0 12 EC 5C 87 12 9A 42 2C 43 46 43
-EE 45 B6 45 34 40 00 1E 34 40 00 02 F4 67 2A 40
-20 60 07 43 4C 55 53 54 45 52 82 4E 24 20 A2 4F
-22 20 B0 12 CC 5D 9F 42 1A 20 00 00 1E 42 1C 20
-DF 3F 8C 50 03 46 41 54 2F 82 8F 4E 02 00 9F 42
-08 20 00 00 0E 43 D4 3F 14 60 03 44 49 52 2F 82
-8F 4E 02 00 92 42 2C 20 22 20 92 42 2E 20 24 20
-E0 3F F8 65 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-EA 50 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 40 3C 00 80 45 B0 43 34 40
-08 00 80 45 34 40 3E 00 80 45 B6 45 8A 40 8A 40
-C4 41 EA 41 DC 66 62 40 62 40 2A 40 F0 41 28 42
-F2 40 7C 43 34 40 02 00 00 42 DE 66 2A 40 92 66
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F 76 47 01 3F 2E 4E 30 40 7C 43 94 64 05 57
-4F 52 44 53 87 12 2C 46 34 40 03 00 CC 45 34 40
-D0 1D F2 40 50 42 34 40 10 00 44 40 20 41 1C 50
-34 40 00 00 44 40 34 40 10 00 44 40 20 41 34 40
-00 00 F0 41 44 40 28 42 50 42 20 41 F2 40 D2 41
-E0 41 62 67 62 40 62 40 28 42 44 40 50 42 20 41
-F2 40 34 40 02 00 00 42 44 67 54 40 E0 41 A4 67
-44 40 34 40 02 00 28 41 F2 40 9A 40 50 42 20 41
-FA 40 44 40 24 49 34 40 7F 00 36 41 EE 45 08 41
-34 40 0F 00 36 41 34 40 10 00 78 40 28 41 CC 45
-DC 41 30 67 62 40 2A 40 2E 60 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D AA 67 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 98 65 03 55 2E 52 87 12 B0 40 9A 42
-34 40 00 00 FA 42 2C 43 46 43 BC 40 8A 40 28 41
-34 40 00 00 AE 67 CC 45 EE 45 2A 40 6A 66 04 44
-55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D
-2E 5F B0 12 2A 40 78 40 8A 40 8A 40 7C 43 34 40
-01 00 28 41 7C 43 34 40 FE FF 36 41 F0 41 2C 46
-28 42 34 40 07 00 CA 67 B6 45 28 42 34 40 10 00
-20 41 28 42 F0 41 28 42 08 41 34 40 03 00 CA 67
-12 42 36 68 B6 45 B6 45 28 42 34 40 10 00 20 41
-28 42 F0 41 28 42 08 41 34 40 7E 00 BC 67 6E 42
-AE 67 80 45 12 42 54 68 34 40 10 00 00 42 1E 68
-BC 40 84 42 FA 40 2A 40
-@FFFE
-50 52
-q
index 2d2648f..6e362cf 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 14 45 80 3E 00 24 05 00 18 00 20 68 9C 54
-D4 44 E6 44 94 5C D2 5C
+10 00 C8 44 80 3E 00 24 05 00 18 00 8C 69 3A 54
+8E 44 A0 44 32 5C 70 5C
 @4000
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,94 +21,92 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 41 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 40 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 40 03 41 4E 44 3E FF 30 4D 84 40 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 41
-06 4E 45 47 41 54 45 00 E8 3F 32 41 03 41 42 53
-0E 93 E3 33 30 4D D8 40 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 41 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 41 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 41 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 41 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 41 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 41 03 50 41 44
-85 12 E4 1C 0E 41 03 43 49 42 85 12 3C 1D 56 42
-03 43 50 4C 85 12 54 00 60 42 02 42 4C 00 85 12
-20 00 C2 41 03 3E 49 4E 85 12 CA 1D 6A 42 04 42
-41 53 45 00 85 12 E2 1D C8 40 05 53 54 41 54 45
-85 12 BE 1D B4 41 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 42 06 55 4D 2F 4D 4F 44 00 30 12 62 40
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 40 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 42 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 42 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 42 02 23 53 00 87 12 FA 42
-32 43 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 43 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 42 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 42 02 55 2E 00 87 12 9A 42
-34 40 00 00 2C 43 46 43 EE 45 B6 45 2A 40 68 41
-02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41 2C 43
-9A 40 6A 43 46 43 EE 45 B6 45 2A 40 50 41 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 43
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 41 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 43 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 43 05 28 4B 45 59 29
-18 42 CC 05 2F 83 8F 4E 00 00 B0 12 D4 44 92 B3
-DC 05 FD 27 1E 42 CC 05 B0 12 E6 44 30 4D 38 42
-03 4B 45 59 30 40 00 44 0D 12 3D 40 48 44 1B 42
-32 20 9B 42 1E 20 16 00 1A 4F 02 00 8F 4E 00 00
-0E 43 19 42 1E 20 02 3C 4A 44 2D 83 19 92 20 20
-15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C 78 90
-0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F
-64 24 CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12
-E6 5D 3A 41 DE 3F D4 43 06 41 43 43 45 50 54 00
-30 40 A0 44 FA 43 08 28 41 43 43 45 50 54 29 00
-3C 40 52 45 3B 40 1C 45 2D 15 0A 4E 2E 4F 0A 5E
-3B 40 0D 00 3C 40 20 00 3D 40 46 45 92 B3 DC 05
-05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
-00 45 4D 15 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
-E2 C2 23 02 30 41 A2 B3 DC 05 FD 27 B2 40 13 00
-CE 05 E2 D2 23 02 30 41 00 00 05 53 4C 45 45 50
-30 40 0E 45 00 00 07 28 53 4C 45 45 50 29 12 D2
-0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9C 08 2C
-48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83 05 3C
-0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 DC 05 FD 27
-82 48 CE 05 30 4D 48 45 2D 83 92 B3 DC 05 E4 23
-FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24 92 53
-E4 1D 3E 8F 3D 41 30 4D 96 44 06 28 45 4D 49 54
-29 00 08 4E 3E 4F E1 3F 90 43 04 45 4D 49 54 00
-30 40 72 45 7A 45 04 45 43 48 4F 00 B2 40 82 48
-40 45 82 43 E4 1D 30 4D AE 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 40 45 92 43 E4 1D 30 4D 64 43
-05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00
-DF 3F B0 45 06 53 50 41 43 45 53 00 0E 93 09 24
-0D 12 3D 40 D8 45 EF 3F DA 45 2D 83 1E 83 EB 23
-3D 41 3E 4F 30 4D 78 43 04 54 59 50 45 00 0E 93
-BE 24 1E 15 3D 40 04 46 28 4F 7E 48 8F 48 00 00
-2F 83 BE 3F 06 46 2D 83 91 83 02 00 F5 23 1D 17
-AE 3C 6A 45 04 28 43 52 29 00 87 12 30 46 02 0D
-0A 00 EE 45 2A 40 E4 43 02 43 52 00 30 40 1A 46
+00 00 02 31 2B 00 1E 53 30 4D 32 41 02 31 2D 00
+1E 83 30 4D D8 40 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 41
+02 30 3D 00 1E 83 0E 7E 30 4D 60 41 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 41 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 40 01 3E 3E 8F F9 3F 6C 41 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 41 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 41
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 41 03 43 49 42 85 12 3C 1D 24 42 02 42
+4C 00 85 12 20 00 2E 42 04 42 41 53 45 00 85 12
+E2 1D C8 40 05 53 54 41 54 45 85 12 BE 1D 86 41
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 41 06 55
+4D 2F 4D 4F 44 00 30 12 62 40 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 40 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 42 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 42
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 42 02 23 53 00 87 12 B4 42 EC 42 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 42 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 41
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 42 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 42 02 55 2E 00 87 12 54 42 34 40 00 00 E6 42
+00 43 CA 45 92 45 2A 40 46 41 02 44 2E 00 87 12
+54 42 78 40 8A 40 4C 41 E6 42 9A 40 24 43 00 43
+CA 45 92 45 2A 40 84 40 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 43 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 40 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 42 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 43 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 8E 44 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 A0 44 30 4D 10 42 03 4B 45 59 30 40
+BA 43 0D 12 3D 40 02 44 1B 42 32 20 9B 42 1E 20
+16 00 1A 4F 02 00 8F 4E 00 00 0E 43 19 42 1E 20
+02 3C 04 44 2D 83 19 92 20 20 15 2C 58 49 00 1E
+19 53 78 90 20 00 08 2C 78 90 0A 00 F4 23 82 49
+1E 20 2F 53 3D 41 30 4D 2E 9F 61 24 CA 48 00 00
+1A 53 1E 53 5C 3C 0A 12 B0 12 84 5D 3A 41 DE 3F
+8E 43 06 41 43 43 45 50 54 00 30 40 5A 44 B4 43
+08 28 41 43 43 45 50 54 29 00 3C 40 06 45 3B 40
+D0 44 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40
+20 00 3D 40 FA 44 92 B3 DC 05 05 24 18 42 CC 05
+38 90 0A 00 04 20 21 53 39 40 B4 44 4D 15 A2 B3
+DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02 30 41
+B2 40 13 00 CE 05 E2 D2 23 02 30 41 00 00 05 53
+4C 45 45 50 30 40 C2 44 00 00 07 28 53 4C 45 45
+50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05
+48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F 0F 24
+1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3
+DC 05 FD 27 82 48 CE 05 30 4D FC 44 2D 83 92 B3
+DC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D
+02 24 92 53 E4 1D 3E 8F 3D 41 30 4D 50 44 06 28
+45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80 06 00
+8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00 AF 4F
+02 00 83 3F 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
+3E 4F 30 4D 4A 43 04 45 4D 49 54 00 30 40 26 45
+56 45 04 45 43 48 4F 00 B2 40 82 48 F4 44 82 43
+E4 1D 30 4D 68 43 06 4E 4F 45 43 48 4F 00 B2 40
+30 4D F4 44 92 43 E4 1D 30 4D 1E 43 05 53 50 41
+43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F 8C 45
+06 53 50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40
+B4 45 EF 3F B6 45 2D 83 1E 83 EB 23 3D 41 3E 4F
+30 4D 32 43 04 54 59 50 45 00 0E 93 0F 24 1E 15
+3D 40 E0 45 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F
+E2 45 2D 83 91 83 02 00 F5 23 1D 17 2F 53 3E 4F
+30 4D 1E 45 04 28 43 52 29 00 87 12 10 46 02 0D
+0A 00 CA 45 2A 40 9E 43 02 43 52 00 30 40 FA 45
 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
-0D 63 30 4D 28 46 07 43 41 50 53 5F 4F 4E B2 43
-B4 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
-82 43 B4 1D 30 4D C4 45 82 53 22 00 87 12 34 40
-30 46 DC 48 60 46 34 40 22 00 B0 46 4E 46 80 46
+0D 63 30 4D 08 46 07 43 41 50 53 5F 4F 4E B2 43
+B4 1D 30 4D 26 46 08 43 41 50 53 5F 4F 46 46 00
+82 43 B4 1D 30 4D A0 45 82 53 22 00 87 12 34 40
+10 46 B4 48 40 46 34 40 22 00 90 46 2E 46 60 46
 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D
-A2 63 CC 1D 30 4D 9A 45 82 2E 22 00 87 12 6C 46
-34 40 EE 45 DC 48 2A 40 00 00 04 57 4F 52 44 00
+A2 63 CC 1D 30 4D 76 45 82 2E 22 00 87 12 4C 46
+34 40 CA 45 B4 48 2A 40 00 00 04 57 4F 52 44 00
 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
@@ -120,530 +118,555 @@ CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
 FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
-00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
-3E 4F 30 4D 74 42 07 3E 4E 55 4D 42 45 52 3C 4F
-38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00
-7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
-0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
-19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
-E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
-0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43
-3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
-7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
-6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
-6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
-22 48 0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02
-FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00
-00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A
-09 5C 69 49 39 80 30 00 79 90 0A 00 05 28 79 80
-07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00
-0E 4B 2C 15 B0 12 B0 42 2A 17 E6 3F 9F 4F 04 00
-02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B
-E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F
-02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3
-02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0
-00 02 01 20 2F 53 30 4D 86 45 07 45 58 45 43 55
-54 45 0A 4E 3E 4F 00 4A 26 41 01 2C 1A 42 CC 1D
-A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D DA 48 87 4C
-49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D
-A2 52 CC 1D BA 40 34 40 00 00 8A 4E 02 00 3E 4F
-32 B0 00 02 32 C0 00 02 F1 23 30 4D 56 46 05 43
-4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF
-30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D
-87 12 6E 42 B0 46 48 49 3D 40 54 49 E0 22 3E 4F
-3D 41 30 4D 56 49 0A 4E 3E 4F 3D 40 6C 49 38 27
-3D 40 42 49 1A E2 BE 1D B9 27 B3 23 6E 49 3E 4F
-3D 40 42 49 C0 23 DE 53 00 00 68 4E 08 5E F8 40
-3F 00 00 00 3D 40 12 4C CD 3F CA 48 08 45 56 41
-4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49
-3D 15 B0 12 2A 40 32 49 AA 49 B2 41 CA 1D B2 41
-C8 1D B2 41 C6 1D 3D 41 30 4D 4C 42 04 51 55 49
-54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D
-82 43 08 18 B0 12 2A 40 30 46 05 0D 0A 6F 6B 20
-EE 45 5A 42 44 40 64 42 90 44 B6 45 32 49 DE 40
-92 41 30 46 0D 73 74 61 63 6B 20 65 6D 70 74 79
-21 20 88 4A 34 40 30 FF C6 43 D2 41 30 46 0B 46
-52 41 4D 20 66 75 6C 6C 21 20 88 4A 90 42 F2 40
-E0 41 D8 49 30 46 05 0D 0A 20 20 20 DC 41 E0 49
-B2 40 84 51 E8 51 B2 40 0E 45 02 45 B2 40 72 45
-82 45 B2 40 1A 46 2E 46 B2 40 00 44 26 44 B2 40
-A0 44 92 44 B2 40 3C 1D 5C 42 82 43 5E 5B 82 43
-6A 5B 82 43 76 5B 82 43 A6 5B 82 43 B2 5B 82 43
-BE 5B B2 40 0A 00 E2 1D 30 41 88 44 05 41 42 4F
-52 54 3F 40 80 1C 9D 3F 8F 93 02 00 6F 26 B2 40
-82 48 40 45 1B 42 32 20 0B 93 04 24 CB 43 02 00
-2B 4B FA 3F B0 12 3C 4A A2 B3 DC 05 FD 27 B2 40
-11 00 CE 05 E2 C2 23 02 92 C3 DC 05 38 40 A0 AA
-39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05
-F3 23 87 12 30 46 04 1B 5B 37 6D 00 EE 45 34 40
-E4 1D F2 40 54 40 E0 41 FE 4A 30 46 05 6C 69 6E
-65 3A EE 45 34 40 01 00 28 41 7C 43 8C 45 EE 45
-30 46 04 1B 5B 30 6D 00 EE 45 FA 50 4E 46 82 4A
-7C 4A 86 41 42 4F 52 54 22 00 87 12 6C 46 34 40
-88 4A DC 48 2A 40 08 47 01 27 87 12 6E 42 B0 46
-0E 47 E0 41 38 4B 2A 40 76 49 96 42 81 5C 92 42
-C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
-3C 4B 01 5D B2 43 BE 1D 30 4D 48 4B 83 5B 27 5D
-87 12 2A 4B 34 40 34 40 DC 48 DC 48 2A 40 BE 4F
-02 00 3E 4F 30 4D 14 46 82 49 53 00 87 12 90 42
-F2 40 E0 41 90 4B 60 4B 34 40 6E 4B DC 48 2A 40
-2A 4B 6E 4B 2A 40 78 4B 09 49 4D 4D 45 44 49 41
-54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D 68 46
-87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
-00 00 A2 53 CC 1D 30 4D BC 49 88 50 4F 53 54 50
-4F 4E 45 00 87 12 6E 42 B0 46 0E 47 54 40 E0 41
-38 4B 92 41 E0 41 F4 4B 34 40 34 40 DC 48 DC 48
-34 40 DC 48 DC 48 2A 40 82 9F BC 1D 34 25 87 12
-30 46 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
-68 21 8E 4A 87 12 8C 46 6E 42 B0 46 1E 4C 08 4E
-7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0
-1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D
-2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 AA 46 08 56
-41 52 49 41 42 4C 45 00 B0 12 14 4C BA 40 86 12
-FC FF 05 3D 1E 49 08 43 4F 4E 53 54 41 4E 54 00
-B0 12 14 4C BA 40 85 12 FC FF 8A 4E FE FF 3E 4F
-F6 3C 66 4C 06 43 52 45 41 54 45 00 B0 12 14 4C
-BA 40 85 12 FC FF 8A 4A FE FF E9 3C 8C 49 05 44
-4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00 8A 4D
-02 00 3D 41 30 4D 9E 4C 05 44 45 46 45 52 B0 12
-14 4C BA 40 30 40 FC FF BA 40 B4 4C FE FF CF 3C
-5C 4B 01 3A B0 12 14 4C BA 40 87 12 FC FF A2 83
-CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D D2 4C 81 3B
-82 93 BE 1D 24 27 87 12 34 40 2A 40 DC 48 F8 4B
-4A 4B 2A 40 84 4C 07 43 4F 4D 50 41 52 45 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
-1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
-30 4D 1E 43 30 4D EE 4C 86 5B 54 48 45 4E 5D 00
-30 4D 1E 83 30 4D 38 4D 86 5B 45 4C 53 45 5D 00
-87 12 34 40 01 00 6E 42 B0 46 24 49 44 40 E0 41
-BE 4D 8A 40 8A 40 30 46 04 5B 49 46 5D 00 0E 4D
-EA 41 7C 4D 6E 47 2C 41 DC 41 B2 4D 8A 40 8A 40
-30 46 06 5B 45 4C 53 45 5D 00 0E 4D EA 41 A0 4D
-6E 47 42 4D 44 40 E0 41 9C 4D 2C 41 DC 41 B2 4D
-30 46 06 5B 54 48 45 4E 5D 00 0E 4D EA 41 B2 4D
-42 4D 54 40 EA 41 BA 4D 2A 40 DC 41 56 4D 6E 47
-30 46 05 0D 0A 6B 6F 20 EE 45 5A 42 44 40 64 42
-90 44 D4 4D 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
-3E 4F 3D 40 56 4D 30 4D 48 4D 84 5B 49 46 5D 00
-0E 93 3E 4F AD 27 30 4D EA 4D 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 87 12 6E 42 B0 46 0E 47 6C 40
-86 41 2A 40 FA 4D 89 5B 44 45 46 49 4E 45 44 5D
-87 12 6E 42 B0 46 0E 47 6C 40 2A 40 2E 4E 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D EE 48 06 4D
-41 52 4B 45 52 00 B0 12 14 4C BA 40 84 12 FC FF
-BA 40 2C 4E FE FF 9A 42 CE 1D 00 00 28 83 8A 48
-02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D A8 49
-FE FF 89 48 00 00 30 4D 98 4B 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40 E0 41
-00 00 2E 53 30 4D B8 4C 84 45 4C 53 45 00 A2 52
-CC 1D 1A 42 CC 1D BA 40 DC 41 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D E8 45 84 54 48 45 4E 00 9E 42
-CC 1D 00 00 3E 4F 30 4D 06 4D 85 42 45 47 49 4E
-30 40 C6 43 B8 4E 85 55 4E 54 49 4C 39 40 E0 41
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 12 4B 85 41 47 41 49 4E 39 40 DC 41
-EF 3F 4E 4C 85 57 48 49 4C 45 87 12 7E 4E 78 40
-2A 40 B0 4B 86 52 45 50 45 41 54 00 87 12 FC 4E
-BE 4E 2A 40 98 4E 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 CC 1D 1E 42 CC 1D BE 40 F0 41 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D 3E 4E 84 4C
-4F 4F 50 00 39 40 12 42 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-20 44 85 2B 4C 4F 4F 50 39 40 00 42 E5 3F 4E 4F
-85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 42 00 00
-BA 40 DC 41 02 00 B2 50 06 00 CC 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D 90 4F 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 04 4F 0A 56 4F 43 41 42 55 4C 41 52
-59 00 87 12 8C 4C 34 40 10 00 34 40 00 00 F0 41
-34 40 00 00 DC 48 12 42 10 50 C6 43 34 40 CE 1D
-44 40 F2 40 DC 48 FA 40 A4 4C 34 40 D0 1D FA 40
-2A 40 28 4B 05 46 4F 52 54 48 84 12 2A 50 E2 54
-DA 65 96 67 FC 65 A0 54 82 4F 60 67 98 66 1E 51
-B0 65 6E 67 B6 66 46 41 2C 66 52 4B AC 66 00 00
-F6 4E 04 41 4C 53 4F 00 3A 40 0E 00 39 40 D0 1D
-38 40 D2 1D B6 3F CA 4B 08 50 52 45 56 49 4F 55
-53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D A3 3F
-98 46 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D 26 4F
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 D0 1D
-E0 1D 30 4D 3A 50 98 50 AC 50 BC 50 3A 4E 82 4A
-CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 78 50
-09 50 57 52 5F 53 54 41 54 45 84 12 B4 50 9C 54
-20 68 14 4F 09 52 53 54 5F 53 54 41 54 45 92 42
-0E 18 FE 50 92 42 0C 18 00 51 EF 3F F0 50 08 50
-57 52 5F 48 45 52 45 00 92 42 CE 1D FE 50 92 42
-CC 1D 00 51 30 4D 04 51 08 52 53 54 5F 48 45 52
-45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18 EC 3F
-F6 4F 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
-29 53 39 90 B4 FF FA 23 B0 12 30 4A B2 40 20 68
-0C 18 B2 40 9C 54 0E 18 CA 3F 7A 4E 06 28 57 41
-52 4D 29 00 1E 42 08 18 87 12 30 46 06 0D 1B 5B
-37 6D 23 00 EE 45 B0 43 30 46 27 20 46 61 73 74
-46 6F 72 74 68 20 56 32 30 33 20 31 36 4D 48 7A
-20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 EE 45 34 40 30 FF C6 43 28 41 7C 43 30 46
-0B 62 79 74 65 73 20 66 72 65 65 20 A4 4A 52 51
-04 57 41 52 4D 00 30 40 84 51 CA 4E 04 43 4F 4C
-44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 B2 D0
-03 00 04 02 B2 40 FC FF 02 02 B2 C0 03 00 06 02
-B2 D0 00 04 24 02 B2 D3 26 02 B2 43 22 02 B2 43
-42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
-26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00
-40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00
-62 01 82 43 66 01 39 40 80 00 B2 40 33 00 64 01
-D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24
-59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 42
-B0 01 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0
-40 00 A2 04 3A 40 F8 51 39 40 B4 FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
-39 40 00 1C 89 43 00 00 29 53 39 90 FF 2B FA 2B
-31 40 E0 1C 3F 40 80 1C 37 40 00 40 36 40 BC 40
-35 40 08 40 34 40 14 40 B2 40 0A 00 E2 1D B2 43
-B4 1D 92 C3 30 01 18 42 08 18 E2 B3 01 02 04 20
-38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40
-11 00 C6 05 B2 40 00 4A C8 05 F2 D0 03 00 0D 02
-92 C3 C0 05 92 D3 DA 05 3D 40 32 53 18 42 08 18
-38 90 0A 00 19 27 38 90 16 00 16 2F 28 93 EF 22
-E4 26 34 53 E2 B2 60 02 56 23 B2 40 81 A9 40 06
-B2 40 30 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02
-92 C3 40 06 39 42 B0 12 6C 5C D2 C3 23 02 2C 42
-B2 40 95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12
-30 5C 02 24 30 40 0A 5D B0 12 6A 5C 7A 93 FC 23
-B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48 18 20
-1A 43 B0 12 30 5C 29 42 B0 12 6C 5C 92 43 14 20
-82 43 16 20 78 43 3C 42 B2 40 00 77 18 20 1A 43
-B0 12 30 5C B2 40 40 69 18 20 B0 12 26 5C 03 24
-58 83 F2 23 D7 3F 0C 5C A2 43 16 20 B2 40 00 50
-18 20 B0 12 26 5C CE 23 92 D3 40 06 82 43 46 06
-92 C3 40 06 B0 12 92 5C 38 40 00 1E 92 48 C6 01
-04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20
-7A 80 06 00 0D 24 7A 82 0B 24 A2 43 02 20 6A 53
-07 24 5A 53 05 24 3A 50 0B 20 0C 4A 30 40 10 5D
-B0 12 92 5C D2 48 0D 00 12 20 19 48 0E 00 82 49
-08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A
-0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24
-82 49 0E 20 39 50 20 00 19 82 12 20 19 82 12 20
-82 49 10 20 92 42 02 20 2C 20 BD 3E 62 50 09 41
-53 53 45 4D 42 4C 45 52 84 12 2A 50 C2 5A 6E 5B
-76 5A C2 5B 3C 5A F6 5A 40 57 00 00 32 5A E2 5A
-94 5A D2 5A 50 58 00 00 00 00 D4 5B 5E 50 7C 51
-85 48 49 32 4C 4F 87 12 C6 43 92 4E DC 48 4A 4B
-68 50 78 54 2A 40 EC 51 04 43 4F 44 45 00 B0 12
-14 4C A2 82 CC 1D 87 12 E6 4C DC 41 B0 54 00 00
-07 45 4E 44 43 4F 44 45 87 12 82 50 F8 4B 2A 40
-6E 54 03 41 53 4D 92 42 E0 1D C0 1D B2 40 7C 54
-E0 1D E5 3F D0 54 06 45 4E 44 41 53 4D 00 92 42
-C0 1D E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
-CC 1D BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D
-30 40 82 50 00 00 05 4C 4F 32 48 49 1A 42 CC 1D
-BA 40 B0 12 00 00 BA 40 2A 40 02 00 A2 52 CC 1D
-ED 3F 38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D
-09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
-CA 1D 30 4D B0 12 2A 40 B0 46 0E 47 EA 41 78 55
-D0 47 E0 41 38 4B 9A 55 7A 55 29 4E 39 90 86 12
-02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
-30 41 39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D
-92 53 CA 1D B0 12 2A 40 B0 46 D0 47 E0 41 CC 55
-C2 55 21 53 3E 90 10 00 BB 2D 30 41 CE 55 B2 41
-CA 1D 22 D3 30 41 87 12 6E 42 42 55 DE 55 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F
-FA 90 23 00 00 00 34 20 92 53 CA 1D B0 12 64 55
-0E 93 04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20
-B2 40 10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03
-C4 1D 1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C
-3E 92 04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20
-B2 40 30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42
-CC 1D A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-FA 90 26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53
-CA 1D 30 12 4E 56 76 3F FA 90 40 00 00 00 1A 20
-B2 40 20 00 C4 1D 92 53 CA 1D B0 12 AC 55 0E 20
-B2 50 10 00 C4 1D 3E 40 2B 00 B0 12 AC 55 32 24
-92 92 C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E
-C4 1D D3 3F B0 12 AC 55 F9 23 B2 50 10 00 C4 1D
-3E 40 28 00 B0 12 64 55 30 12 9E 56 67 3F 87 12
-6E 42 42 55 D6 56 FE 90 26 00 00 00 3E 40 20 00
-04 20 B2 50 82 00 C4 1D C2 3F B0 12 AC 55 DF 23
-B2 50 80 00 C4 1D 3E 40 28 00 B0 12 64 55 B0 12
-9C 55 D5 23 3D 40 38 4B 30 4D FA 44 04 52 45 54
-49 00 87 12 34 40 00 13 DC 48 2A 40 34 40 2C 00
-D6 55 CE 56 26 57 2E 4E 1E D2 C4 1D 19 42 C2 1D
-92 3F 26 55 03 4D 4F 56 84 12 1C 57 00 40 34 57
-05 4D 4F 56 2E 42 84 12 1C 57 40 40 00 00 03 41
-44 44 84 12 1C 57 00 50 4E 57 05 41 44 44 2E 42
-84 12 1C 57 40 50 5A 57 04 41 44 44 43 00 84 12
-1C 57 00 60 68 57 06 41 44 44 43 2E 42 00 84 12
-1C 57 40 60 0C 57 04 53 55 42 43 00 84 12 1C 57
-00 70 86 57 06 53 55 42 43 2E 42 00 84 12 1C 57
-40 70 94 57 03 53 55 42 84 12 1C 57 00 80 A4 57
-05 53 55 42 2E 42 84 12 1C 57 40 80 08 55 03 43
-4D 50 84 12 1C 57 00 90 BE 57 05 43 4D 50 2E 42
-84 12 1C 57 40 90 F6 54 04 44 41 44 44 00 84 12
-1C 57 00 A0 D8 57 06 44 41 44 44 2E 42 00 84 12
-1C 57 40 A0 CA 57 03 42 49 54 84 12 1C 57 00 B0
-F6 57 05 42 49 54 2E 42 84 12 1C 57 40 B0 02 58
-03 42 49 43 84 12 1C 57 00 C0 10 58 05 42 49 43
-2E 42 84 12 1C 57 40 C0 1C 58 03 42 49 53 84 12
-1C 57 00 D0 2A 58 05 42 49 53 2E 42 84 12 1C 57
-40 D0 00 00 03 58 4F 52 84 12 1C 57 00 E0 44 58
-05 58 4F 52 2E 42 84 12 1C 57 40 E0 76 57 03 41
-4E 44 84 12 1C 57 00 F0 5E 58 05 41 4E 44 2E 42
-84 12 1C 57 40 F0 6E 42 D6 55 7C 58 1A 42 C4 1D
-B2 F0 70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D
-4A 3F B0 57 03 52 52 43 84 12 76 58 00 10 94 58
-05 52 52 43 2E 42 84 12 76 58 40 10 A0 58 04 53
-57 50 42 00 84 12 76 58 80 10 AE 58 03 52 52 41
-84 12 76 58 00 11 BC 58 05 52 52 41 2E 42 84 12
-76 58 40 11 C8 58 03 53 58 54 84 12 76 58 80 11
-00 00 04 50 55 53 48 00 84 12 76 58 00 12 E2 58
-06 50 55 53 48 2E 42 00 84 12 76 58 40 12 36 58
-04 43 41 4C 4C 00 84 12 76 58 80 12 34 40 2C 00
-D6 55 CE 56 16 59 59 42 C4 1D 5A 42 C5 1D 82 4A
-C4 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
-0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
-87 12 B0 43 30 46 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 8E 4A F0 58 05 50 55 53 48 4D 84 12
-0C 59 00 15 58 59 04 50 4F 50 4D 00 84 12 0C 59
-00 17 6E 42 42 55 78 59 82 43 C4 1D 92 42 CC 1D
-C2 1D A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12
-2A 40 B0 46 D0 47 E0 41 38 4B CE 56 9E 59 0A 4E
-3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F D6 58
-04 52 52 43 4D 00 84 12 72 59 50 00 B0 59 04 52
-52 41 4D 00 84 12 72 59 50 01 BE 59 04 52 4C 41
-4D 00 84 12 72 59 50 02 CC 59 04 52 52 55 4D 00
-84 12 72 59 50 03 85 12 00 3C DA 59 03 53 3E 3D
-85 12 00 38 EC 59 02 53 3C 00 85 12 00 34 66 59
-03 30 3E 3D 85 12 00 30 00 5A 02 30 3C 00 85 12
-00 30 00 00 02 55 3C 00 85 12 00 2C 14 5A 03 55
-3E 3D 85 12 00 28 0A 5A 03 30 3C 3E 85 12 00 24
-28 5A 02 30 3D 00 85 12 00 20 06 45 02 49 46 00
-1A 42 CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D
-1E 5A 04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
-00 00 30 4D E6 57 04 45 4C 53 45 00 1A 42 CC 1D
-BA 40 00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00
-E3 3F 52 5A 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D
-6A 58 05 41 47 41 49 4E 87 12 E6 59 9A 5A 2A 40
-00 00 05 57 48 49 4C 45 87 12 40 5A 78 40 2A 40
-F6 59 06 52 45 50 45 41 54 00 87 12 E6 59 9A 5A
-58 5A 2A 40 00 00 03 4A 4D 50 87 12 2A 4B E6 59
-9A 5A 2A 40 3E B0 00 10 03 20 3E E0 00 04 30 4D
-3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
-00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 04 5B
-2A 4B 78 40 9A 5A 2A 40 3A 5B 3D 41 08 4E 3E 4F
-2A 48 0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43
-00 00 A4 3F 00 59 03 42 57 31 84 12 38 5B 00 00
-56 5B 03 42 57 32 84 12 38 5B 00 00 62 5B 03 42
-57 33 84 12 38 5B 00 00 7A 5B 3D 41 1A 42 CC 1D
-28 4E 08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A
-00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
-57 31 84 12 78 5B 00 00 9E 5B 03 46 57 32 84 12
-78 5B 00 00 AA 5B 03 46 57 33 84 12 78 5B 00 00
-B6 5B 04 47 4F 54 4F 00 87 12 E6 59 2A 4B D2 48
-2A 40 26 5B 05 3F 47 4F 54 4F 87 12 04 5B 2A 4B
-D2 48 2A 40 D2 C3 23 02 E2 B2 60 02 02 24 30 40
-F2 51 1A 52 04 20 19 62 06 20 92 43 14 20 A2 93
-02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20
-0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20
-89 10 C2 49 18 20 B0 12 6A 5C 7A 93 FC 23 0A 43
-39 40 05 00 D2 49 14 20 4E 06 82 93 46 06 05 24
-92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83
-0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06
-FD 27 5A 92 4C 06 F3 23 30 41 19 43 3A 43 8A 10
-C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27
-C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41 1A 52
-08 20 09 43 1C D3 F2 40 51 00 19 20 B0 12 E4 5B
-34 20 B0 12 6A 5C 7A 90 FE FF 04 24 FA 23 D9 42
-4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02
-F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41
-09 43 2C D3 F0 40 58 00 41 C3 B0 12 E4 5B 15 20
-3A 40 FE FF 29 43 B0 12 6E 5C D2 49 00 1E 4E 06
-03 43 19 53 39 90 00 02 F8 23 39 40 03 00 B0 12
-6C 5C 7A C0 E1 00 6A 92 DE 27 8C 10 1C 52 4C 06
-D2 D3 23 02 87 12 30 46 0B 3C 20 53 44 20 45 72
-72 6F 72 21 26 5D 2F 82 8F 4E 02 00 9F 42 E2 1D
-00 00 B2 40 10 00 E2 1D 0E 4C B0 12 2A 40 7C 43
-84 42 FA 40 8E 4A 92 4B 0E 00 22 20 92 4B 10 00
-24 20 5A 42 23 20 58 42 22 20 92 93 02 20 08 24
-59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10
-08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93
-24 20 03 20 92 93 22 20 14 24 92 42 22 20 D0 04
-92 42 24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04
-1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63
-1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20
-B0 12 74 5D 5A 4B 03 00 82 5A 1A 20 82 63 1C 20
-30 41 09 93 07 24 F8 90 20 00 00 1E 03 20 18 53
-19 83 F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90
-00 02 20 20 A3 20 BB 80 00 02 12 00 8B 73 14 00
-DB 53 03 00 DB 92 12 20 03 00 11 28 CB 43 03 00
-B0 12 46 5D B0 12 8E 5C 8B 43 10 00 9B 48 00 1E
-0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40
-00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20
-7D 2C BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20
-B0 12 B4 5D 1A 42 1A 20 19 42 1C 20 1B 3F 3C 42
-3B 40 40 20 09 43 CB 93 02 00 10 24 9B 92 24 20
-0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50
-18 00 3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B
-32 20 8B 49 00 00 49 93 0A 24 99 52 CA 1D 16 00
-4A 93 05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A
-02 00 CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20
-06 00 18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00
-9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E
-10 00 9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43
-1E 20 6A 93 5F 27 C9 37 8B 43 16 00 7A 93 02 24
-0A 38 95 3F B2 40 3C 21 5C 42 B2 40 28 44 92 44
-B2 40 02 21 00 21 18 42 00 21 B2 50 06 00 00 21
-19 42 CA 1D 1A 42 C6 1D 0A 89 88 4A 00 00 19 52
-C8 1D 88 49 02 00 88 4D 04 00 79 3F 1B 42 32 20
-0B 93 A3 27 EB 93 02 00 04 20 B0 12 BE 63 B0 12
-86 63 5A 4B 02 00 CB 43 02 00 09 4B 2B 4B 82 4B
-32 20 7A 93 07 20 B2 40 3C 1D 5C 42 B2 40 A0 44
-92 44 0A 3C 0B 93 89 27 CB 93 02 00 86 37 92 4B
-16 00 1E 20 B0 12 2E 5E 21 52 2F 53 B2 80 06 00
-00 21 1A 42 00 21 3E 4A BF 4A 00 00 3D 4A 30 4D
-38 51 85 52 45 41 44 22 5A 43 19 3C E0 51 86 57
-52 49 54 45 22 00 6A 43 12 3C A0 50 84 44 45 4C
-22 00 6A 42 0C 3C B8 54 05 43 4C 4F 53 45 B0 12
-3C 5F 30 4D BE 4F 85 4C 4F 41 44 22 7A 43 2F 83
-8F 4E 00 00 0E 4A 82 93 BE 1D 0B 24 87 12 34 40
-34 40 DC 48 DC 48 4E 46 6C 46 34 40 10 60 DC 48
-2A 40 87 12 34 40 22 00 B0 46 24 49 0E 60 3D 41
-35 4F 0E 55 82 4E 36 20 1C 43 92 42 2C 20 22 20
-92 42 2E 20 24 20 0E 95 8D 24 F5 90 3A 00 01 00
-01 20 25 53 F5 90 5C 00 00 00 08 20 15 53 92 42
-02 20 22 20 82 43 24 20 0E 95 70 24 82 45 34 20
-B0 12 74 5D 34 40 20 00 A2 93 02 20 04 24 92 92
-22 20 02 20 02 24 14 42 12 20 B0 12 54 5E 2C 43
-0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E
-61 24 39 42 F8 95 00 1E 04 20 18 53 19 83 FA 23
-15 53 F5 90 2E 00 FF FF 19 24 39 50 03 00 B0 12
-D2 5D 06 20 F5 90 5C 00 FF FF 29 24 0E 95 27 28
-15 42 34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20
-82 63 1C 20 14 83 D1 23 2C 42 3C 3C F5 90 2E 00
-FE FF EE 27 B0 12 D2 5D EB 23 39 40 03 00 F8 95
-00 1E 04 20 18 53 19 83 FA 23 09 3C 0E 95 E0 2F
-F5 90 5C 00 FF FF DC 23 B0 12 D2 5D D9 23 18 42
-30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0
-10 00 0B 1E 14 24 82 93 24 20 06 20 82 93 22 20
-03 20 92 42 02 20 22 20 0E 95 8E 2F 92 42 22 20
-2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F
-B0 12 5E 5E 34 40 14 40 35 40 08 40 3A 4F 3E 4F
-0A 93 05 24 7A 93 13 20 0C 93 02 20 3D 41 30 4D
-87 12 30 46 0B 3C 20 4F 70 65 6E 45 72 72 6F 72
-8C 45 C6 43 24 49 EE 45 B6 45 DC 41 24 5D 1A 93
-B6 20 0C 93 ED 23 30 4D A2 5F 04 52 45 41 44 00
-2F 83 8F 4E 00 00 1E 42 32 20 B0 12 E6 5D 1E 82
-32 20 30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58
-2A 41 82 9A 0A 20 A1 24 B0 12 8E 5C 09 43 28 93
-03 24 89 93 02 1E 03 20 89 93 00 1E 07 24 09 58
-39 90 00 02 F4 23 91 53 00 00 EA 3F 0C 43 6A 41
-B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11
-8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20
-82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20
-82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52
-08 20 B0 12 D0 5C 3A 41 1A 52 0C 20 30 40 D0 5C
-F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27
-5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04
-D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04
-19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04
-1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04
-B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C
-2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38
-39 80 03 00 B0 12 DC 62 39 40 03 00 7A 4B C8 4A
-00 1E 82 9B 36 20 12 28 0D 12 3D 40 0F 00 3C 40
-8C 62 7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C E6 27
-3A 80 21 00 EB 3B 18 53 19 83 E8 23 09 93 06 24
-F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93
-EB 20 2C 93 0D 24 0C 93 BA 24 87 12 30 46 0C 3C
-20 57 72 69 74 65 45 72 72 6F 72 00 DC 41 70 61
-B0 12 A4 61 92 42 26 20 22 20 92 42 28 20 24 20
-B0 12 1C 62 B0 12 54 5E 18 42 30 20 F8 40 20 00
-0B 1E B0 12 30 62 88 43 0C 1E 88 4A 0E 1E 88 49
-10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42 22 20
-1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 34 20
-82 9B 36 20 CA 27 FB 90 2E 00 00 00 C6 27 39 40
-0B 00 B0 12 AC 62 B0 12 C8 63 2A 43 B0 12 5E 5E
-0C 93 BB 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12
-94 5C B0 12 30 62 18 4B 08 00 88 49 12 1E 88 4A
-16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00
-1E 1E 1A 4B 04 00 19 4B 06 00 30 40 D2 5C 9B 52
-1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20
-30 40 D2 5C B2 40 00 02 1E 20 1B 42 32 20 B0 12
-BE 63 82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00
-22 20 CB 43 03 00 B0 12 46 5D 08 12 0A 12 B0 12
-A4 61 2A 91 05 24 B0 12 1C 62 2A 41 B0 12 8E 5C
-3A 41 38 41 98 42 26 20 00 1E 92 93 02 20 03 24
-98 42 28 20 02 1E B0 12 1C 62 9B 42 26 20 0E 00
-9B 42 28 20 10 00 30 40 B4 5D AE 5F 05 57 52 49
-54 45 B0 12 D4 63 30 4D 8A 61 07 53 44 5F 45 4D
-49 54 B2 90 00 02 1E 20 02 28 B0 12 D4 63 18 42
-1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D 58 4B
-13 00 59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42
-12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11
-FA 2B 0A 11 1C 83 FD 37 1B 42 32 20 19 5B 0A 00
-18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00
-1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A
-1E 20 B0 12 50 5E 30 4D 0C 93 38 20 38 90 E0 01
-03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E
-B0 12 C8 63 B0 12 52 5D 82 4A 2A 20 0B 4A B0 12
-8E 5C 1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24
-19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F
-02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12
-52 5D 0B 9A E6 27 0A 12 0A 4B B0 12 1C 62 3A 41
-DD 3F 0A 4B B0 12 1C 62 B0 12 3C 5F 30 4D D6 4E
-08 54 45 52 4D 32 53 44 22 00 87 12 C2 5F 34 40
-02 00 C6 43 24 49 10 60 5A 65 3D 41 92 C3 DC 05
-08 43 B0 12 D4 44 92 B3 DC 05 FD 27 59 42 CC 05
-69 92 0D 24 C8 49 00 1E 18 53 38 90 FF 01 F3 2B
-03 24 B0 12 D4 63 EC 3F B0 12 E6 44 EC 3F B0 12
-E6 44 82 48 1E 20 B0 12 3C 5F 3D 41 30 4D 16 4E
-0A 7B 53 44 5F 54 4F 4F 4C 53 7D 00 30 4D 4A 64
-06 53 45 43 54 4F 52 00 09 4E 2A 4F B0 12 94 5C
-87 12 9A 42 2C 43 46 43 EE 45 B6 45 34 40 00 1E
-34 40 00 02 9C 67 2A 40 C8 5F 07 43 4C 55 53 54
-45 52 82 4E 24 20 A2 4F 22 20 B0 12 74 5D 9F 42
-1A 20 00 00 1E 42 1C 20 DF 3F 34 50 03 46 41 54
-2F 82 8F 4E 02 00 9F 42 08 20 00 00 0E 43 D4 3F
-BC 5F 03 44 49 52 2F 82 8F 4E 02 00 92 42 2C 20
-22 20 92 42 2E 20 24 20 E0 3F A0 65 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 92 50 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40
-3C 00 80 45 B0 43 34 40 08 00 80 45 34 40 3E 00
-80 45 B6 45 8A 40 8A 40 C4 41 EA 41 84 66 62 40
-62 40 2A 40 F0 41 28 42 F2 40 7C 43 34 40 02 00
-00 42 86 66 2A 40 3A 66 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 76 47 01 3F 2E 4E
-30 40 7C 43 3C 64 05 57 4F 52 44 53 87 12 2C 46
-34 40 03 00 CC 45 34 40 D0 1D F2 40 50 42 34 40
-10 00 44 40 20 41 C4 4F 34 40 00 00 44 40 34 40
-10 00 44 40 20 41 34 40 00 00 F0 41 44 40 28 42
-50 42 20 41 F2 40 D2 41 E0 41 0A 67 62 40 62 40
-28 42 44 40 50 42 20 41 F2 40 34 40 02 00 00 42
-EC 66 54 40 E0 41 4C 67 44 40 34 40 02 00 28 41
-F2 40 9A 40 50 42 20 41 FA 40 44 40 24 49 34 40
-7F 00 36 41 EE 45 08 41 34 40 0F 00 36 41 34 40
-10 00 78 40 28 41 CC 45 DC 41 D8 66 62 40 2A 40
-D6 5F 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 52 67
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 40 65 03 55
-2E 52 87 12 B0 40 9A 42 34 40 00 00 FA 42 2C 43
-46 43 BC 40 8A 40 28 41 34 40 00 00 56 67 CC 45
-EE 45 2A 40 12 66 04 44 55 4D 50 00 0D 12 12 12
+00 00 35 40 08 40 34 40 14 40 30 4D 94 41 07 3E
+4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82 1B 42
+E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28 7A 80
+07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49 D0 04
+82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04
+09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00 8F 4C
+00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D 32 C0
+00 02 2D 15 09 43 08 43 3D 40 F8 47 3F 82 8F 4E
+06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C 5A 83
+2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40 10 00
+5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00 5A 93
+B8 23 B1 43 02 00 CE 3F FA 47 0E 93 32 24 32 B0
+00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 6A 42
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+62 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 41 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E 00 00
+3E 4F 30 4D B2 48 87 4C 49 54 45 52 41 4C 82 93
+BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40 34 40
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D 36 46 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 87 12 44 45 32 42
+90 46 14 49 3D 40 1C 49 EA 22 50 3E 1E 49 0A 4E
+3E 4F 3D 40 34 49 40 27 3D 40 0E 49 1A E2 BE 1D
+C1 27 BB 23 36 49 3E 4F 3D 40 0E 49 C8 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 D6 4B
+D5 3F A2 48 08 45 56 41 4C 55 41 54 45 00 39 40
+C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40 0A 49
+72 49 B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D 3D 41
+30 4D 9C 41 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12 2A 40
+10 46 05 0D 0A 6F 6B 20 CA 45 2C 45 92 45 0A 49
+DE 40 70 41 10 46 0D 73 74 61 63 6B 20 65 6D 70
+74 79 21 20 4A 4A 34 40 30 FF 80 43 AC 41 10 46
+0B 46 52 41 4D 20 66 75 6C 6C 21 20 4A 4A 4A 42
+F2 40 B8 41 A0 49 10 46 05 0D 0A 20 20 20 B4 41
+A8 49 42 44 05 41 42 4F 52 54 3F 40 80 1C C5 3F
+B2 40 2A 51 86 51 B2 40 C2 44 B6 44 B2 40 3C 1D
+2A 42 B2 40 26 45 5E 45 B2 40 FA 45 0E 46 B2 40
+BA 43 E0 43 B2 40 5A 44 4C 44 82 43 FC 5A 82 43
+08 5B 82 43 14 5B 82 43 44 5B 82 43 50 5B 82 43
+5C 5B B2 40 0A 00 E2 1D 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 F4 44 1B 42 32 20
+0B 93 04 24 CB 43 02 00 2B 4B FA 3F B0 12 0C 4A
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02
+92 C3 DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 DC 05 F3 23 87 12 10 46 04 1B
+5B 37 6D 00 CA 45 34 40 E4 1D F2 40 54 40 B8 41
+C2 4A 10 46 05 6C 69 6E 65 3A CA 45 40 41 36 43
+68 45 CA 45 10 46 04 1B 5B 30 6D 00 CA 45 A0 50
+2E 46 FA 49 F4 49 86 41 42 4F 52 54 22 00 87 12
+4C 46 34 40 4A 4A B4 48 2A 40 E8 46 01 27 87 12
+32 42 90 46 EE 46 B8 41 FC 4A 2A 40 3E 49 50 42
+81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B 82 43
+BE 1D 30 4D 00 4B 01 5D B2 43 BE 1D 30 4D 0C 4B
+83 5B 27 5D 87 12 EE 4A 34 40 34 40 B4 48 B4 48
+2A 40 BE 4F 02 00 3E 4F 30 4D F4 45 82 49 53 00
+87 12 4A 42 F2 40 B8 41 54 4B 24 4B 34 40 32 4B
+B4 48 2A 40 EE 4A 32 4B 2A 40 3C 4B 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00 00 00
+30 4D 48 46 87 52 45 43 55 52 53 45 19 42 CC 1D
+99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 84 49 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 42 90 46 EE 46
+54 40 B8 41 FC 4A 70 41 B8 41 B8 4B 34 40 34 40
+B4 48 B4 48 34 40 B4 48 B4 48 2A 40 82 9F BC 1D
+25 25 87 12 10 46 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 56 4A 20 4B 81 3B 82 93 BE 1D
+90 27 87 12 34 40 2A 40 B4 48 BC 4B 0E 4B 2A 40
+BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
+BC 1D 30 4D DA 4B 01 3A 30 12 F0 4B 87 12 6C 46
+32 42 90 46 16 4C 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
+82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
+3D 41 30 41 8A 46 08 56 41 52 49 41 42 4C 45 00
+B0 12 0C 4C BA 40 86 12 FC FF DC 3C F6 48 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 0C 4C BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C 5E 4C 06 43 52 45
+41 54 45 00 B0 12 0C 4C BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C 54 49 05 44 4F 45 53 3E 1A 42 BA 1D
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 96 4C
+05 44 45 46 45 52 B0 12 0C 4C BA 40 30 40 FC FF
+BA 40 AC 4C FE FF A6 3C 7C 4C 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 06 4C 86 5B 54 48
+45 4E 5D 00 30 4D FC 4C 86 5B 45 4C 53 45 5D 00
+87 12 34 40 01 00 32 42 90 46 FC 48 44 40 B8 41
+7A 4D 8A 40 8A 40 10 46 04 5B 49 46 5D 00 D2 4C
+C2 41 3C 4D EC 45 36 41 B4 41 72 4D 8A 40 8A 40
+10 46 06 5B 45 4C 53 45 5D 00 D2 4C C2 41 60 4D
+EC 45 40 41 44 40 B8 41 72 4D 36 41 B4 41 72 4D
+10 46 06 5B 54 48 45 4E 5D 00 D2 4C C2 41 72 4D
+40 41 54 40 C2 41 16 4D 2A 40 EC 45 10 46 05 0D
+0A 6B 6F 20 CA 45 2C 45 44 45 B4 41 16 4D 08 4D
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 90 4D
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 42
+90 46 EE 46 6C 40 64 41 2A 40 A0 4D 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 42 90 46 EE 46 6C 40
+2A 40 D4 4D 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D C6 48 06 4D 41 52 4B 45 52 00 B0 12 0C 4C
+BA 40 84 12 FC FF BA 40 D2 4D FE FF 9A 42 CE 1D
+00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
+19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 5C 4B
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
+CC 1D BE 40 B8 41 00 00 2E 53 30 4D B0 4C 84 45
+4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 B4 41
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D C4 45 84 54
+48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D CA 4C
+85 42 45 47 49 4E 30 40 80 43 5E 4E 85 55 4E 54
+49 4C 39 40 B8 41 A2 52 CC 1D 1A 42 CC 1D 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D D6 4A 85 41 47 41
+49 4E 39 40 B4 41 EF 3F 46 4C 85 57 48 49 4C 45
+87 12 24 4E 78 40 2A 40 74 4B 86 52 45 50 45 41
+54 00 87 12 A2 4E 64 4E 2A 40 3E 4E 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
+C8 41 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
+30 4D E4 4D 84 4C 4F 4F 50 00 39 40 EA 41 A2 52
+CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
+00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA 43 85 2B 4C 4F 4F 50 39 40
+D8 41 E5 3F F4 4E 85 4C 45 41 56 45 1A 42 CC 1D
+BA 40 FA 41 00 00 BA 40 B4 41 02 00 B2 50 06 00
+CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
+30 4D 36 4F 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D AA 4E 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 84 4C 34 40 10 00
+34 40 00 00 C8 41 34 40 00 00 B4 48 EA 41 B6 4F
+80 43 34 40 CE 1D 44 40 F2 40 B4 48 FA 40 9C 4C
+34 40 D0 1D FA 40 2A 40 EC 4A 05 46 4F 52 54 48
+84 12 D0 4F D6 65 78 65 46 67 2E 69 E2 67 28 4F
+10 67 3E 66 5C 66 74 69 1E 67 66 66 00 00 D2 67
+16 4B 52 66 00 00 9C 4E 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 8E 4B 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
+38 40 D0 1D A3 3F 78 46 04 4F 4E 4C 59 00 82 43
+D2 1D 30 4D CC 4E 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 1D E0 1D 30 4D E0 4F 3E 50 52 50
+62 50 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 1E 50 09 50 57 52 5F 53 54 41 54 45
+84 12 5A 50 3A 54 8C 69 BA 4E 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 A4 50 92 42 0C 18 A6 50
+EF 3F 96 50 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 1D A4 50 92 42 CC 1D A6 50 30 4D AA 50 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
+CC 1D 0C 18 EC 3F 9C 4F 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23 B0 12
+00 4A B2 40 8C 69 0C 18 B2 40 3A 54 0E 18 CA 3F
+20 4E 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+10 46 06 0D 1B 5B 37 6D 23 00 CA 45 6A 43 10 46
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+CA 45 34 40 30 FF 80 43 28 41 36 43 10 46 0B 62
+79 74 65 73 20 66 72 65 65 20 6C 4A F8 50 04 57
+41 52 4D 00 30 40 2A 51 70 4E 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A 5C 01 B2 D0 03 00
+04 02 B2 40 FC FF 02 02 B2 C0 03 00 06 02 B2 D0
+00 04 24 02 B2 D3 26 02 B2 43 22 02 B2 43 42 02
+B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43 26 03
+F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00 40 01
+D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01
+82 43 66 01 39 40 00 01 B2 40 33 00 64 01 D2 43
+61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07
+38 40 59 14 18 83 FE 23 19 83 FA 23 B2 42 B0 01
+F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00
+A2 04 3A 40 96 51 39 40 B4 FF 89 4A 00 00 29 53
+FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18 39 40
+00 1C 89 43 00 00 29 53 39 90 FF 2B FA 2B 31 40
+E0 1C 3F 40 80 1C 37 40 00 40 36 40 BC 40 35 40
+08 40 34 40 14 40 B2 40 0A 00 E2 1D B2 43 B4 1D
+92 C3 30 01 18 42 08 18 E2 B3 01 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40 11 00
+C6 05 B2 40 00 4A C8 05 F2 D0 03 00 0D 02 92 C3
+C0 05 92 D3 DA 05 3D 40 D0 52 18 42 08 18 38 90
+0A 00 1D 27 38 90 16 00 1A 2F 28 93 F3 22 E8 26
+D2 52 E2 B2 60 02 56 23 B2 40 81 A9 40 06 B2 40
+30 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 92 C3
+40 06 39 42 B0 12 0A 5C D2 C3 23 02 2C 42 B2 40
+95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12 CE 5B
+02 24 30 40 A8 5C B0 12 08 5C 7A 93 FC 23 B2 40
+87 AA 14 20 92 43 16 20 B2 40 00 48 18 20 1A 43
+B0 12 CE 5B 29 42 B0 12 0A 5C 92 43 14 20 82 43
+16 20 78 43 3C 42 B2 40 00 77 18 20 1A 43 B0 12
+CE 5B B2 40 40 69 18 20 B0 12 C4 5B 03 24 58 83
+F2 23 D7 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20
+B0 12 C4 5B CE 23 92 D3 40 06 82 43 46 06 92 C3
+40 06 B0 12 30 5C 38 40 00 1E 92 48 C6 01 04 20
+92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80
+06 00 0D 24 7A 82 0B 24 A2 43 02 20 6A 53 07 24
+5A 53 05 24 3A 50 0B 20 0C 4A 30 40 AE 5C B0 12
+30 5C D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20
+1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20
+09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24 82 49
+0E 20 39 50 20 00 19 82 12 20 19 82 12 20 82 49
+10 20 92 42 02 20 2C 20 BD 3E 08 50 09 41 53 53
+45 4D 42 4C 45 52 84 12 D0 4F 60 5A 0C 5B 14 5A
+60 5B DA 59 94 5A DE 56 00 00 D0 59 80 5A 32 5A
+70 5A EE 57 00 00 00 00 72 5B 04 50 22 51 85 48
+49 32 4C 4F 87 12 80 43 38 4E B4 48 0E 4B 0E 50
+16 54 2A 40 8A 51 04 43 4F 44 45 00 B0 12 0C 4C
+A2 82 CC 1D 87 12 FE 4B B4 41 4E 54 00 00 07 45
+4E 44 43 4F 44 45 87 12 28 50 BC 4B 2A 40 0C 54
+03 41 53 4D 92 42 E0 1D C0 1D B2 40 1A 54 E0 1D
+E5 3F 6E 54 06 45 4E 44 41 53 4D 00 92 42 C0 1D
+E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D
+BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40
+28 50 00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40
+B0 12 00 00 BA 40 2A 40 02 00 A2 52 CC 1D ED 3F
+38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A
+03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D
+30 4D B0 12 2A 40 90 46 EE 46 C2 41 16 55 A8 47
+B8 41 FC 4A 38 55 18 55 29 4E 39 90 86 12 02 20
+2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
+39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53
+CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53
+CA 1D B0 12 2A 40 90 46 A8 47 B8 41 6A 55 60 55
+21 53 3E 90 10 00 BB 2D 30 41 6C 55 B2 41 CA 1D
+22 D3 30 41 87 12 32 42 E0 54 7C 55 82 43 C4 1D
+92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90
+23 00 00 00 34 20 92 53 CA 1D B0 12 02 55 0E 93
+04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40
+10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D
+1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92
+04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40
+30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D
+A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
+26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D
+30 12 EC 55 76 3F FA 90 40 00 00 00 1A 20 B2 40
+20 00 C4 1D 92 53 CA 1D B0 12 4A 55 0E 20 B2 50
+10 00 C4 1D 3E 40 2B 00 B0 12 4A 55 32 24 92 92
+C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D
+D3 3F B0 12 4A 55 F9 23 B2 50 10 00 C4 1D 3E 40
+28 00 B0 12 02 55 30 12 3C 56 67 3F 87 12 32 42
+E0 54 74 56 FE 90 26 00 00 00 3E 40 20 00 04 20
+B2 50 82 00 C4 1D C2 3F B0 12 4A 55 DF 23 B2 50
+80 00 C4 1D 3E 40 28 00 B0 12 02 55 B0 12 3A 55
+D5 23 3D 40 FC 4A 30 4D AE 44 04 52 45 54 49 00
+87 12 34 40 00 13 B4 48 2A 40 34 40 2C 00 74 55
+6C 56 C4 56 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F
+C4 54 03 4D 4F 56 84 12 BA 56 00 40 D2 56 05 4D
+4F 56 2E 42 84 12 BA 56 40 40 00 00 03 41 44 44
+84 12 BA 56 00 50 EC 56 05 41 44 44 2E 42 84 12
+BA 56 40 50 F8 56 04 41 44 44 43 00 84 12 BA 56
+00 60 06 57 06 41 44 44 43 2E 42 00 84 12 BA 56
+40 60 AA 56 04 53 55 42 43 00 84 12 BA 56 00 70
+24 57 06 53 55 42 43 2E 42 00 84 12 BA 56 40 70
+32 57 03 53 55 42 84 12 BA 56 00 80 42 57 05 53
+55 42 2E 42 84 12 BA 56 40 80 A6 54 03 43 4D 50
+84 12 BA 56 00 90 5C 57 05 43 4D 50 2E 42 84 12
+BA 56 40 90 94 54 04 44 41 44 44 00 84 12 BA 56
+00 A0 76 57 06 44 41 44 44 2E 42 00 84 12 BA 56
+40 A0 68 57 03 42 49 54 84 12 BA 56 00 B0 94 57
+05 42 49 54 2E 42 84 12 BA 56 40 B0 A0 57 03 42
+49 43 84 12 BA 56 00 C0 AE 57 05 42 49 43 2E 42
+84 12 BA 56 40 C0 BA 57 03 42 49 53 84 12 BA 56
+00 D0 C8 57 05 42 49 53 2E 42 84 12 BA 56 40 D0
+00 00 03 58 4F 52 84 12 BA 56 00 E0 E2 57 05 58
+4F 52 2E 42 84 12 BA 56 40 E0 14 57 03 41 4E 44
+84 12 BA 56 00 F0 FC 57 05 41 4E 44 2E 42 84 12
+BA 56 40 F0 32 42 74 55 1A 58 1A 42 C4 1D B2 F0
+70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F
+4E 57 03 52 52 43 84 12 14 58 00 10 32 58 05 52
+52 43 2E 42 84 12 14 58 40 10 3E 58 04 53 57 50
+42 00 84 12 14 58 80 10 4C 58 03 52 52 41 84 12
+14 58 00 11 5A 58 05 52 52 41 2E 42 84 12 14 58
+40 11 66 58 03 53 58 54 84 12 14 58 80 11 00 00
+04 50 55 53 48 00 84 12 14 58 00 12 80 58 06 50
+55 53 48 2E 42 00 84 12 14 58 40 12 D4 57 04 43
+41 4C 4C 00 84 12 14 58 80 12 34 40 2C 00 74 55
+6C 56 B4 58 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D
+BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
+3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
+6A 43 10 46 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 56 4A 8E 58 05 50 55 53 48 4D 84 12 AA 58
+00 15 F6 58 04 50 4F 50 4D 00 84 12 AA 58 00 17
+32 42 E0 54 16 59 82 43 C4 1D 92 42 CC 1D C2 1D
+A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A 40
+90 46 A8 47 B8 41 FC 4A 6C 56 3C 59 0A 4E 3E 4F
+1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 74 58 04 52
+52 43 4D 00 84 12 10 59 50 00 4E 59 04 52 52 41
+4D 00 84 12 10 59 50 01 5C 59 04 52 4C 41 4D 00
+84 12 10 59 50 02 6A 59 04 52 52 55 4D 00 84 12
+10 59 50 03 85 12 00 3C 78 59 03 53 3E 3D 85 12
+00 38 8A 59 02 53 3C 00 85 12 00 34 04 59 03 30
+3E 3D 85 12 00 30 9E 59 02 30 3C 00 85 12 00 30
+00 00 02 55 3C 00 85 12 00 2C B2 59 03 55 3E 3D
+85 12 00 28 A8 59 03 30 3C 3E 85 12 00 24 C6 59
+02 30 3D 00 85 12 00 20 BA 44 02 49 46 00 1A 42
+CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D BC 59
+04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
+30 4D 84 57 04 45 4C 53 45 00 1A 42 CC 1D BA 40
+00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F
+F0 59 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D 08 58
+05 41 47 41 49 4E 87 12 84 59 38 5A 2A 40 00 00
+05 57 48 49 4C 45 87 12 DE 59 78 40 2A 40 94 59
+06 52 45 50 45 41 54 00 87 12 84 59 38 5A F6 59
+2A 40 00 00 03 4A 4D 50 87 12 EE 4A 84 59 38 5A
+2A 40 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
+00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
+30 4D 00 00 04 3F 4A 4D 50 00 87 12 A2 5A EE 4A
+78 40 38 5A 2A 40 D8 5A 3D 41 08 4E 3E 4F 2A 48
+0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00
+A4 3F 9E 58 03 42 57 31 84 12 D6 5A 00 00 F4 5A
+03 42 57 32 84 12 D6 5A 00 00 00 5B 03 42 57 33
+84 12 D6 5A 00 00 18 5B 3D 41 1A 42 CC 1D 28 4E
+08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00
+3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
+84 12 16 5B 00 00 3C 5B 03 46 57 32 84 12 16 5B
+00 00 48 5B 03 46 57 33 84 12 16 5B 00 00 54 5B
+04 47 4F 54 4F 00 87 12 84 59 EE 4A AA 48 2A 40
+C4 5A 05 3F 47 4F 54 4F 87 12 A2 5A EE 4A AA 48
+2A 40 D2 C3 23 02 E2 B2 60 02 02 24 30 40 90 51
+1A 52 04 20 19 62 06 20 92 43 14 20 A2 93 02 20
+07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C
+C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10
+C2 49 18 20 B0 12 08 5C 7A 93 FC 23 0A 43 39 40
+05 00 D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3
+6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30
+F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27
+5A 92 4C 06 F3 23 30 41 19 43 3A 43 8A 10 C2 4A
+4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
+4C 06 19 83 F3 23 5A 42 4C 06 30 41 1A 52 08 20
+09 43 1C D3 F2 40 51 00 19 20 B0 12 82 5B 34 20
+B0 12 08 5C 7A 90 FE FF 04 24 FA 23 D9 42 4C 06
+FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23
+F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41 09 43
+2C D3 F0 40 58 00 A3 C3 B0 12 82 5B 15 20 3A 40
+FE FF 29 43 B0 12 0C 5C D2 49 00 1E 4E 06 03 43
+19 53 39 90 00 02 F8 23 39 40 03 00 B0 12 0A 5C
+7A C0 E1 00 6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3
+23 02 87 12 10 46 0B 3C 20 53 44 20 45 72 72 6F
+72 21 C4 5C 2F 82 8F 4E 02 00 9F 42 E2 1D 00 00
+B2 40 10 00 E2 1D 0E 4C B0 12 2A 40 36 43 3E 42
+FA 40 56 4A 92 4B 0E 00 22 20 92 4B 10 00 24 20
+5A 42 23 20 58 42 22 20 92 93 02 20 08 24 59 42
+24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58
+30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20
+03 20 92 93 22 20 14 24 92 42 22 20 D0 04 92 42
+24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20
+92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20
+30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12
+12 5D 5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41
+09 93 07 24 F8 90 20 00 00 1E 03 20 18 53 19 83
+F9 23 30 41 1B 42 32 20 82 43 1E 20 B2 90 00 02
+20 20 A3 20 BB 80 00 02 12 00 8B 73 14 00 DB 53
+03 00 DB 92 12 20 03 00 11 28 CB 43 03 00 B0 12
+E4 5C B0 12 2C 5C 8B 43 10 00 9B 48 00 1E 0E 00
+92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02
+20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20 7D 2C
+BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12
+52 5D 1A 42 1A 20 19 42 1C 20 1B 3F 3C 42 3B 40
+40 20 09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00
+04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50 18 00
+3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B 32 20
+8B 49 00 00 49 93 0A 24 99 52 CA 1D 16 00 4A 93
+05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00
+CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00
+18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48
+14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00
+9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20
+6A 93 5F 27 C9 37 8B 43 16 00 7A 93 02 24 0A 38
+95 3F B2 40 3C 21 2A 42 B2 40 E2 43 4C 44 B2 40
+02 21 00 21 18 42 00 21 B2 50 06 00 00 21 19 42
+CA 1D 1A 42 C6 1D 0A 89 88 4A 00 00 19 52 C8 1D
+88 49 02 00 88 4D 04 00 79 3F 1B 42 32 20 0B 93
+A3 27 EB 93 02 00 04 20 B0 12 5C 63 B0 12 24 63
+5A 4B 02 00 CB 43 02 00 09 4B 2B 4B 82 4B 32 20
+7A 93 07 20 B2 40 3C 1D 2A 42 B2 40 5A 44 4C 44
+0A 3C 0B 93 89 27 CB 93 02 00 86 37 92 4B 16 00
+1E 20 B0 12 CC 5D 21 52 2F 53 B2 80 06 00 00 21
+1A 42 00 21 3E 4A BF 4A 00 00 3D 4A 30 4D DE 50
+85 52 45 41 44 22 5A 43 19 3C 7E 51 86 57 52 49
+54 45 22 00 6A 43 12 3C 46 50 84 44 45 4C 22 00
+6A 42 0C 3C 56 54 05 43 4C 4F 53 45 B0 12 DA 5E
+30 4D 64 4F 85 4C 4F 41 44 22 7A 43 2F 83 8F 4E
+00 00 0E 4A 82 93 BE 1D 0B 24 87 12 34 40 34 40
+B4 48 B4 48 2E 46 4C 46 34 40 AE 5F B4 48 2A 40
+87 12 34 40 22 00 90 46 FC 48 AC 5F 3D 41 35 4F
+0E 55 82 4E 36 20 1C 43 92 42 2C 20 22 20 92 42
+2E 20 24 20 0E 95 8D 24 F5 90 3A 00 01 00 01 20
+25 53 F5 90 5C 00 00 00 08 20 15 53 92 42 02 20
+22 20 82 43 24 20 0E 95 70 24 82 45 34 20 B0 12
+12 5D 34 40 20 00 A2 93 02 20 04 24 92 92 22 20
+02 20 02 24 14 42 12 20 B0 12 F2 5D 2C 43 0A 43
+08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E 61 24
+39 42 F8 95 00 1E 04 20 18 53 19 83 FA 23 15 53
+F5 90 2E 00 FF FF 19 24 39 50 03 00 B0 12 70 5D
+06 20 F5 90 5C 00 FF FF 29 24 0E 95 27 28 15 42
+34 20 1A 53 3A 90 10 00 DB 23 92 53 1A 20 82 63
+1C 20 14 83 D1 23 2C 42 3C 3C F5 90 2E 00 FE FF
+EE 27 B0 12 70 5D EB 23 39 40 03 00 F8 95 00 1E
+04 20 18 53 19 83 FA 23 09 3C 0E 95 E0 2F F5 90
+5C 00 FF FF DC 23 B0 12 70 5D D9 23 18 42 30 20
+92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00
+0B 1E 14 24 82 93 24 20 06 20 82 93 22 20 03 20
+92 42 02 20 22 20 0E 95 8E 2F 92 42 22 20 2C 20
+92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12
+FC 5D 34 40 14 40 35 40 08 40 3A 4F 3E 4F 0A 93
+05 24 7A 93 13 20 0C 93 02 20 3D 41 30 4D 87 12
+10 46 0B 3C 20 4F 70 65 6E 45 72 72 6F 72 68 45
+80 43 FC 48 CA 45 92 45 B4 41 C2 5C 1A 93 B6 20
+0C 93 ED 23 30 4D 40 5F 04 52 45 41 44 00 2F 83
+8F 4E 00 00 1E 42 32 20 B0 12 84 5D 1E 82 32 20
+30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41
+82 9A 0A 20 A1 24 B0 12 2C 5C 09 43 28 93 03 24
+89 93 02 1E 03 20 89 93 00 1E 07 24 09 58 39 90
+00 02 F4 23 91 53 00 00 EA 3F 0C 43 6A 41 B9 43
+00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10
+09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49
+26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43
+28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20
+B0 12 6E 5C 3A 41 1A 52 0C 20 30 40 6E 5C F2 B0
+40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27 5A 42
+B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04 D2 42
+B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04 19 52
+E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04 1A 52
+E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04 B2 40
+00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C 2F 3A
+3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38 39 80
+03 00 B0 12 7A 62 39 40 03 00 7A 4B C8 4A 00 1E
+82 9B 36 20 12 28 0D 12 3D 40 0F 00 3C 40 2A 62
+7A 9C F3 27 1D 83 FC 23 3D 41 6A 9C E6 27 3A 80
+21 00 EB 3B 18 53 19 83 E8 23 09 93 06 24 F8 40
+20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93 EB 20
+2C 93 0D 24 0C 93 BA 24 87 12 10 46 0C 3C 20 57
+72 69 74 65 45 72 72 6F 72 00 B4 41 0E 61 B0 12
+42 61 92 42 26 20 22 20 92 42 28 20 24 20 B0 12
+BA 61 B0 12 F2 5D 18 42 30 20 F8 40 20 00 0B 1E
+B0 12 CE 61 88 43 0C 1E 88 4A 0E 1E 88 49 10 1E
+88 49 12 1E 98 42 24 20 14 1E 98 42 22 20 1A 1E
+88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 34 20 82 9B
+36 20 CA 27 FB 90 2E 00 00 00 C6 27 39 40 0B 00
+B0 12 4A 62 B0 12 66 63 2A 43 B0 12 FC 5D 0C 93
+BB 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12 32 5C
+B0 12 CE 61 18 4B 08 00 88 49 12 1E 88 4A 16 1E
+88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00 1E 1E
+1A 4B 04 00 19 4B 06 00 30 40 70 5C 9B 52 1E 20
+12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20 30 40
+70 5C B2 40 00 02 1E 20 1B 42 32 20 B0 12 5C 63
+82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00 22 20
+CB 43 03 00 B0 12 E4 5C 08 12 0A 12 B0 12 42 61
+2A 91 05 24 B0 12 BA 61 2A 41 B0 12 2C 5C 3A 41
+38 41 98 42 26 20 00 1E 92 93 02 20 03 24 98 42
+28 20 02 1E B0 12 BA 61 9B 42 26 20 0E 00 9B 42
+28 20 10 00 30 40 52 5D 4C 5F 05 57 52 49 54 45
+B0 12 72 63 30 4D 28 61 07 53 44 5F 45 4D 49 54
+B2 90 00 02 1E 20 02 28 B0 12 72 63 18 42 1E 20
+C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D 58 4B 13 00
+59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42 12 20
+0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11 FA 2B
+0A 11 1C 83 FD 37 1B 42 32 20 19 5B 0A 00 18 6B
+0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00 1A 4B
+12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A 1E 20
+B0 12 EE 5D 30 4D 0C 93 38 20 38 90 E0 01 03 2C
+C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E B0 12
+66 63 B0 12 F0 5C 82 4A 2A 20 0B 4A B0 12 2C 5C
+1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24 19 48
+02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20
+3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12 F0 5C
+0B 9A E6 27 0A 12 0A 4B B0 12 BA 61 3A 41 DD 3F
+0A 4B B0 12 BA 61 B0 12 DA 5E 30 4D 7C 4E 08 54
+45 52 4D 32 53 44 22 00 87 12 60 5F 34 40 02 00
+80 43 FC 48 AE 5F F8 64 3D 41 92 C3 DC 05 08 43
+B0 12 8E 44 92 B3 DC 05 FD 27 59 42 CC 05 69 92
+0D 24 C8 49 00 1E 18 53 38 90 FF 01 F3 2B 03 24
+B0 12 72 63 EC 3F B0 12 A0 44 EC 3F B0 12 A0 44
+82 48 1E 20 B0 12 DA 5E 3D 41 30 4D BC 4D 0A 7B
+53 44 5F 54 4F 4F 4C 53 7D 00 30 4D E8 63 06 53
+45 43 54 4F 52 00 09 4E 2A 4F B0 12 32 5C 87 12
+54 42 E6 42 00 43 CA 45 92 45 34 40 00 1E 34 40
+00 02 4C 67 2A 40 66 5F 07 43 4C 55 53 54 45 52
+82 4E 24 20 A2 4F 22 20 B0 12 12 5D 9F 42 1A 20
+00 00 1E 42 1C 20 DF 3F DA 4F 03 46 41 54 2F 82
+8F 4E 02 00 9F 42 08 20 00 00 0E 43 D4 3F 5A 5F
+03 44 49 52 2F 82 8F 4E 02 00 92 42 2C 20 22 20
+92 42 2E 20 24 20 E0 3F 3E 65 07 7B 54 4F 4F 4C
+53 7D 30 4D 80 54 03 41 4E 44 3E FF 30 4D 38 50
+02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
+3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
+0E 11 87 12 34 40 3C 00 5C 45 6A 43 34 40 08 00
+5C 45 34 40 3E 00 5C 45 92 45 8A 40 8A 40 96 41
+C2 41 2A 66 62 40 62 40 2A 40 C8 41 00 42 F2 40
+36 43 34 40 02 00 D8 41 2C 66 2A 40 E0 65 03 2E
+52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F
+4E 47 01 3F 2E 4E 30 40 36 43 C4 50 03 50 41 44
+85 12 E4 1C DA 63 05 57 4F 52 44 53 87 12 0C 46
+34 40 03 00 A8 45 34 40 D0 1D F2 40 60 66 34 40
+10 00 44 40 20 41 6A 4F 34 40 00 00 44 40 34 40
+10 00 44 40 20 41 34 40 00 00 C8 41 44 40 00 42
+60 66 20 41 F2 40 AC 41 B8 41 BA 66 62 40 62 40
+00 42 44 40 60 66 20 41 F2 40 34 40 02 00 D8 41
+9C 66 54 40 B8 41 FC 66 44 40 34 40 02 00 28 41
+F2 40 9A 40 60 66 20 41 FA 40 44 40 FC 48 34 40
+7F 00 DA 65 CA 45 08 41 34 40 0F 00 DA 65 34 40
+10 00 78 40 28 41 A8 45 B4 41 88 66 62 40 2A 40
+74 5F 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 02 67
+03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D DE 64 03 55
+2E 52 87 12 B0 40 54 42 34 40 00 00 B4 42 E6 42
+00 43 BC 40 8A 40 28 41 34 40 00 00 06 67 A8 45
+CA 45 2A 40 B0 65 04 44 55 4D 50 00 0D 12 12 12
 E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A 40 78 40
-8A 40 8A 40 7C 43 34 40 01 00 28 41 7C 43 34 40
-FE FF 36 41 F0 41 2C 46 28 42 34 40 07 00 72 67
-B6 45 28 42 34 40 10 00 20 41 28 42 F0 41 28 42
-08 41 34 40 03 00 72 67 12 42 DE 67 B6 45 B6 45
-28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
-34 40 7E 00 64 67 6E 42 56 67 80 45 12 42 FC 67
-34 40 10 00 00 42 C6 67 BC 40 84 42 FA 40 2A 40
+8A 40 8A 40 36 43 34 40 01 00 28 41 36 43 34 40
+FE FF DA 65 C8 41 0C 46 00 42 34 40 07 00 22 67
+92 45 00 42 34 40 10 00 20 41 00 42 C8 41 00 42
+08 41 34 40 03 00 22 67 EA 41 8E 67 92 45 92 45
+00 42 34 40 10 00 20 41 00 42 C8 41 00 42 08 41
+34 40 7E 00 14 67 32 42 06 67 5C 45 EA 41 AC 67
+34 40 10 00 D8 41 76 67 BC 40 3E 42 FA 40 2A 40
+CA 65 0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D
+3E 54 05 48 4F 4C 44 53 39 4F 09 5E 18 42 B2 1D
+19 83 1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48
+B2 1D 3E 4F 30 4D 9A 65 02 46 2B 00 BF 5F 02 00
+3E 6F 30 4D 08 68 02 46 2D 00 BF 8F 02 00 8F 7E
+00 00 3E 4F 30 4D 16 68 02 46 2F 00 1C 4F 02 00
+0C EE 0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F
+04 24 38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43
+26 4F 35 40 20 00 0A 9E 01 20 09 96 02 28 09 86
+0A 7E 07 67 04 64 15 83 09 30 0B 5B 08 68 09 69
+0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47
+00 00 0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 30 4D 28 68 03 46 23 53 29 4F
+8F 4E 00 00 2F 83 8F 49 00 00 2B 42 B2 90 0A 00
+E2 1D 01 20 1B 53 0C 43 A2 4F C0 04 92 42 E2 1D
+C8 04 9F 42 E4 04 00 00 1E 42 E6 04 3E 90 0A 00
+02 28 3E 50 07 00 3E 50 30 00 CC 4E 90 1D 1C 53
+0C 9B EA 2B 0E 4B 8F 43 00 00 39 40 90 1D 75 3F
+AA 68 02 46 2A 00 92 4F 04 00 D4 04 92 4F 02 00
+D6 04 A2 4F E0 04 82 4E E2 04 2F 52 00 3C 00 3C
+9F 42 E6 04 00 00 1E 42 E8 04 30 4D 02 69 02 46
+2E 00 87 12 54 42 44 40 B0 40 4C 41 AE 68 34 40
+2C 00 16 43 E6 42 BC 40 24 43 00 43 CA 45 92 45
+2A 40 4E 65 03 53 3E 46 2F 83 8F 43 00 00 30 4D
+54 69 02 32 40 00 2F 83 9F 4E 02 00 00 00 2E 4E
+30 4D 62 69 09 32 43 4F 4E 53 54 41 4E 54 87 12
+84 4C B4 48 B4 48 9C 4C 66 69 2A 40
 @FFFE
-F8 51
+96 51
 q
index 9852c63..d62c3ac 100644 (file)
@@ -405,45 +405,45 @@ CTS         .equ  2 ; P3.1
 ; ----------------------------------------------------------------------
 
 ; DCOCLK: Internal digitally controlled oscillator (DCO).
-; Startup clock system in max. DCO setting ~8MHz
-; This value is closer to 10MHz on untrimmed parts
 
+
+; CS code for MSP430FR5948
             MOV.B   #CSKEY,&CSCTL0_H ;  Unlock CS registers
 
     .IF FREQUENCY = 0.25
 ;            MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1      ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_32 + DIVM_32,&CSCTL3
-            MOV     #2,X
+            MOV     #4,X
 
     .ELSEIF FREQUENCY = 0.5
             MOV     #0,&CSCTL1                  ; Set 1MHZ DCO setting
             MOV     #DIVA_2 + DIVS_2 + DIVM_2,&CSCTL3             ; set all dividers as 2
-            MOV     #4,X
+            MOV     #8,X
 
     .ELSEIF FREQUENCY = 1
             MOV     #0,&CSCTL1                  ; Set 1MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #8,X
+            MOV     #16,X
 
     .ELSEIF FREQUENCY = 2
             MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1  ; Set 4MHZ DCO setting
             MOV     #DIVA_0 + DIVS_2 + DIVM_2,&CSCTL3
-            MOV     #16,X
+            MOV     #32,X
 
     .ELSEIF FREQUENCY = 4
             MOV     #DCOFSEL1+DCOFSEL0,&CSCTL1  ; Set 4MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #32,X
+            MOV     #64,X
 
     .ELSEIF FREQUENCY = 8
 ;            MOV     #DCOFSEL2+DCOFSEL1,&CSCTL1  ; Set 8MHZ DCO setting (default value)
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #64,X
+            MOV     #128,X
 
     .ELSEIF FREQUENCY = 16
             MOV     #DCORSEL+DCOFSEL2,&CSCTL1   ; Set 16MHZ DCO setting
             MOV     #DIVA_0 + DIVS_0 + DIVM_0,&CSCTL3             ; set all dividers as 0
-            MOV     #128,X
+            MOV     #256,X
 
     .ELSEIF
     .error "bad frequency setting, only 0.5,1,2,4,8,16 MHz"
@@ -460,11 +460,11 @@ CTS         .equ  2 ; P3.1
             CMP #2,&SAVE_SYSRSTIV   ; POWER ON ?
             JZ      ClockWaitX      ; yes
             .word   0759h           ; no  RRUM #2,X --> wait only 125 ms
-ClockWaitX  MOV     #41666,Y        ; wait 0.5s before starting after POWER ON
-ClockWaitY  SUB     #1,Y            ;
-            JNZ     ClockWaitY      ; 41666x3 = 125000 cycles delay = 125ms @ 1MHz
-            SUB     #1,X            ; x 4 @ 1 MHZ
-            JNZ     ClockWaitX      ; time to stabilize power source ( 1s )
+ClockWaitX  MOV     #5209,Y         ; wait 0.5s before starting after POWER ON
+ClockWaitY  SUB     #1,Y            ;1
+            JNZ     ClockWaitY      ;2 5209x3 = 15625 cycles delay = 15.625ms @ 1MHz
+            SUB     #1,X            ; x 32 @ 1 MHZ = 500ms
+            JNZ     ClockWaitX      ; time to stabilize power source ( 500ms )
 
 ; ----------------------------------------------------------------------
 ; POWER ON RESET AND INITIALIZATION : REF
index fb829fb..c0ae773 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 48 80 3E 80 04 05 00 18 00 1E 60 E0 56
-66 48 78 48 00 00 00 00
+10 00 5A 48 80 3E 80 04 05 00 18 00 90 61 84 56
+20 48 32 48 00 00 00 00
 @4400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 80 49 48 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 80 49 48 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 EC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-FC 05 FD 27 1E 42 EC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 E4 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 48 92 B3
-FC 05 05 24 18 42 EC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 FC 05 FD 27 B2 40 11 00
-EE 05 D2 C3 22 02 30 41 A2 B3 FC 05 FD 27 B2 40
-13 00 EE 05 D2 D3 22 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 EC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 FC 05
-FD 27 82 48 EE 05 30 4D DA 48 2D 83 92 B3 FC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA 47 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 47 04 45 4D 49
-54 00 30 40 04 49 0C 49 04 45 43 48 4F 00 B2 40
-82 48 D2 48 82 43 E4 1D 30 4D AE 47 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 48 92 43 E4 1D 30 4D
-64 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A 49 EF 3F 6C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 47 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 49 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 49 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC 48 04 28 43 52 29 00 87 12 C2 49
-02 0D 0A 00 80 49 2A 44 E4 47 02 43 52 00 30 40
-AC 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA 49 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 49 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 49 82 53 22 00 87 12
-34 44 C2 49 6E 4C F2 49 34 44 22 00 42 4A E0 49
-12 4A 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C 49 82 2E 22 00 87 12
-FE 49 34 44 80 49 6E 4C 2A 44 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 45 02 31 2D 00
+1E 83 30 4D D8 44 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 45
+02 30 3D 00 1E 83 0E 7E 30 4D 60 45 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 45 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 44 01 3E 3E 8F F9 3F 6C 45 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 45 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 45
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 45 03 43 49 42 85 12 3C 1D 24 46 02 42
+4C 00 85 12 20 00 2E 46 04 42 41 53 45 00 85 12
+E2 1D C8 44 05 53 54 41 54 45 85 12 BE 1D 86 45
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 45 06 55
+4D 2F 4D 4F 44 00 30 12 62 44 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 46 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 46
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 46 02 23 53 00 87 12 B4 46 EC 46 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 46 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 45
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 46 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 46 02 55 2E 00 87 12 54 46 34 44 00 00 E6 46
+00 47 5C 49 24 49 2A 44 46 45 02 44 2E 00 87 12
+54 46 78 44 8A 44 4C 45 E6 46 9A 44 24 47 00 47
+5C 49 24 49 2A 44 84 44 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 47 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 44 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 46 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 47 05 28 4B 45 59 29 18 42 EC 05 2F 83
+8F 4E 00 00 B0 12 20 48 92 B3 FC 05 FD 27 1E 42
+EC 05 B0 12 32 48 30 4D 10 46 03 4B 45 59 30 40
+BA 47 8E 47 06 41 43 43 45 50 54 00 3C 40 98 48
+3B 40 62 48 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C 48 92 B3 FC 05 05 24 18 42
+EC 05 38 90 0A 00 04 20 21 53 39 40 46 48 4D 15
+A2 B3 FC 05 FD 27 B2 40 11 00 EE 05 D2 C3 22 02
+30 41 B2 40 13 00 EE 05 D2 D3 22 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 48 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+EC 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 FC 05 FD 27 82 48 EE 05 30 4D 8E 48 2D 83
+92 B3 FC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41 30 4D B4 47
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00
+AF 4F 02 00 8B 3F 82 43 CA 1D 82 4E C6 1D B2 4F
+C8 1D 3E 4F 30 4D 4A 47 04 45 4D 49 54 00 30 40
+B8 48 E8 48 04 45 43 48 4F 00 B2 40 82 48 86 48
+82 43 E4 1D 30 4D 68 47 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 48 92 43 E4 1D 30 4D 1E 47 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E 49 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 49 EF 3F 48 49 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 47 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 49 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 49 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 48 04 28 43 52 29 00 87 12 A2 49
+02 0D 0A 00 5C 49 2A 44 9E 47 02 43 52 00 30 40
+8C 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A 49 07 43 41 50 53 5F 4F 4E
+B2 43 B4 1D 30 4D B8 49 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 1D 30 4D 32 49 82 53 22 00 87 12
+34 44 A2 49 46 4C D2 49 34 44 22 00 22 4A C0 49
+F2 49 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
+CC 1D A2 63 CC 1D 30 4D 08 49 82 2E 22 00 87 12
+DE 49 34 44 5C 49 46 4C 2A 44 00 00 04 57 4F 52
 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,345 +111,370 @@ F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 46 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 4B 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 46 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 49 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C 4C
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E 46 42 4A DA 4C 3D 40 E6 4C E0 22
-3E 4F 3D 41 30 4D E8 4C 0A 4E 3E 4F 3D 40 FE 4C
-38 27 3D 40 D4 4C 1A E2 BE 1D B9 27 B3 23 00 4D
-3E 4F 3D 40 D4 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 4F CD 3F 5C 4C 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 C4 4C 3C 4D B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A 44 C2 49 05 0D 0A 6F
-6B 20 80 49 5A 46 44 44 64 46 32 48 48 49 C4 4C
-DE 44 92 45 C2 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E 4E 34 44 30 FF C6 47 D2 45 C2 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E 4E 90 46
-F2 44 E0 45 6A 4D C2 49 05 0D 0A 20 20 20 DC 45
-72 4D B2 40 FA 54 5E 55 B2 40 A0 48 94 48 B2 40
-04 49 14 49 B2 40 AC 49 C0 49 B2 40 00 48 26 48
-82 43 A2 5D 82 43 AE 5D 82 43 BA 5D 82 43 EA 5D
-82 43 F6 5D 82 43 02 5E B2 40 0A 00 E2 1D 30 41
-2A 48 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 48 B0 12 CE 4D A2 B3
-FC 05 FD 27 B2 40 11 00 EE 05 D2 C3 22 02 92 C3
-FC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 FC 05 F3 23 87 12 C2 49 04 1B 5B 37
-6D 00 80 49 34 44 E4 1D F2 44 54 44 E0 45 74 4E
-C2 49 05 6C 69 6E 65 3A 80 49 34 44 01 00 28 45
-7C 47 1E 49 80 49 C2 49 04 1B 5B 30 6D 00 80 49
-70 54 E0 49 08 4E 02 4E 86 41 42 4F 52 54 22 00
-87 12 FE 49 34 44 0E 4E 6E 4C 2A 44 9A 4A 01 27
-87 12 6E 46 42 4A A0 4A E0 45 AE 4E 2A 44 08 4D
-96 46 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 4E 01 5D B2 43 BE 1D 30 4D
-BE 4E 83 5B 27 5D 87 12 A0 4E 34 44 34 44 6E 4C
-6E 4C 2A 44 BE 4F 02 00 3E 4F 30 4D A6 49 82 49
-53 00 87 12 90 46 F2 44 E0 45 06 4F D6 4E 34 44
-E4 4E 6E 4C 2A 44 A0 4E E4 4E 2A 44 EE 4E 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA 49 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E 4D
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 46 42 4A
-A0 4A 54 44 E0 45 AE 4E 92 45 E0 45 6A 4F 34 44
-34 44 6E 4C 6E 4C 34 44 6E 4C 6E 4C 2A 44 82 9F
-BC 1D 34 25 87 12 C2 49 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 4E 87 12 1E 4A 6E 46
-42 4A 94 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A 4F BA 40 86 12 FC FF 05 3D B0 4C 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A 4F BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC 4F 06 43 52 45 41 54
-45 00 B0 12 8A 4F BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E 4D 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 50 05 44
-45 46 45 52 B0 12 8A 4F BA 40 30 40 FC FF BA 40
-2A 50 FE FF CF 3C D2 4E 01 3A B0 12 8A 4F BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 50 81 3B 82 93 BE 1D 24 27 87 12 34 44
-2A 44 6E 4C 6E 4F C0 4E 2A 44 FA 4F 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 50 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE 50 86 5B
-45 4C 53 45 5D 00 87 12 34 44 01 00 6E 46 42 4A
-B6 4C 44 44 E0 45 34 51 8A 44 8A 44 C2 49 04 5B
-49 46 5D 00 84 50 EA 45 F2 50 00 4B 2C 45 DC 45
-28 51 8A 44 8A 44 C2 49 06 5B 45 4C 53 45 5D 00
-84 50 EA 45 16 51 00 4B B8 50 44 44 E0 45 12 51
-2C 45 DC 45 28 51 C2 49 06 5B 54 48 45 4E 5D 00
-84 50 EA 45 28 51 B8 50 54 44 EA 45 30 51 2A 44
-DC 45 CC 50 00 4B C2 49 05 0D 0A 6B 6F 20 80 49
-5A 46 44 44 64 46 32 48 4A 51 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC 50 30 4D BE 50
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 51
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E 46
-42 4A A0 4A 6C 44 86 45 2A 44 70 51 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E 46 42 4A A0 4A 6C 44
-2A 44 A4 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 4C 06 4D 41 52 4B 45 52 00 B0 12 8A 4F
-BA 40 84 12 FC FF BA 40 A2 51 FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E 4F
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 45 00 00 2E 53 30 4D 2E 50 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC 45
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A 49 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C 50
-85 42 45 47 49 4E 30 40 C6 47 2E 52 85 55 4E 54
-49 4C 39 40 E0 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 4E 85 41 47 41
-49 4E 39 40 DC 45 EF 3F C4 4F 85 57 48 49 4C 45
-87 12 F4 51 78 44 2A 44 26 4F 86 52 45 50 45 41
-54 00 87 12 72 52 34 52 2A 44 0E 52 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 51 84 4C 4F 4F 50 00 39 40 12 46 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 48 85 2B 4C 4F 4F 50 39 40
-00 46 E5 3F C4 52 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 53 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A 52 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 50 34 44 10 00
-34 44 00 00 F0 45 34 44 00 00 6E 4C 12 46 86 53
-C6 47 34 44 CE 1D 44 44 F2 44 6E 4C FA 44 1A 50
-34 44 D0 1D FA 44 2A 44 9E 4E 05 46 4F 52 54 48
-84 12 A0 53 26 57 FC 56 94 5F AA 53 E4 56 F8 52
-5E 5F 96 5E 94 54 AE 54 6C 5F B4 5E 46 45 2A 5E
-C8 4E AA 5E 00 00 6C 52 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 4F 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A 4A 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C 52 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 53 0E 54 22 54
-32 54 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE 53 09 50 57 52 5F 53 54 41 54 45
-84 12 2A 54 E0 56 1E 60 8A 52 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 54 92 42 0C 18 76 54
-EF 3F 66 54 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 54 92 42 CC 1D 76 54 30 4D 7A 54 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C 53 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 C6 FF FA 23 B0 12
-C2 4D B2 40 1E 60 0C 18 B2 40 E0 56 0E 18 CA 3F
-F0 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 49 06 0D 1B 5B 37 6D 23 00 80 49 B0 47 C2 49
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 49 34 44 30 FF C6 47
-28 45 7C 47 C2 49 0B 62 79 74 65 73 20 66 72 65
-65 20 1A 4E C8 54 04 57 41 52 4D 00 30 40 FA 54
-40 52 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A 5C 01 92 43 04 02 B2 40 FE FF 02 02 A2 83
-06 02 92 D3 24 02 B2 D3 26 02 B2 43 22 02 B2 43
-42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 B2 40
-80 00 84 02 B2 40 7F FF 82 02 B2 D0 7F FF 86 02
-F2 43 22 03 F2 D3 26 03 F2 40 A5 00 41 01 F2 40
-10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40
-48 00 62 01 82 43 66 01 39 40 80 00 B2 40 33 00
-64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
-01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
-B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A2 04
-3A 40 6E 55 39 40 C6 FF 89 4A 00 00 29 53 FC 23
-92 42 02 18 E4 FF B2 40 18 00 0A 18 39 40 00 1C
-89 43 00 00 29 53 39 90 FF 23 FA 2B 31 40 E0 1C
-3F 40 80 1C 37 40 00 44 36 40 BC 44 35 40 08 44
-34 40 14 44 B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3
-30 01 18 42 08 18 F2 B0 10 00 20 02 04 20 38 E3
-18 53 82 48 08 18 B2 40 81 00 E0 05 B2 42 E6 05
-B2 40 A1 F7 E8 05 F2 D0 30 00 2A 02 92 C3 E0 05
-92 D3 FA 05 3D 40 AE 56 18 42 08 18 38 90 0A 00
-16 27 38 90 16 00 13 2F 28 93 EC 22 E1 26 5C 55
-D8 53 09 41 53 53 45 4D 42 4C 45 52 84 12 A0 53
-06 5D B2 5D BA 5C 06 5E 80 5C 3A 5D 84 59 00 00
-76 5C 26 5D D8 5C 16 5D 94 5A 00 00 00 00 18 5E
-D4 53 F2 54 85 48 49 32 4C 4F 87 12 C6 47 08 52
-6E 4C C0 4E DE 53 BC 56 2A 44 62 55 04 43 4F 44
-45 00 B0 12 8A 4F A2 82 CC 1D 87 12 5C 50 DC 45
-F4 56 00 00 07 45 4E 44 43 4F 44 45 87 12 F8 53
-6E 4F 2A 44 B2 56 03 41 53 4D 92 42 E0 1D C0 1D
-B2 40 C0 56 E0 1D E5 3F 14 57 06 45 4E 44 41 53
-4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43 4F 4C
-4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53 CC 1D
-B2 43 BE 1D 30 40 F8 53 00 00 05 4C 4F 32 48 49
-1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A 44 02 00
-A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48 09 5A
-1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
-2A 88 82 4A CA 1D 30 4D B0 12 2A 44 42 4A A0 4A
-EA 45 BC 57 62 4B E0 45 AE 4E DE 57 BE 57 29 4E
-39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
-1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
-19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40 29 00
-12 12 CA 1D 92 53 CA 1D B0 12 2A 44 42 4A 62 4B
-E0 45 10 58 06 58 21 53 3E 90 10 00 BB 2D 30 41
-12 58 B2 41 CA 1D 22 D3 30 41 87 12 6E 46 86 57
-22 58 82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D
-0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 CA 1D
-B0 12 A8 57 0E 93 04 20 B2 40 00 03 C4 1D 27 3C
-1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93 04 20
-B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40 20 02
-C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D 0F 3C
-3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40 30 00
-C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 4F
-3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
-C4 1D 92 53 CA 1D 30 12 92 58 76 3F FA 90 40 00
-00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D B0 12
-F0 57 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00 B0 12
-F0 57 32 24 92 92 C6 1D CA 1D 02 24 92 53 CA 1D
-8E 10 82 5E C4 1D D3 3F B0 12 F0 57 F9 23 B2 50
-10 00 C4 1D 3E 40 28 00 B0 12 A8 57 30 12 E2 58
-67 3F 87 12 6E 46 86 57 1A 59 FE 90 26 00 00 00
-3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F B0 12
-F0 57 DF 23 B2 50 80 00 C4 1D 3E 40 28 00 B0 12
-A8 57 B0 12 E0 57 D5 23 3D 40 AE 4E 30 4D 8C 48
-04 52 45 54 49 00 87 12 34 44 00 13 6E 4C 2A 44
-34 44 2C 00 1A 58 12 59 6A 59 2E 4E 1E D2 C4 1D
-19 42 C2 1D 92 3F 6A 57 03 4D 4F 56 84 12 60 59
-00 40 78 59 05 4D 4F 56 2E 42 84 12 60 59 40 40
-00 00 03 41 44 44 84 12 60 59 00 50 92 59 05 41
-44 44 2E 42 84 12 60 59 40 50 9E 59 04 41 44 44
-43 00 84 12 60 59 00 60 AC 59 06 41 44 44 43 2E
-42 00 84 12 60 59 40 60 50 59 04 53 55 42 43 00
-84 12 60 59 00 70 CA 59 06 53 55 42 43 2E 42 00
-84 12 60 59 40 70 D8 59 03 53 55 42 84 12 60 59
-00 80 E8 59 05 53 55 42 2E 42 84 12 60 59 40 80
-4C 57 03 43 4D 50 84 12 60 59 00 90 02 5A 05 43
-4D 50 2E 42 84 12 60 59 40 90 3A 57 04 44 41 44
-44 00 84 12 60 59 00 A0 1C 5A 06 44 41 44 44 2E
-42 00 84 12 60 59 40 A0 0E 5A 03 42 49 54 84 12
-60 59 00 B0 3A 5A 05 42 49 54 2E 42 84 12 60 59
-40 B0 46 5A 03 42 49 43 84 12 60 59 00 C0 54 5A
-05 42 49 43 2E 42 84 12 60 59 40 C0 60 5A 03 42
-49 53 84 12 60 59 00 D0 6E 5A 05 42 49 53 2E 42
-84 12 60 59 40 D0 00 00 03 58 4F 52 84 12 60 59
-00 E0 88 5A 05 58 4F 52 2E 42 84 12 60 59 40 E0
-BA 59 03 41 4E 44 84 12 60 59 00 F0 A2 5A 05 41
-4E 44 2E 42 84 12 60 59 40 F0 6E 46 1A 58 C0 5A
-1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0 0F 00
-82 DA C4 1D 4A 3F F4 59 03 52 52 43 84 12 BA 5A
-00 10 D8 5A 05 52 52 43 2E 42 84 12 BA 5A 40 10
-E4 5A 04 53 57 50 42 00 84 12 BA 5A 80 10 F2 5A
-03 52 52 41 84 12 BA 5A 00 11 00 5B 05 52 52 41
-2E 42 84 12 BA 5A 40 11 0C 5B 03 53 58 54 84 12
-BA 5A 80 11 00 00 04 50 55 53 48 00 84 12 BA 5A
-00 12 26 5B 06 50 55 53 48 2E 42 00 84 12 BA 5A
-40 12 7A 5A 04 43 41 4C 4C 00 84 12 BA 5A 80 12
-34 44 2C 00 1A 58 12 59 5A 5B 59 42 C4 1D 5A 42
-C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20 0A 89
-02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
-1A 53 0E 4A 87 12 B0 47 C2 49 0D 6F 75 74 20 6F
-66 20 62 6F 75 6E 64 73 14 4E 34 5B 05 50 55 53
-48 4D 84 12 50 5B 00 15 9C 5B 04 50 4F 50 4D 00
-84 12 50 5B 00 17 6E 46 86 57 BC 5B 82 43 C4 1D
-92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D 3E 40
-2C 00 B0 12 2A 44 42 4A 62 4B E0 45 AE 4E 12 59
-E2 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
-6F 3F 1A 5B 04 52 52 43 4D 00 84 12 B6 5B 50 00
-F4 5B 04 52 52 41 4D 00 84 12 B6 5B 50 01 02 5C
-04 52 4C 41 4D 00 84 12 B6 5B 50 02 10 5C 04 52
-52 55 4D 00 84 12 B6 5B 50 03 85 12 00 3C 1E 5C
-03 53 3E 3D 85 12 00 38 30 5C 02 53 3C 00 85 12
-00 34 AA 5B 03 30 3E 3D 85 12 00 30 44 5C 02 30
-3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
-58 5C 03 55 3E 3D 85 12 00 28 4E 5C 03 30 3C 3E
-85 12 00 24 6C 5C 02 30 3D 00 85 12 00 20 98 48
-02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53 CC 1D
-0E 4A 30 4D 62 5C 04 54 48 45 4E 00 1A 42 CC 1D
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-68 2F 88 DA 00 00 30 4D 2A 5A 04 45 4C 53 45 00
-1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D 2F 83
-8F 4A 00 00 E3 3F 96 5C 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11 3A 90
-00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-CC 1D 30 4D AE 5A 05 41 47 41 49 4E 87 12 2A 5C
-DE 5C 2A 44 00 00 05 57 48 49 4C 45 87 12 84 5C
-78 44 2A 44 3A 5C 06 52 45 50 45 41 54 00 87 12
-2A 5C DE 5C 9C 5C 2A 44 00 00 03 4A 4D 50 87 12
-A0 4E 2A 5C DE 5C 2A 44 3E B0 00 10 03 20 3E E0
-00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
-30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
-87 12 48 5D A0 4E 78 44 DE 5C 2A 44 7E 5D 3D 41
-08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D 00 00
-30 4D 88 43 00 00 A4 3F 44 5B 03 42 57 31 84 12
-7C 5D 00 00 9A 5D 03 42 57 32 84 12 7C 5D 00 00
-A6 5D 03 42 57 33 84 12 7C 5D 00 00 BE 5D 3D 41
-1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53
-CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
-00 00 03 46 57 31 84 12 BC 5D 00 00 E2 5D 03 46
-57 32 84 12 BC 5D 00 00 EE 5D 03 46 57 33 84 12
-BC 5D 00 00 FA 5D 04 47 4F 54 4F 00 87 12 2A 5C
-A0 4E 64 4C 2A 44 6A 5D 05 3F 47 4F 54 4F 87 12
-48 5D A0 4E 64 4C 2A 44 8C 51 09 7B 55 54 49 4C
-49 54 59 7D 30 4D 08 54 02 2E 53 00 8F 4E FE FF
-0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
-FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44 3C 00
-12 49 B0 47 34 44 08 00 12 49 34 44 3E 00 12 49
-48 49 8A 44 8A 44 C4 45 EA 45 82 5E 62 44 62 44
-2A 44 F0 45 28 46 F2 44 7C 47 34 44 02 00 00 46
-84 5E 2A 44 38 5E 03 2E 52 53 8F 4E FE FF 8F 41
-FA FF 3E 40 E0 1C D3 3F 08 4B 01 3F 2E 4E 30 40
-7C 47 56 55 05 57 4F 52 44 53 87 12 BE 49 34 44
-03 00 5E 49 34 44 D0 1D F2 44 50 46 34 44 10 00
-44 44 20 45 3A 53 34 44 00 00 44 44 34 44 10 00
-44 44 20 45 34 44 00 00 F0 45 44 44 28 46 50 46
-20 45 F2 44 D2 45 E0 45 08 5F 62 44 62 44 28 46
-44 44 50 46 20 45 F2 44 34 44 02 00 00 46 EA 5E
-54 44 E0 45 4A 5F 44 44 34 44 02 00 28 45 F2 44
-9A 44 50 46 20 45 FA 44 44 44 B6 4C 34 44 7F 00
-36 45 80 49 08 45 34 44 0F 00 36 45 34 44 10 00
-78 44 28 45 5E 49 DC 45 D6 5E 62 44 2A 44 34 53
-03 4D 41 58 2E 9F 07 38 2F 53 30 4D 50 5F 03 4D
-49 4E 2E 9F F9 3B 3E 4F 30 4D 4C 52 03 55 2E 52
-87 12 B0 44 9A 46 34 44 00 00 FA 46 2C 47 46 47
-BC 44 8A 44 28 45 34 44 00 00 54 5F 5E 49 80 49
-2A 44 16 54 04 44 55 4D 50 00 0D 12 12 12 E2 1D
-B2 40 10 00 E2 1D 2E 5F B0 12 2A 44 78 44 8A 44
-8A 44 7C 47 34 44 01 00 28 45 7C 47 34 44 FE FF
-36 45 F0 45 BE 49 28 46 34 44 07 00 70 5F 48 49
-28 46 34 44 10 00 20 45 28 46 F0 45 28 46 08 45
-34 44 03 00 70 5F 12 46 DC 5F 48 49 48 49 28 46
-34 44 10 00 20 45 28 46 F0 45 28 46 08 45 34 44
-7E 00 62 5F 6E 46 54 5F 12 49 12 46 FA 5F 34 44
-10 00 00 46 C4 5F BC 44 84 46 FA 44 2A 44
+8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 94 45
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A 4B 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C 4B 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A 46 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 48 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 45 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
+00 00 3E 4F 30 4D 44 4C 87 4C 49 54 45 52 41 4C
+82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
+34 44 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 49 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 48
+32 46 22 4A A6 4C 3D 40 AE 4C EA 22 50 3E B0 4C
+0A 4E 3E 4F 3D 40 C6 4C 40 27 3D 40 A0 4C 1A E2
+BE 1D C1 27 BB 23 C8 4C 3E 4F 3D 40 A0 4C C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 4F D5 3F 34 4C 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44
+9C 4C 04 4D B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
+3D 41 30 4D 9C 45 04 51 55 49 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12
+2A 44 A2 49 05 0D 0A 6F 6B 20 5C 49 BE 48 24 49
+9C 4C DE 44 70 45 A2 49 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 4D 34 44 30 FF 80 47 AC 45
+A2 49 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 4D
+4A 46 F2 44 B8 45 32 4D A2 49 05 0D 0A 20 20 20
+B4 45 3A 4D E4 47 05 41 42 4F 52 54 3F 40 80 1C
+C5 3F B2 40 A6 54 02 55 B2 40 54 48 48 48 B2 40
+3C 1D 2A 46 B2 40 B8 48 F0 48 B2 40 8C 49 A0 49
+B2 40 BA 47 E0 47 82 43 46 5D 82 43 52 5D 82 43
+5E 5D 82 43 8E 5D 82 43 9A 5D 82 43 A6 5D B2 40
+0A 00 E2 1D 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 48 B0 12 9E 4D A2 B3 FC 05
+FD 27 B2 40 11 00 EE 05 D2 C3 22 02 92 C3 FC 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 FC 05 F3 23 87 12 A2 49 04 1B 5B 37 6D 00
+5C 49 34 44 E4 1D F2 44 54 44 B8 45 3E 4E A2 49
+05 6C 69 6E 65 3A 5C 49 40 45 36 47 FA 48 5C 49
+A2 49 04 1B 5B 30 6D 00 5C 49 1C 54 C0 49 8C 4D
+86 4D 86 41 42 4F 52 54 22 00 87 12 DE 49 34 44
+D6 4D 46 4C 2A 44 7A 4A 01 27 87 12 32 46 22 4A
+80 4A B8 45 78 4E 2A 44 D0 4C 50 46 81 5C 92 42
+C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
+7C 4E 01 5D B2 43 BE 1D 30 4D 88 4E 83 5B 27 5D
+87 12 6A 4E 34 44 34 44 46 4C 46 4C 2A 44 BE 4F
+02 00 3E 4F 30 4D 86 49 82 49 53 00 87 12 4A 46
+F2 44 B8 45 D0 4E A0 4E 34 44 AE 4E 46 4C 2A 44
+6A 4E AE 4E 2A 44 B8 4E 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D DA 49
+87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
+00 00 A2 53 CC 1D 30 4D 16 4D 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 46 22 4A 80 4A 54 44 B8 45
+78 4E 70 45 B8 45 34 4F 34 44 34 44 46 4C 46 4C
+34 44 46 4C 46 4C 2A 44 82 9F BC 1D 25 25 87 12
+A2 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 4D 9C 4E 81 3B 82 93 BE 1D 90 27 87 12
+34 44 2A 44 46 4C 38 4F 8A 4E 2A 44 BA 40 87 12
+FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D
+56 4F 01 3A 30 12 6C 4F 87 12 FE 49 32 46 22 4A
+92 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49 B8 1D
+82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41 30 41
+1C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12 88 4F
+BA 40 86 12 FC FF DC 3C 88 4C 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 4F BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA 4F 06 43 52 45 41 54 45 00
+B0 12 88 4F BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 4C 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 50 05 44 45 46
+45 52 B0 12 88 4F BA 40 30 40 FC FF BA 40 28 50
+FE FF A6 3C F8 4F 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 4F 86 5B 54 48 45 4E 5D 00
+30 4D 78 50 86 5B 45 4C 53 45 5D 00 87 12 34 44
+01 00 32 46 22 4A 8E 4C 44 44 B8 45 F6 50 8A 44
+8A 44 A2 49 04 5B 49 46 5D 00 4E 50 C2 45 B8 50
+7E 49 36 45 B4 45 EE 50 8A 44 8A 44 A2 49 06 5B
+45 4C 53 45 5D 00 4E 50 C2 45 DC 50 7E 49 40 45
+44 44 B8 45 EE 50 36 45 B4 45 EE 50 A2 49 06 5B
+54 48 45 4E 5D 00 4E 50 C2 45 EE 50 40 45 54 44
+C2 45 92 50 2A 44 7E 49 A2 49 05 0D 0A 6B 6F 20
+5C 49 BE 48 D6 48 B4 45 92 50 84 50 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C 51 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 46 22 4A 80 4A
+6C 44 64 45 2A 44 1C 51 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 46 22 4A 80 4A 6C 44 2A 44 50 51
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 4C
+06 4D 41 52 4B 45 52 00 B0 12 88 4F BA 40 84 12
+FC FF BA 40 4E 51 FE FF 9A 42 CE 1D 00 00 28 83
+8A 48 02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D
+A8 49 FE FF 89 48 00 00 30 4D D8 4E 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40
+B8 45 00 00 2E 53 30 4D 2C 50 84 45 4C 53 45 00
+A2 52 CC 1D 1A 42 CC 1D BA 40 B4 45 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 49 84 54 48 45 4E 00
+9E 42 CC 1D 00 00 3E 4F 30 4D 46 50 85 42 45 47
+49 4E 30 40 80 47 DA 51 85 55 4E 54 49 4C 39 40
+B8 45 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 4E 85 41 47 41 49 4E 39 40
+B4 45 EF 3F C2 4F 85 57 48 49 4C 45 87 12 A0 51
+78 44 2A 44 F0 4E 86 52 45 50 45 41 54 00 87 12
+1E 52 E0 51 2A 44 BA 51 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 1D 1E 42 CC 1D BE 40 C8 45 FE FF
+A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D 60 51
+84 4C 4F 4F 50 00 39 40 EA 45 A2 52 CC 1D 1A 42
+CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83
+00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA 47 85 2B 4C 4F 4F 50 39 40 D8 45 E5 3F
+70 52 85 4C 45 41 56 45 1A 42 CC 1D BA 40 FA 45
+00 00 BA 40 B4 45 02 00 B2 50 06 00 CC 1D A2 53
+00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D B2 52
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 52 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 50 34 44 10 00 34 44 00 00
+C8 45 34 44 00 00 46 4C EA 45 32 53 80 47 34 44
+CE 1D 44 44 F2 44 46 4C FA 44 18 50 34 44 D0 1D
+FA 44 2A 44 68 4E 05 46 4F 52 54 48 84 12 4C 53
+DA 5D A0 56 4A 5F 32 61 E6 5F A4 52 14 5F 42 5E
+60 5E 78 61 22 5F 6A 5E 00 00 D6 5F 92 4E 56 5E
+00 00 18 52 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 1D 38 40 D2 1D B6 3F 0A 4F 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D
+A3 3F 0A 4A 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D
+48 52 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 1D E0 1D 30 4D 5C 53 BA 53 CE 53 DE 53 3A 4E
+82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A 53 09 50 57 52 5F 53 54 41 54 45 84 12 D6 53
+84 56 90 61 36 52 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 54 92 42 0C 18 22 54 EF 3F 12 54
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 1D 20 54
+92 42 CC 1D 22 54 30 4D 26 54 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18
+EC 3F 18 53 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 C6 FF FA 23 B0 12 92 4D B2 40
+90 61 0C 18 B2 40 84 56 0E 18 CA 3F 9C 51 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 49 06 0D
+1B 5B 37 6D 23 00 5C 49 6A 47 A2 49 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C 49 34 44
+30 FF 80 47 28 45 36 47 A2 49 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 4D 74 54 04 57 41 52 4D 00
+30 40 A6 54 EC 51 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A 5C 01 92 43 04 02 B2 40 FE FF
+02 02 A2 83 06 02 92 D3 24 02 B2 D3 26 02 B2 43
+22 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02 B2 D3
+66 02 B2 40 80 00 84 02 B2 40 7F FF 82 02 B2 D0
+7F FF 86 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00
+41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00
+61 01 B2 40 48 00 62 01 82 43 66 01 39 40 00 01
+B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18
+A2 93 08 18 01 24 59 07 38 40 59 14 18 83 FE 23
+19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0
+40 00 A2 04 3A 40 12 55 39 40 C6 FF 89 4A 00 00
+29 53 FC 23 92 42 02 18 E4 FF B2 40 18 00 0A 18
+39 40 00 1C 89 43 00 00 29 53 39 90 FF 23 FA 2B
+31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40 BC 44
+35 40 08 44 34 40 14 44 B2 40 0A 00 E2 1D B2 43
+B4 1D 92 C3 30 01 18 42 08 18 F2 B0 10 00 20 02
+04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 E0 05
+B2 42 E6 05 B2 40 A1 F7 E8 05 F2 D0 30 00 2A 02
+92 C3 E0 05 92 D3 FA 05 3D 40 52 56 18 42 08 18
+38 90 0A 00 1A 27 38 90 16 00 17 2F 28 93 F0 22
+E5 26 00 55 84 53 09 41 53 53 45 4D 42 4C 45 52
+84 12 4C 53 AA 5C 56 5D 5E 5C AA 5D 24 5C DE 5C
+28 59 00 00 1A 5C CA 5C 7C 5C BA 5C 38 5A 00 00
+00 00 BC 5D 80 53 9E 54 85 48 49 32 4C 4F 87 12
+80 47 B4 51 46 4C 8A 4E 8A 53 60 56 2A 44 06 55
+04 43 4F 44 45 00 B0 12 88 4F A2 82 CC 1D 87 12
+7A 4F B4 45 98 56 00 00 07 45 4E 44 43 4F 44 45
+87 12 A4 53 38 4F 2A 44 56 56 03 41 53 4D 92 42
+E0 1D C0 1D B2 40 64 56 E0 1D E5 3F B8 56 06 45
+4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00
+05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00
+A2 53 CC 1D B2 43 BE 1D 30 40 A4 53 00 00 05 4C
+4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40
+2A 44 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48
+2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27
+1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 44
+22 4A 80 4A C2 45 60 57 3A 4B B8 45 78 4E 82 57
+62 57 29 4E 39 90 86 12 02 20 2E 53 30 41 39 90
+85 12 03 20 1E 4E 02 00 30 41 39 90 84 12 01 20
+2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
+3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 44
+22 4A 3A 4B B8 45 B4 57 AA 57 21 53 3E 90 10 00
+BB 2D 30 41 B6 57 B2 41 CA 1D 22 D3 30 41 87 12
+32 46 2A 57 C6 57 82 43 C4 1D 92 42 CC 1D C2 1D
+A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20
+92 53 CA 1D B0 12 4C 57 0E 93 04 20 B2 40 00 03
+C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C
+2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20
+B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02
+C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C
+B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E
+00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20
+B2 40 10 02 C4 1D 92 53 CA 1D 30 12 36 58 76 3F
+FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53
+CA 1D B0 12 94 57 0E 20 B2 50 10 00 C4 1D 3E 40
+2B 00 B0 12 94 57 32 24 92 92 C6 1D CA 1D 02 24
+92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 94 57
+F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12 4C 57
+30 12 86 58 67 3F 87 12 32 46 2A 57 BE 58 FE 90
+26 00 00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D
+C2 3F B0 12 94 57 DF 23 B2 50 80 00 C4 1D 3E 40
+28 00 B0 12 4C 57 B0 12 84 57 D5 23 3D 40 78 4E
+30 4D 40 48 04 52 45 54 49 00 87 12 34 44 00 13
+46 4C 2A 44 34 44 2C 00 BE 57 B6 58 0E 59 2E 4E
+1E D2 C4 1D 19 42 C2 1D 92 3F 0E 57 03 4D 4F 56
+84 12 04 59 00 40 1C 59 05 4D 4F 56 2E 42 84 12
+04 59 40 40 00 00 03 41 44 44 84 12 04 59 00 50
+36 59 05 41 44 44 2E 42 84 12 04 59 40 50 42 59
+04 41 44 44 43 00 84 12 04 59 00 60 50 59 06 41
+44 44 43 2E 42 00 84 12 04 59 40 60 F4 58 04 53
+55 42 43 00 84 12 04 59 00 70 6E 59 06 53 55 42
+43 2E 42 00 84 12 04 59 40 70 7C 59 03 53 55 42
+84 12 04 59 00 80 8C 59 05 53 55 42 2E 42 84 12
+04 59 40 80 F0 56 03 43 4D 50 84 12 04 59 00 90
+A6 59 05 43 4D 50 2E 42 84 12 04 59 40 90 DE 56
+04 44 41 44 44 00 84 12 04 59 00 A0 C0 59 06 44
+41 44 44 2E 42 00 84 12 04 59 40 A0 B2 59 03 42
+49 54 84 12 04 59 00 B0 DE 59 05 42 49 54 2E 42
+84 12 04 59 40 B0 EA 59 03 42 49 43 84 12 04 59
+00 C0 F8 59 05 42 49 43 2E 42 84 12 04 59 40 C0
+04 5A 03 42 49 53 84 12 04 59 00 D0 12 5A 05 42
+49 53 2E 42 84 12 04 59 40 D0 00 00 03 58 4F 52
+84 12 04 59 00 E0 2C 5A 05 58 4F 52 2E 42 84 12
+04 59 40 E0 5E 59 03 41 4E 44 84 12 04 59 00 F0
+46 5A 05 41 4E 44 2E 42 84 12 04 59 40 F0 32 46
+BE 57 64 5A 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10
+3A F0 0F 00 82 DA C4 1D 4A 3F 98 59 03 52 52 43
+84 12 5E 5A 00 10 7C 5A 05 52 52 43 2E 42 84 12
+5E 5A 40 10 88 5A 04 53 57 50 42 00 84 12 5E 5A
+80 10 96 5A 03 52 52 41 84 12 5E 5A 00 11 A4 5A
+05 52 52 41 2E 42 84 12 5E 5A 40 11 B0 5A 03 53
+58 54 84 12 5E 5A 80 11 00 00 04 50 55 53 48 00
+84 12 5E 5A 00 12 CA 5A 06 50 55 53 48 2E 42 00
+84 12 5E 5A 40 12 1E 5A 04 43 41 4C 4C 00 84 12
+5E 5A 80 12 34 44 2C 00 BE 57 B6 58 FE 5A 59 42
+C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00
+02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C
+5A 0E A8 3F 1A 53 0E 4A 87 12 6A 47 A2 49 0D 6F
+75 74 20 6F 66 20 62 6F 75 6E 64 73 E2 4D D8 5A
+05 50 55 53 48 4D 84 12 F4 5A 00 15 40 5B 04 50
+4F 50 4D 00 84 12 F4 5A 00 17 32 46 2A 57 60 5B
+82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53
+CA 1D 3E 40 2C 00 B0 12 2A 44 22 4A 3A 4B B8 45
+78 4E B6 58 86 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F
+8A 10 5A 06 6F 3F BE 5A 04 52 52 43 4D 00 84 12
+5A 5B 50 00 98 5B 04 52 52 41 4D 00 84 12 5A 5B
+50 01 A6 5B 04 52 4C 41 4D 00 84 12 5A 5B 50 02
+B4 5B 04 52 52 55 4D 00 84 12 5A 5B 50 03 85 12
+00 3C C2 5B 03 53 3E 3D 85 12 00 38 D4 5B 02 53
+3C 00 85 12 00 34 4E 5B 03 30 3E 3D 85 12 00 30
+E8 5B 02 30 3C 00 85 12 00 30 00 00 02 55 3C 00
+85 12 00 2C FC 5B 03 55 3E 3D 85 12 00 28 F2 5B
+03 30 3C 3E 85 12 00 24 10 5C 02 30 3D 00 85 12
+00 20 4C 48 02 49 46 00 1A 42 CC 1D 8A 4E 00 00
+A2 53 CC 1D 0E 4A 30 4D 06 5C 04 54 48 45 4E 00
+1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11
+3A 90 00 02 68 2F 88 DA 00 00 30 4D CE 59 04 45
+4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53
+CC 1D 2F 83 8F 4A 00 00 E3 3F 3A 5C 05 55 4E 54
+49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89
+0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48
+00 00 A2 53 CC 1D 30 4D 52 5A 05 41 47 41 49 4E
+87 12 CE 5B 82 5C 2A 44 00 00 05 57 48 49 4C 45
+87 12 28 5C 78 44 2A 44 DE 5B 06 52 45 50 45 41
+54 00 87 12 CE 5B 82 5C 40 5C 2A 44 00 00 03 4A
+4D 50 87 12 6A 4E CE 5B 82 5C 2A 44 3E B0 00 10
+03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24
+3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F
+4A 4D 50 00 87 12 EC 5C 6A 4E 78 44 82 5C 2A 44
+22 5D 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42
+CC 1D 00 00 30 4D 88 43 00 00 A4 3F E8 5A 03 42
+57 31 84 12 20 5D 00 00 3E 5D 03 42 57 32 84 12
+20 5D 00 00 4A 5D 03 42 57 33 84 12 20 5D 00 00
+62 5D 3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F
+00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43
+00 00 61 3F 00 00 03 46 57 31 84 12 60 5D 00 00
+86 5D 03 46 57 32 84 12 60 5D 00 00 92 5D 03 46
+57 33 84 12 60 5D 00 00 9E 5D 04 47 4F 54 4F 00
+87 12 CE 5B 6A 4E 3C 4C 2A 44 0E 5D 05 3F 47 4F
+54 4F 87 12 EC 5C 6A 4E 3C 4C 2A 44 38 51 07 7B
+54 4F 4F 4C 53 7D 30 4D CA 56 03 41 4E 44 3E FF
+30 4D B4 53 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
+8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
+06 00 2E 8F 0E 11 87 12 34 44 3C 00 EE 48 6A 47
+34 44 08 00 EE 48 34 44 3E 00 EE 48 24 49 8A 44
+8A 44 96 45 C2 45 2E 5E 62 44 62 44 2A 44 C8 45
+00 46 F2 44 36 47 34 44 02 00 D8 45 30 5E 2A 44
+E4 5D 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
+E0 1C D3 3F E0 4A 01 3F 2E 4E 30 40 36 47 40 54
+03 50 41 44 85 12 E4 1C FA 54 05 57 4F 52 44 53
+87 12 9E 49 34 44 03 00 3A 49 34 44 D0 1D F2 44
+64 5E 34 44 10 00 44 44 20 45 E6 52 34 44 00 00
+44 44 34 44 10 00 44 44 20 45 34 44 00 00 C8 45
+44 44 00 46 64 5E 20 45 F2 44 AC 45 B8 45 BE 5E
+62 44 62 44 00 46 44 44 64 5E 20 45 F2 44 34 44
+02 00 D8 45 A0 5E 54 44 B8 45 00 5F 44 44 34 44
+02 00 28 45 F2 44 9A 44 64 5E 20 45 FA 44 44 44
+8E 4C 34 44 7F 00 DE 5D 5C 49 08 45 34 44 0F 00
+DE 5D 34 44 10 00 78 44 28 45 3A 49 B4 45 8C 5E
+62 44 2A 44 E0 52 03 4D 41 58 2E 9F 07 38 2F 53
+30 4D 06 5F 03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D
+F8 51 03 55 2E 52 87 12 B0 44 54 46 34 44 00 00
+B4 46 E6 46 00 47 BC 44 8A 44 28 45 34 44 00 00
+0A 5F 3A 49 5C 49 2A 44 C2 53 04 44 55 4D 50 00
+0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F B0 12
+2A 44 78 44 8A 44 8A 44 36 47 34 44 01 00 28 45
+36 47 34 44 FE FF DE 5D C8 45 9E 49 00 46 34 44
+07 00 26 5F 24 49 00 46 34 44 10 00 20 45 00 46
+C8 45 00 46 08 45 34 44 03 00 26 5F EA 45 92 5F
+24 49 24 49 00 46 34 44 10 00 20 45 00 46 C8 45
+00 46 08 45 34 44 7E 00 18 5F 32 46 0A 5F EE 48
+EA 45 B0 5F 34 44 10 00 D8 45 7A 5F BC 44 3E 46
+FA 44 2A 44 CE 5D 0A 7B 46 49 58 50 4F 49 4E 54
+7D 00 30 4D 88 56 05 48 4F 4C 44 53 39 4F 09 5E
+18 42 B2 1D 19 83 1E 83 04 28 18 83 E8 49 00 00
+F9 3F 82 48 B2 1D 3E 4F 30 4D 56 53 02 46 2B 00
+BF 5F 02 00 3E 6F 30 4D 0C 60 02 46 2D 00 BF 8F
+02 00 8F 7E 00 00 3E 4F 30 4D 1A 60 02 46 2F 00
+1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F 02 00
+39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63 3E B0
+40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20 09 96
+02 28 09 86 0A 7E 07 67 04 64 15 83 09 30 0B 5B
+08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F
+2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 30 4D 2C 60 03 46
+23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00 2B 42
+B2 90 0A 00 E2 1D 01 20 1B 53 0C 43 A2 4F C0 04
+92 42 E2 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04
+3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E
+90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40
+90 1D 75 3F AE 60 02 46 2A 00 92 4F 04 00 D4 04
+92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52
+00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D
+06 61 02 46 2E 00 87 12 54 46 44 44 B0 44 4C 45
+B2 60 34 44 2C 00 16 47 E6 46 BC 44 24 47 00 47
+5C 49 24 49 2A 44 5A 54 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 58 61 02 32 40 00 2F 83 9F 4E 02 00
+00 00 2E 4E 30 4D 66 61 09 32 43 4F 4E 53 54 41
+4E 54 87 12 00 50 46 4C 46 4C 18 50 6A 61 2A 44
 @FFFE
-6E 55
+12 55
 q
diff --git a/MSP_EXP430FR6989_16MHz_3Mbds.txt b/MSP_EXP430FR6989_16MHz_3Mbds.txt
deleted file mode 100644 (file)
index 57f86e7..0000000
+++ /dev/null
@@ -1,457 +0,0 @@
-@1800
-10 00 A6 48 80 3E 30 75 05 00 18 00 20 60 E2 56
-66 48 78 48 00 00 00 00
-@4400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 44
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 44 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 44 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 44 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 44 02 3E 52 00
-0E 12 3E 4F 30 4D 96 44 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 44 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 44 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 44 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 80 49 48 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 80 49 48 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 EC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-FC 05 FD 27 1E 42 EC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 E4 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 48 92 B3
-FC 05 05 24 18 42 EC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 FC 05 FD 27 B2 40 11 00
-EE 05 D2 C3 22 02 30 41 A2 B3 FC 05 FD 27 B2 40
-13 00 EE 05 D2 D3 22 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 EC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 FC 05
-FD 27 82 48 EE 05 30 4D DA 48 2D 83 92 B3 FC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA 47 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 47 04 45 4D 49
-54 00 30 40 04 49 0C 49 04 45 43 48 4F 00 B2 40
-82 48 D2 48 82 43 E4 1D 30 4D AE 47 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 48 92 43 E4 1D 30 4D
-64 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A 49 EF 3F 6C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 47 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 49 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 49 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC 48 04 28 43 52 29 00 87 12 C2 49
-02 0D 0A 00 80 49 2A 44 E4 47 02 43 52 00 30 40
-AC 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA 49 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 49 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 49 82 53 22 00 87 12
-34 44 C2 49 6E 4C F2 49 34 44 22 00 42 4A E0 49
-12 4A 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C 49 82 2E 22 00 87 12
-FE 49 34 44 80 49 6E 4C 2A 44 00 00 04 57 4F 52
-44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
-09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
-00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
-F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
-20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D 1E 42 CC 1D
-08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
-2F 83 0C 4E 65 4C 74 40 80 00 3B 40 D0 1D 3E 4B
-0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
-1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
-F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
-19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 46 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 4B 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 46 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 49 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C 4C
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E 46 42 4A DA 4C 3D 40 E6 4C E0 22
-3E 4F 3D 41 30 4D E8 4C 0A 4E 3E 4F 3D 40 FE 4C
-38 27 3D 40 D4 4C 1A E2 BE 1D B9 27 B3 23 00 4D
-3E 4F 3D 40 D4 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 4F CD 3F 5C 4C 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 C4 4C 3C 4D B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A 44 C2 49 05 0D 0A 6F
-6B 20 80 49 5A 46 44 44 64 46 32 48 48 49 C4 4C
-DE 44 92 45 C2 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E 4E 34 44 30 FF C6 47 D2 45 C2 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E 4E 90 46
-F2 44 E0 45 6A 4D C2 49 05 0D 0A 20 20 20 DC 45
-72 4D B2 40 FA 54 5E 55 B2 40 A0 48 94 48 B2 40
-04 49 14 49 B2 40 AC 49 C0 49 B2 40 00 48 26 48
-82 43 A4 5D 82 43 B0 5D 82 43 BC 5D 82 43 EC 5D
-82 43 F8 5D 82 43 04 5E B2 40 0A 00 E2 1D 30 41
-2A 48 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 48 B0 12 CE 4D A2 B3
-FC 05 FD 27 B2 40 11 00 EE 05 D2 C3 22 02 92 C3
-FC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 FC 05 F3 23 87 12 C2 49 04 1B 5B 37
-6D 00 80 49 34 44 E4 1D F2 44 54 44 E0 45 74 4E
-C2 49 05 6C 69 6E 65 3A 80 49 34 44 01 00 28 45
-7C 47 1E 49 80 49 C2 49 04 1B 5B 30 6D 00 80 49
-70 54 E0 49 08 4E 02 4E 86 41 42 4F 52 54 22 00
-87 12 FE 49 34 44 0E 4E 6E 4C 2A 44 9A 4A 01 27
-87 12 6E 46 42 4A A0 4A E0 45 AE 4E 2A 44 08 4D
-96 46 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 4E 01 5D B2 43 BE 1D 30 4D
-BE 4E 83 5B 27 5D 87 12 A0 4E 34 44 34 44 6E 4C
-6E 4C 2A 44 BE 4F 02 00 3E 4F 30 4D A6 49 82 49
-53 00 87 12 90 46 F2 44 E0 45 06 4F D6 4E 34 44
-E4 4E 6E 4C 2A 44 A0 4E E4 4E 2A 44 EE 4E 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA 49 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E 4D
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 46 42 4A
-A0 4A 54 44 E0 45 AE 4E 92 45 E0 45 6A 4F 34 44
-34 44 6E 4C 6E 4C 34 44 6E 4C 6E 4C 2A 44 82 9F
-BC 1D 34 25 87 12 C2 49 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 4E 87 12 1E 4A 6E 46
-42 4A 94 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A 4F BA 40 86 12 FC FF 05 3D B0 4C 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A 4F BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC 4F 06 43 52 45 41 54
-45 00 B0 12 8A 4F BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E 4D 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 50 05 44
-45 46 45 52 B0 12 8A 4F BA 40 30 40 FC FF BA 40
-2A 50 FE FF CF 3C D2 4E 01 3A B0 12 8A 4F BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 50 81 3B 82 93 BE 1D 24 27 87 12 34 44
-2A 44 6E 4C 6E 4F C0 4E 2A 44 FA 4F 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 50 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE 50 86 5B
-45 4C 53 45 5D 00 87 12 34 44 01 00 6E 46 42 4A
-B6 4C 44 44 E0 45 34 51 8A 44 8A 44 C2 49 04 5B
-49 46 5D 00 84 50 EA 45 F2 50 00 4B 2C 45 DC 45
-28 51 8A 44 8A 44 C2 49 06 5B 45 4C 53 45 5D 00
-84 50 EA 45 16 51 00 4B B8 50 44 44 E0 45 12 51
-2C 45 DC 45 28 51 C2 49 06 5B 54 48 45 4E 5D 00
-84 50 EA 45 28 51 B8 50 54 44 EA 45 30 51 2A 44
-DC 45 CC 50 00 4B C2 49 05 0D 0A 6B 6F 20 80 49
-5A 46 44 44 64 46 32 48 4A 51 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC 50 30 4D BE 50
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 51
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E 46
-42 4A A0 4A 6C 44 86 45 2A 44 70 51 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E 46 42 4A A0 4A 6C 44
-2A 44 A4 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 4C 06 4D 41 52 4B 45 52 00 B0 12 8A 4F
-BA 40 84 12 FC FF BA 40 A2 51 FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E 4F
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 45 00 00 2E 53 30 4D 2E 50 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC 45
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A 49 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C 50
-85 42 45 47 49 4E 30 40 C6 47 2E 52 85 55 4E 54
-49 4C 39 40 E0 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 4E 85 41 47 41
-49 4E 39 40 DC 45 EF 3F C4 4F 85 57 48 49 4C 45
-87 12 F4 51 78 44 2A 44 26 4F 86 52 45 50 45 41
-54 00 87 12 72 52 34 52 2A 44 0E 52 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 51 84 4C 4F 4F 50 00 39 40 12 46 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 48 85 2B 4C 4F 4F 50 39 40
-00 46 E5 3F C4 52 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 53 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A 52 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 50 34 44 10 00
-34 44 00 00 F0 45 34 44 00 00 6E 4C 12 46 86 53
-C6 47 34 44 CE 1D 44 44 F2 44 6E 4C FA 44 1A 50
-34 44 D0 1D FA 44 2A 44 9E 4E 05 46 4F 52 54 48
-84 12 A0 53 28 57 FE 56 96 5F AA 53 E6 56 F8 52
-60 5F 98 5E 94 54 AE 54 6E 5F B6 5E 46 45 2C 5E
-C8 4E AC 5E 00 00 6C 52 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 4F 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A 4A 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C 52 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 53 0E 54 22 54
-32 54 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE 53 09 50 57 52 5F 53 54 41 54 45
-84 12 2A 54 E2 56 20 60 8A 52 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 54 92 42 0C 18 76 54
-EF 3F 66 54 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 54 92 42 CC 1D 76 54 30 4D 7A 54 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C 53 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 C6 FF FA 23 B0 12
-C2 4D B2 40 20 60 0C 18 B2 40 E2 56 0E 18 CA 3F
-F0 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 49 06 0D 1B 5B 37 6D 23 00 80 49 B0 47 C2 49
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 49 34 44 30 FF C6 47
-28 45 7C 47 C2 49 0B 62 79 74 65 73 20 66 72 65
-65 20 1A 4E C8 54 04 57 41 52 4D 00 30 40 FA 54
-40 52 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A 5C 01 92 43 04 02 B2 40 FE FF 02 02 A2 83
-06 02 92 D3 24 02 B2 D3 26 02 B2 43 22 02 B2 43
-42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 B2 40
-80 00 84 02 B2 40 7F FF 82 02 B2 D0 7F FF 86 02
-F2 43 22 03 F2 D3 26 03 F2 40 A5 00 41 01 F2 40
-10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40
-48 00 62 01 82 43 66 01 39 40 80 00 B2 40 33 00
-64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
-01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
-B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A2 04
-3A 40 6E 55 39 40 C6 FF 89 4A 00 00 29 53 FC 23
-92 42 02 18 E4 FF B2 40 18 00 0A 18 39 40 00 1C
-89 43 00 00 29 53 39 90 FF 23 FA 2B 31 40 E0 1C
-3F 40 80 1C 37 40 00 44 36 40 BC 44 35 40 08 44
-34 40 14 44 B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3
-30 01 18 42 08 18 F2 B0 10 00 20 02 04 20 38 E3
-18 53 82 48 08 18 B2 40 81 00 E0 05 B2 40 05 00
-E6 05 B2 40 00 49 E8 05 F2 D0 30 00 2A 02 92 C3
-E0 05 92 D3 FA 05 3D 40 B0 56 18 42 08 18 38 90
-0A 00 15 27 38 90 16 00 12 2F 28 93 EB 22 E0 26
-5C 55 D8 53 09 41 53 53 45 4D 42 4C 45 52 84 12
-A0 53 08 5D B4 5D BC 5C 08 5E 82 5C 3C 5D 86 59
-00 00 78 5C 28 5D DA 5C 18 5D 96 5A 00 00 00 00
-1A 5E D4 53 F2 54 85 48 49 32 4C 4F 87 12 C6 47
-08 52 6E 4C C0 4E DE 53 BE 56 2A 44 62 55 04 43
-4F 44 45 00 B0 12 8A 4F A2 82 CC 1D 87 12 5C 50
-DC 45 F6 56 00 00 07 45 4E 44 43 4F 44 45 87 12
-F8 53 6E 4F 2A 44 B4 56 03 41 53 4D 92 42 E0 1D
-C0 1D B2 40 C2 56 E0 1D E5 3F 16 57 06 45 4E 44
-41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43
-4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53
-CC 1D B2 43 BE 1D 30 40 F8 53 00 00 05 4C 4F 32
-48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A 44
-02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48
-09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83
-0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 44 42 4A
-A0 4A EA 45 BE 57 62 4B E0 45 AE 4E E0 57 C0 57
-29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
-03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
-30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40
-29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 44 42 4A
-62 4B E0 45 12 58 08 58 21 53 3E 90 10 00 BB 2D
-30 41 14 58 B2 41 CA 1D 22 D3 30 41 87 12 6E 46
-88 57 24 58 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
-CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
-CA 1D B0 12 AA 57 0E 93 04 20 B2 40 00 03 C4 1D
-27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93
-04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40
-20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D
-0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40
-30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
-10 02 C4 1D 92 53 CA 1D 30 12 94 58 76 3F FA 90
-40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D
-B0 12 F2 57 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00
-B0 12 F2 57 32 24 92 92 C6 1D CA 1D 02 24 92 53
-CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 F2 57 F9 23
-B2 50 10 00 C4 1D 3E 40 28 00 B0 12 AA 57 30 12
-E4 58 67 3F 87 12 6E 46 88 57 1C 59 FE 90 26 00
-00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F
-B0 12 F2 57 DF 23 B2 50 80 00 C4 1D 3E 40 28 00
-B0 12 AA 57 B0 12 E2 57 D5 23 3D 40 AE 4E 30 4D
-8C 48 04 52 45 54 49 00 87 12 34 44 00 13 6E 4C
-2A 44 34 44 2C 00 1C 58 14 59 6C 59 2E 4E 1E D2
-C4 1D 19 42 C2 1D 92 3F 6C 57 03 4D 4F 56 84 12
-62 59 00 40 7A 59 05 4D 4F 56 2E 42 84 12 62 59
-40 40 00 00 03 41 44 44 84 12 62 59 00 50 94 59
-05 41 44 44 2E 42 84 12 62 59 40 50 A0 59 04 41
-44 44 43 00 84 12 62 59 00 60 AE 59 06 41 44 44
-43 2E 42 00 84 12 62 59 40 60 52 59 04 53 55 42
-43 00 84 12 62 59 00 70 CC 59 06 53 55 42 43 2E
-42 00 84 12 62 59 40 70 DA 59 03 53 55 42 84 12
-62 59 00 80 EA 59 05 53 55 42 2E 42 84 12 62 59
-40 80 4E 57 03 43 4D 50 84 12 62 59 00 90 04 5A
-05 43 4D 50 2E 42 84 12 62 59 40 90 3C 57 04 44
-41 44 44 00 84 12 62 59 00 A0 1E 5A 06 44 41 44
-44 2E 42 00 84 12 62 59 40 A0 10 5A 03 42 49 54
-84 12 62 59 00 B0 3C 5A 05 42 49 54 2E 42 84 12
-62 59 40 B0 48 5A 03 42 49 43 84 12 62 59 00 C0
-56 5A 05 42 49 43 2E 42 84 12 62 59 40 C0 62 5A
-03 42 49 53 84 12 62 59 00 D0 70 5A 05 42 49 53
-2E 42 84 12 62 59 40 D0 00 00 03 58 4F 52 84 12
-62 59 00 E0 8A 5A 05 58 4F 52 2E 42 84 12 62 59
-40 E0 BC 59 03 41 4E 44 84 12 62 59 00 F0 A4 5A
-05 41 4E 44 2E 42 84 12 62 59 40 F0 6E 46 1C 58
-C2 5A 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0
-0F 00 82 DA C4 1D 4A 3F F6 59 03 52 52 43 84 12
-BC 5A 00 10 DA 5A 05 52 52 43 2E 42 84 12 BC 5A
-40 10 E6 5A 04 53 57 50 42 00 84 12 BC 5A 80 10
-F4 5A 03 52 52 41 84 12 BC 5A 00 11 02 5B 05 52
-52 41 2E 42 84 12 BC 5A 40 11 0E 5B 03 53 58 54
-84 12 BC 5A 80 11 00 00 04 50 55 53 48 00 84 12
-BC 5A 00 12 28 5B 06 50 55 53 48 2E 42 00 84 12
-BC 5A 40 12 7C 5A 04 43 41 4C 4C 00 84 12 BC 5A
-80 12 34 44 2C 00 1C 58 14 59 5C 5B 59 42 C4 1D
-5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20
-0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
-A8 3F 1A 53 0E 4A 87 12 B0 47 C2 49 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 14 4E 36 5B 05 50
-55 53 48 4D 84 12 52 5B 00 15 9E 5B 04 50 4F 50
-4D 00 84 12 52 5B 00 17 6E 46 88 57 BE 5B 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D
-3E 40 2C 00 B0 12 2A 44 42 4A 62 4B E0 45 AE 4E
-14 59 E4 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
-5A 06 6F 3F 1C 5B 04 52 52 43 4D 00 84 12 B8 5B
-50 00 F6 5B 04 52 52 41 4D 00 84 12 B8 5B 50 01
-04 5C 04 52 4C 41 4D 00 84 12 B8 5B 50 02 12 5C
-04 52 52 55 4D 00 84 12 B8 5B 50 03 85 12 00 3C
-20 5C 03 53 3E 3D 85 12 00 38 32 5C 02 53 3C 00
-85 12 00 34 AC 5B 03 30 3E 3D 85 12 00 30 46 5C
-02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
-00 2C 5A 5C 03 55 3E 3D 85 12 00 28 50 5C 03 30
-3C 3E 85 12 00 24 6E 5C 02 30 3D 00 85 12 00 20
-98 48 02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53
-CC 1D 0E 4A 30 4D 64 5C 04 54 48 45 4E 00 1A 42
-CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 68 2F 88 DA 00 00 30 4D 2C 5A 04 45 4C 53
-45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D
-2F 83 8F 4A 00 00 E3 3F 98 5C 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 CC 1D 30 4D B0 5A 05 41 47 41 49 4E 87 12
-2C 5C E0 5C 2A 44 00 00 05 57 48 49 4C 45 87 12
-86 5C 78 44 2A 44 3C 5C 06 52 45 50 45 41 54 00
-87 12 2C 5C E0 5C 9E 5C 2A 44 00 00 03 4A 4D 50
-87 12 A0 4E 2C 5C E0 5C 2A 44 3E B0 00 10 03 20
-3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
-00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
-50 00 87 12 4A 5D A0 4E 78 44 E0 5C 2A 44 80 5D
-3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D
-00 00 30 4D 88 43 00 00 A4 3F 46 5B 03 42 57 31
-84 12 7E 5D 00 00 9C 5D 03 42 57 32 84 12 7E 5D
-00 00 A8 5D 03 42 57 33 84 12 7E 5D 00 00 C0 5D
-3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00
-A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
-61 3F 00 00 03 46 57 31 84 12 BE 5D 00 00 E4 5D
-03 46 57 32 84 12 BE 5D 00 00 F0 5D 03 46 57 33
-84 12 BE 5D 00 00 FC 5D 04 47 4F 54 4F 00 87 12
-2C 5C A0 4E 64 4C 2A 44 6C 5D 05 3F 47 4F 54 4F
-87 12 4A 5D A0 4E 64 4C 2A 44 8C 51 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 08 54 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44
-3C 00 12 49 B0 47 34 44 08 00 12 49 34 44 3E 00
-12 49 48 49 8A 44 8A 44 C4 45 EA 45 84 5E 62 44
-62 44 2A 44 F0 45 28 46 F2 44 7C 47 34 44 02 00
-00 46 86 5E 2A 44 3A 5E 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 08 4B 01 3F 2E 4E
-30 40 7C 47 56 55 05 57 4F 52 44 53 87 12 BE 49
-34 44 03 00 5E 49 34 44 D0 1D F2 44 50 46 34 44
-10 00 44 44 20 45 3A 53 34 44 00 00 44 44 34 44
-10 00 44 44 20 45 34 44 00 00 F0 45 44 44 28 46
-50 46 20 45 F2 44 D2 45 E0 45 0A 5F 62 44 62 44
-28 46 44 44 50 46 20 45 F2 44 34 44 02 00 00 46
-EC 5E 54 44 E0 45 4C 5F 44 44 34 44 02 00 28 45
-F2 44 9A 44 50 46 20 45 FA 44 44 44 B6 4C 34 44
-7F 00 36 45 80 49 08 45 34 44 0F 00 36 45 34 44
-10 00 78 44 28 45 5E 49 DC 45 D8 5E 62 44 2A 44
-34 53 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 52 5F
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 4C 52 03 55
-2E 52 87 12 B0 44 9A 46 34 44 00 00 FA 46 2C 47
-46 47 BC 44 8A 44 28 45 34 44 00 00 56 5F 5E 49
-80 49 2A 44 16 54 04 44 55 4D 50 00 0D 12 12 12
-E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A 44 78 44
-8A 44 8A 44 7C 47 34 44 01 00 28 45 7C 47 34 44
-FE FF 36 45 F0 45 BE 49 28 46 34 44 07 00 72 5F
-48 49 28 46 34 44 10 00 20 45 28 46 F0 45 28 46
-08 45 34 44 03 00 72 5F 12 46 DE 5F 48 49 48 49
-28 46 34 44 10 00 20 45 28 46 F0 45 28 46 08 45
-34 44 7E 00 64 5F 6E 46 56 5F 12 49 12 46 FC 5F
-34 44 10 00 00 46 C6 5F BC 44 84 46 FA 44 2A 44
-@FFFE
-6E 55
-q
diff --git a/MSP_EXP430FR6989_16MHz_5Mbds.txt b/MSP_EXP430FR6989_16MHz_5Mbds.txt
new file mode 100644 (file)
index 0000000..503b401
--- /dev/null
@@ -0,0 +1,480 @@
+@1800
+10 00 54 48 80 3E 50 C3 05 00 18 00 86 61 7A 56
+20 48 2C 48 00 00 00 00
+@4400
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 44
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 44 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 44 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 44 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E 44 02 3E 52 00
+0E 12 3E 4F 30 4D 96 44 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 44 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C 44 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 44 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
+00 00 02 31 2B 00 1E 53 30 4D 32 45 02 31 2D 00
+1E 83 30 4D D8 44 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 45
+02 30 3D 00 1E 83 0E 7E 30 4D 60 45 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 45 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 44 01 3E 3E 8F F9 3F 6C 45 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 45 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 45
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 45 03 43 49 42 85 12 3C 1D 24 46 02 42
+4C 00 85 12 20 00 2E 46 04 42 41 53 45 00 85 12
+E2 1D C8 44 05 53 54 41 54 45 85 12 BE 1D 86 45
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 45 06 55
+4D 2F 4D 4F 44 00 30 12 62 44 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 46 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 46
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 46 02 23 53 00 87 12 B4 46 EC 46 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 46 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 45
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 46 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 46 02 55 2E 00 87 12 54 46 34 44 00 00 E6 46
+00 47 50 49 18 49 2A 44 46 45 02 44 2E 00 87 12
+54 46 78 44 8A 44 4C 45 E6 46 9A 44 24 47 00 47
+50 49 18 49 2A 44 84 44 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 47 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 44 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 46 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 47 05 28 4B 45 59 29 18 42 EC 05 2F 83
+8F 4E 00 00 B0 12 20 48 92 B3 FC 05 FD 27 1E 42
+EC 05 B0 12 2C 48 30 4D 10 46 03 4B 45 59 30 40
+BA 47 8E 47 06 41 43 43 45 50 54 00 3C 40 8C 48
+3B 40 5C 48 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 80 48 92 B3 FC 05 05 24 18 42
+EC 05 38 90 0A 00 04 20 21 53 39 40 40 48 4D 15
+B2 40 11 00 EE 05 D2 C3 22 02 30 41 B2 40 13 00
+EE 05 D2 D3 22 02 30 41 00 00 05 53 4C 45 45 50
+30 40 4E 48 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 EC 05 48 9C 08 2C
+48 9B E4 27 78 92 0E 20 2E 9F 0C 24 1E 83 05 3C
+0E 9A 03 24 CE 48 00 00 1E 53 82 48 EE 05 30 4D
+82 48 2D 83 92 B3 FC 05 E7 23 FC 27 B2 40 18 00
+0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41
+30 4D B4 47 06 28 45 4D 49 54 29 00 08 4E 3E 4F
+E4 3F 3F 80 06 00 8F 4E 04 00 3E 40 54 00 BF 40
+3C 1D 00 00 AF 4F 02 00 91 3F 82 43 CA 1D 82 4E
+C6 1D B2 4F C8 1D 3E 4F 30 4D 4A 47 04 45 4D 49
+54 00 30 40 AC 48 DC 48 04 45 43 48 4F 00 B2 40
+82 48 7A 48 82 43 E4 1D 30 4D 68 47 06 4E 4F 45
+43 48 4F 00 B2 40 30 4D 7A 48 92 43 E4 1D 30 4D
+1E 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
+20 00 DF 3F 12 49 06 53 50 41 43 45 53 00 0E 93
+09 24 0D 12 3D 40 3A 49 EF 3F 3C 49 2D 83 1E 83
+EB 23 3D 41 3E 4F 30 4D 32 47 04 54 59 50 45 00
+0E 93 0F 24 1E 15 3D 40 66 49 28 4F 7E 48 8F 48
+00 00 2F 83 BE 3F 68 49 2D 83 91 83 02 00 F5 23
+1D 17 2F 53 3E 4F 30 4D A4 48 04 28 43 52 29 00
+87 12 96 49 02 0D 0A 00 50 49 2A 44 9E 47 02 43
+52 00 30 40 80 49 2F 82 8F 4E 02 00 7E 4D 8F 4D
+00 00 0D 5E 1D B3 0D 63 30 4D 8E 49 07 43 41 50
+53 5F 4F 4E B2 43 B4 1D 30 4D AC 49 08 43 41 50
+53 5F 4F 46 46 00 82 43 B4 1D 30 4D 26 49 82 53
+22 00 87 12 34 44 96 49 3A 4C C6 49 34 44 22 00
+16 4A B4 49 E6 49 3D 41 6E 4E 1E 83 82 5E CC 1D
+3E 4F 92 B3 CC 1D A2 63 CC 1D 30 4D FC 48 82 2E
+22 00 87 12 D2 49 34 44 50 49 3A 4C 2A 44 00 00
+04 57 4F 52 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A
+3A 5C 28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40
+60 00 C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24
+18 53 4B 9C F6 2F 82 93 B4 1D F3 27 7C 90 7B 00
+F0 2F 7C 80 20 00 ED 3F 1A 82 C8 1D 82 4A CA 1D
+1E 42 CC 1D 08 8E CE 48 00 00 30 4D 00 00 04 46
+49 4E 44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40
+D0 1D 3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00
+0E 58 2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49
+48 C4 48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23
+58 83 FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93
+01 30 2E 83 8F 4C 00 00 35 40 08 44 34 40 14 44
+30 4D 94 45 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+7E 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F 80 4B
+0E 93 32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90
+2E 00 00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00
+23 20 0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 6A 46 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D E8 48 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42 CC 1D A2 53
+CC 1D 8A 4E 00 00 3E 4F 30 4D 38 4C 87 4C 49 54
+45 52 41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52
+CC 1D BA 40 34 44 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D BC 49 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+87 12 CA 48 32 46 16 4A 9A 4C 3D 40 A2 4C EA 22
+50 3E A4 4C 0A 4E 3E 4F 3D 40 BA 4C 40 27 3D 40
+94 4C 1A E2 BE 1D C1 27 BB 23 BC 4C 3E 4F 3D 40
+94 4C C8 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 46 4F D5 3F 28 4C 08 45 56 41 4C 55
+41 54 45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15
+B0 12 2A 44 90 4C F8 4C B2 41 CA 1D B2 41 C8 1D
+B2 41 C6 1D 3D 41 30 4D 9C 45 04 51 55 49 54 00
+31 40 E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43
+08 18 B0 12 2A 44 96 49 05 0D 0A 6F 6B 20 50 49
+B2 48 18 49 90 4C DE 44 70 45 96 49 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 CA 4D 34 44 30 FF
+80 47 AC 45 96 49 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 CA 4D 4A 46 F2 44 B8 45 26 4D 96 49 05 0D
+0A 20 20 20 B4 45 2E 4D E4 47 05 41 42 4F 52 54
+3F 40 80 1C C5 3F B2 40 9A 54 F6 54 B2 40 4E 48
+42 48 B2 40 3C 1D 2A 46 B2 40 AC 48 E4 48 B2 40
+80 49 94 49 B2 40 BA 47 E0 47 82 43 3C 5D 82 43
+48 5D 82 43 54 5D 82 43 84 5D 82 43 90 5D 82 43
+9C 5D B2 40 0A 00 E2 1D 30 41 8F 93 02 00 03 20
+2F 52 3E 4F 30 4D B2 40 82 48 7A 48 B0 12 92 4D
+A2 B3 FC 05 FD 27 B2 40 11 00 EE 05 D2 C3 22 02
+92 C3 FC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
+18 83 FA 23 92 B3 FC 05 F3 23 87 12 96 49 04 1B
+5B 37 6D 00 50 49 34 44 E4 1D F2 44 54 44 B8 45
+32 4E 96 49 05 6C 69 6E 65 3A 50 49 40 45 36 47
+EE 48 50 49 96 49 04 1B 5B 30 6D 00 50 49 10 54
+B4 49 80 4D 7A 4D 86 41 42 4F 52 54 22 00 87 12
+D2 49 34 44 CA 4D 3A 4C 2A 44 6E 4A 01 27 87 12
+32 46 16 4A 74 4A B8 45 6C 4E 2A 44 C4 4C 50 46
+81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B 82 43
+BE 1D 30 4D 70 4E 01 5D B2 43 BE 1D 30 4D 7C 4E
+83 5B 27 5D 87 12 5E 4E 34 44 34 44 3A 4C 3A 4C
+2A 44 BE 4F 02 00 3E 4F 30 4D 7A 49 82 49 53 00
+87 12 4A 46 F2 44 B8 45 C4 4E 94 4E 34 44 A2 4E
+3A 4C 2A 44 5E 4E A2 4E 2A 44 AC 4E 09 49 4D 4D
+45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00 00 00
+30 4D CE 49 87 52 45 43 55 52 53 45 19 42 CC 1D
+99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 0A 4D 88 50
+4F 53 54 50 4F 4E 45 00 87 12 32 46 16 4A 74 4A
+54 44 B8 45 6C 4E 70 45 B8 45 28 4F 34 44 34 44
+3A 4C 3A 4C 34 44 3A 4C 3A 4C 2A 44 82 9F BC 1D
+25 25 87 12 96 49 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 D6 4D 90 4E 81 3B 82 93 BE 1D
+90 27 87 12 34 44 2A 44 3A 4C 2C 4F 7E 4E 2A 44
+BA 40 87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F
+BC 1D 30 4D 4A 4F 01 3A 30 12 60 4F 87 12 F2 49
+32 46 16 4A 86 4F 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D
+82 49 B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F
+3D 41 30 41 10 4A 08 56 41 52 49 41 42 4C 45 00
+B0 12 7C 4F BA 40 86 12 FC FF DC 3C 7C 4C 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 7C 4F BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F CD 3C CE 4F 06 43 52 45
+41 54 45 00 B0 12 7C 4F BA 40 85 12 FC FF 8A 4A
+FE FF C0 3C DA 4C 05 44 4F 45 53 3E 1A 42 BA 1D
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D 06 50
+05 44 45 46 45 52 B0 12 7C 4F BA 40 30 40 FC FF
+BA 40 1C 50 FE FF A6 3C EC 4F 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 76 4F 86 5B 54 48
+45 4E 5D 00 30 4D 6C 50 86 5B 45 4C 53 45 5D 00
+87 12 34 44 01 00 32 46 16 4A 82 4C 44 44 B8 45
+EA 50 8A 44 8A 44 96 49 04 5B 49 46 5D 00 42 50
+C2 45 AC 50 72 49 36 45 B4 45 E2 50 8A 44 8A 44
+96 49 06 5B 45 4C 53 45 5D 00 42 50 C2 45 D0 50
+72 49 40 45 44 44 B8 45 E2 50 36 45 B4 45 E2 50
+96 49 06 5B 54 48 45 4E 5D 00 42 50 C2 45 E2 50
+40 45 54 44 C2 45 86 50 2A 44 72 49 96 49 05 0D
+0A 6B 6F 20 50 49 B2 48 CA 48 B4 45 86 50 78 50
+84 5B 49 46 5D 00 0E 93 3E 4F BA 27 30 4D 00 51
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 32 46
+16 4A 74 4A 6C 44 64 45 2A 44 10 51 89 5B 44 45
+46 49 4E 45 44 5D 87 12 32 46 16 4A 74 4A 6C 44
+2A 44 44 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
+69 3D 4C 4C 06 4D 41 52 4B 45 52 00 B0 12 7C 4F
+BA 40 84 12 FC FF BA 40 42 51 FE FF 9A 42 CE 1D
+00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
+19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D CC 4E
+82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
+CC 1D BE 40 B8 45 00 00 2E 53 30 4D 20 50 84 45
+4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 B4 45
+FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 4A 49 84 54
+48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 3A 50
+85 42 45 47 49 4E 30 40 80 47 CE 51 85 55 4E 54
+49 4C 39 40 B8 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
+FC FF 8A 4E FE FF 3E 4F 30 4D 46 4E 85 41 47 41
+49 4E 39 40 B4 45 EF 3F B6 4F 85 57 48 49 4C 45
+87 12 94 51 78 44 2A 44 E4 4E 86 52 45 50 45 41
+54 00 87 12 12 52 D4 51 2A 44 AE 51 82 44 4F 00
+2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
+C8 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
+30 4D 54 51 84 4C 4F 4F 50 00 39 40 EA 45 A2 52
+CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
+00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
+F6 3F 3E 4F 30 4D DA 47 85 2B 4C 4F 4F 50 39 40
+D8 45 E5 3F 64 52 85 4C 45 41 56 45 1A 42 CC 1D
+BA 40 FA 45 00 00 BA 40 B4 45 02 00 B2 50 06 00
+CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
+30 4D A6 52 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
+3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
+18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
+E8 49 00 00 1A 83 FA 23 30 4D 1A 52 0A 56 4F 43
+41 42 55 4C 41 52 59 00 87 12 F4 4F 34 44 10 00
+34 44 00 00 C8 45 34 44 00 00 3A 4C EA 45 26 53
+80 47 34 44 CE 1D 44 44 F2 44 3A 4C FA 44 0C 50
+34 44 D0 1D FA 44 2A 44 5C 4E 05 46 4F 52 54 48
+84 12 40 53 D0 5D 96 56 40 5F 28 61 DC 5F 98 52
+0A 5F 38 5E 56 5E 6E 61 18 5F 60 5E 00 00 CC 5F
+86 4E 4C 5E 00 00 0C 52 04 41 4C 53 4F 00 3A 40
+0E 00 39 40 D0 1D 38 40 D2 1D B6 3F FE 4E 08 50
+52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
+38 40 D0 1D A3 3F FE 49 04 4F 4E 4C 59 00 82 43
+D2 1D 30 4D 3C 52 0B 44 45 46 49 4E 49 54 49 4F
+4E 53 92 42 D0 1D E0 1D 30 4D 50 53 AE 53 C2 53
+D2 53 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
+10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
+89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
+3D 41 30 4D 8E 53 09 50 57 52 5F 53 54 41 54 45
+84 12 CA 53 7A 56 86 61 2A 52 09 52 53 54 5F 53
+54 41 54 45 92 42 0E 18 14 54 92 42 0C 18 16 54
+EF 3F 06 54 08 50 57 52 5F 48 45 52 45 00 92 42
+CE 1D 14 54 92 42 CC 1D 16 54 30 4D 1A 54 08 52
+53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
+CC 1D 0C 18 EC 3F 0C 53 04 57 49 50 45 00 39 40
+80 FF B9 43 00 00 29 53 39 90 C6 FF FA 23 B0 12
+86 4D B2 40 86 61 0C 18 B2 40 7A 56 0E 18 CA 3F
+90 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+96 49 06 0D 1B 5B 37 6D 23 00 50 49 6A 47 96 49
+1F 46 61 73 74 46 6F 72 74 68 20 56 32 30 35 20
+28 43 29 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20
+50 49 34 44 30 FF 80 47 28 45 36 47 96 49 0B 62
+79 74 65 73 20 66 72 65 65 20 DC 4D 68 54 04 57
+41 52 4D 00 30 40 9A 54 E0 51 04 43 4F 4C 44 00
+B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 43 04 02
+B2 40 FE FF 02 02 A2 83 06 02 92 D3 24 02 B2 D3
+26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02 B2 43
+62 02 B2 D3 66 02 B2 40 80 00 84 02 B2 40 7F FF
+82 02 B2 D0 7F FF 86 02 F2 43 22 03 F2 D3 26 03
+F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01
+F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43 66 01
+39 40 00 01 B2 40 33 00 64 01 D2 43 61 01 92 D2
+9E 01 08 18 A2 93 08 18 01 24 59 07 38 40 59 14
+18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00
+2A 03 F2 C0 40 00 A2 04 3A 40 06 55 39 40 C6 FF
+89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF B2 40
+18 00 0A 18 39 40 00 1C 89 43 00 00 29 53 39 90
+FF 23 FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 44
+36 40 BC 44 35 40 08 44 34 40 14 44 B2 40 0A 00
+E2 1D B2 43 B4 1D 92 C3 30 01 18 42 08 18 F2 B0
+10 00 20 02 04 20 38 E3 18 53 82 48 08 18 B2 40
+81 00 E0 05 B2 40 03 00 E6 05 B2 40 00 21 E8 05
+F2 D0 30 00 2A 02 92 C3 E0 05 92 D3 FA 05 3D 40
+48 56 18 42 08 18 38 90 0A 00 19 27 38 90 16 00
+16 2F 28 93 EF 22 E4 26 F4 54 78 53 09 41 53 53
+45 4D 42 4C 45 52 84 12 40 53 A0 5C 4C 5D 54 5C
+A0 5D 1A 5C D4 5C 1E 59 00 00 10 5C C0 5C 72 5C
+B0 5C 2E 5A 00 00 00 00 B2 5D 74 53 92 54 85 48
+49 32 4C 4F 87 12 80 47 A8 51 3A 4C 7E 4E 7E 53
+56 56 2A 44 FA 54 04 43 4F 44 45 00 B0 12 7C 4F
+A2 82 CC 1D 87 12 6E 4F B4 45 8E 56 00 00 07 45
+4E 44 43 4F 44 45 87 12 98 53 2C 4F 2A 44 4C 56
+03 41 53 4D 92 42 E0 1D C0 1D B2 40 5A 56 E0 1D
+E5 3F AE 56 06 45 4E 44 41 53 4D 00 92 42 C0 1D
+E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D
+BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40
+98 53 00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40
+B0 12 00 00 BA 40 2A 44 02 00 A2 52 CC 1D ED 3F
+38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A
+03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D
+30 4D B0 12 2A 44 16 4A 74 4A C2 45 56 57 2E 4B
+B8 45 6C 4E 78 57 58 57 29 4E 39 90 86 12 02 20
+2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
+39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53
+CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53
+CA 1D B0 12 2A 44 16 4A 2E 4B B8 45 AA 57 A0 57
+21 53 3E 90 10 00 BB 2D 30 41 AC 57 B2 41 CA 1D
+22 D3 30 41 87 12 32 46 20 57 BC 57 82 43 C4 1D
+92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90
+23 00 00 00 34 20 92 53 CA 1D B0 12 42 57 0E 93
+04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40
+10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D
+1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92
+04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40
+30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D
+A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
+26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D
+30 12 2C 58 76 3F FA 90 40 00 00 00 1A 20 B2 40
+20 00 C4 1D 92 53 CA 1D B0 12 8A 57 0E 20 B2 50
+10 00 C4 1D 3E 40 2B 00 B0 12 8A 57 32 24 92 92
+C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D
+D3 3F B0 12 8A 57 F9 23 B2 50 10 00 C4 1D 3E 40
+28 00 B0 12 42 57 30 12 7C 58 67 3F 87 12 32 46
+20 57 B4 58 FE 90 26 00 00 00 3E 40 20 00 04 20
+B2 50 82 00 C4 1D C2 3F B0 12 8A 57 DF 23 B2 50
+80 00 C4 1D 3E 40 28 00 B0 12 42 57 B0 12 7A 57
+D5 23 3D 40 6C 4E 30 4D 3A 48 04 52 45 54 49 00
+87 12 34 44 00 13 3A 4C 2A 44 34 44 2C 00 B4 57
+AC 58 04 59 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F
+04 57 03 4D 4F 56 84 12 FA 58 00 40 12 59 05 4D
+4F 56 2E 42 84 12 FA 58 40 40 00 00 03 41 44 44
+84 12 FA 58 00 50 2C 59 05 41 44 44 2E 42 84 12
+FA 58 40 50 38 59 04 41 44 44 43 00 84 12 FA 58
+00 60 46 59 06 41 44 44 43 2E 42 00 84 12 FA 58
+40 60 EA 58 04 53 55 42 43 00 84 12 FA 58 00 70
+64 59 06 53 55 42 43 2E 42 00 84 12 FA 58 40 70
+72 59 03 53 55 42 84 12 FA 58 00 80 82 59 05 53
+55 42 2E 42 84 12 FA 58 40 80 E6 56 03 43 4D 50
+84 12 FA 58 00 90 9C 59 05 43 4D 50 2E 42 84 12
+FA 58 40 90 D4 56 04 44 41 44 44 00 84 12 FA 58
+00 A0 B6 59 06 44 41 44 44 2E 42 00 84 12 FA 58
+40 A0 A8 59 03 42 49 54 84 12 FA 58 00 B0 D4 59
+05 42 49 54 2E 42 84 12 FA 58 40 B0 E0 59 03 42
+49 43 84 12 FA 58 00 C0 EE 59 05 42 49 43 2E 42
+84 12 FA 58 40 C0 FA 59 03 42 49 53 84 12 FA 58
+00 D0 08 5A 05 42 49 53 2E 42 84 12 FA 58 40 D0
+00 00 03 58 4F 52 84 12 FA 58 00 E0 22 5A 05 58
+4F 52 2E 42 84 12 FA 58 40 E0 54 59 03 41 4E 44
+84 12 FA 58 00 F0 3C 5A 05 41 4E 44 2E 42 84 12
+FA 58 40 F0 32 46 B4 57 5A 5A 1A 42 C4 1D B2 F0
+70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F
+8E 59 03 52 52 43 84 12 54 5A 00 10 72 5A 05 52
+52 43 2E 42 84 12 54 5A 40 10 7E 5A 04 53 57 50
+42 00 84 12 54 5A 80 10 8C 5A 03 52 52 41 84 12
+54 5A 00 11 9A 5A 05 52 52 41 2E 42 84 12 54 5A
+40 11 A6 5A 03 53 58 54 84 12 54 5A 80 11 00 00
+04 50 55 53 48 00 84 12 54 5A 00 12 C0 5A 06 50
+55 53 48 2E 42 00 84 12 54 5A 40 12 14 5A 04 43
+41 4C 4C 00 84 12 54 5A 80 12 34 44 2C 00 B4 57
+AC 58 F4 5A 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D
+BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
+3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
+6A 47 96 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 D6 4D CE 5A 05 50 55 53 48 4D 84 12 EA 5A
+00 15 36 5B 04 50 4F 50 4D 00 84 12 EA 5A 00 17
+32 46 20 57 56 5B 82 43 C4 1D 92 42 CC 1D C2 1D
+A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A 44
+16 4A 2E 4B B8 45 6C 4E AC 58 7C 5B 0A 4E 3E 4F
+1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F B4 5A 04 52
+52 43 4D 00 84 12 50 5B 50 00 8E 5B 04 52 52 41
+4D 00 84 12 50 5B 50 01 9C 5B 04 52 4C 41 4D 00
+84 12 50 5B 50 02 AA 5B 04 52 52 55 4D 00 84 12
+50 5B 50 03 85 12 00 3C B8 5B 03 53 3E 3D 85 12
+00 38 CA 5B 02 53 3C 00 85 12 00 34 44 5B 03 30
+3E 3D 85 12 00 30 DE 5B 02 30 3C 00 85 12 00 30
+00 00 02 55 3C 00 85 12 00 2C F2 5B 03 55 3E 3D
+85 12 00 28 E8 5B 03 30 3C 3E 85 12 00 24 06 5C
+02 30 3D 00 85 12 00 20 46 48 02 49 46 00 1A 42
+CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D FC 5B
+04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
+30 4D C4 59 04 45 4C 53 45 00 1A 42 CC 1D BA 40
+00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F
+30 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D 48 5A
+05 41 47 41 49 4E 87 12 C4 5B 78 5C 2A 44 00 00
+05 57 48 49 4C 45 87 12 1E 5C 78 44 2A 44 D4 5B
+06 52 45 50 45 41 54 00 87 12 C4 5B 78 5C 36 5C
+2A 44 00 00 03 4A 4D 50 87 12 5E 4E C4 5B 78 5C
+2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
+00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
+30 4D 00 00 04 3F 4A 4D 50 00 87 12 E2 5C 5E 4E
+78 44 78 5C 2A 44 18 5D 3D 41 08 4E 3E 4F 2A 48
+0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00
+A4 3F DE 5A 03 42 57 31 84 12 16 5D 00 00 34 5D
+03 42 57 32 84 12 16 5D 00 00 40 5D 03 42 57 33
+84 12 16 5D 00 00 58 5D 3D 41 1A 42 CC 1D 28 4E
+08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00
+3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
+84 12 56 5D 00 00 7C 5D 03 46 57 32 84 12 56 5D
+00 00 88 5D 03 46 57 33 84 12 56 5D 00 00 94 5D
+04 47 4F 54 4F 00 87 12 C4 5B 5E 4E 30 4C 2A 44
+04 5D 05 3F 47 4F 54 4F 87 12 E2 5C 5E 4E 30 4C
+2A 44 2C 51 07 7B 54 4F 4F 4C 53 7D 30 4D C0 56
+03 41 4E 44 3E FF 30 4D A8 53 02 2E 53 00 8F 4E
+FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
+8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44
+3C 00 E2 48 6A 47 34 44 08 00 E2 48 34 44 3E 00
+E2 48 18 49 8A 44 8A 44 96 45 C2 45 24 5E 62 44
+62 44 2A 44 C8 45 00 46 F2 44 36 47 34 44 02 00
+D8 45 26 5E 2A 44 DA 5D 03 2E 52 53 8F 4E FE FF
+8F 41 FA FF 3E 40 E0 1C D3 3F D4 4A 01 3F 2E 4E
+30 40 36 47 34 54 03 50 41 44 85 12 E4 1C EE 54
+05 57 4F 52 44 53 87 12 92 49 34 44 03 00 2E 49
+34 44 D0 1D F2 44 5A 5E 34 44 10 00 44 44 20 45
+DA 52 34 44 00 00 44 44 34 44 10 00 44 44 20 45
+34 44 00 00 C8 45 44 44 00 46 5A 5E 20 45 F2 44
+AC 45 B8 45 B4 5E 62 44 62 44 00 46 44 44 5A 5E
+20 45 F2 44 34 44 02 00 D8 45 96 5E 54 44 B8 45
+F6 5E 44 44 34 44 02 00 28 45 F2 44 9A 44 5A 5E
+20 45 FA 44 44 44 82 4C 34 44 7F 00 D4 5D 50 49
+08 45 34 44 0F 00 D4 5D 34 44 10 00 78 44 28 45
+2E 49 B4 45 82 5E 62 44 2A 44 D4 52 03 4D 41 58
+2E 9F 07 38 2F 53 30 4D FC 5E 03 4D 49 4E 2E 9F
+F9 3B 3E 4F 30 4D EC 51 03 55 2E 52 87 12 B0 44
+54 46 34 44 00 00 B4 46 E6 46 00 47 BC 44 8A 44
+28 45 34 44 00 00 00 5F 2E 49 50 49 2A 44 B6 53
+04 44 55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00
+E2 1D 2E 5F B0 12 2A 44 78 44 8A 44 8A 44 36 47
+34 44 01 00 28 45 36 47 34 44 FE FF D4 5D C8 45
+92 49 00 46 34 44 07 00 1C 5F 18 49 00 46 34 44
+10 00 20 45 00 46 C8 45 00 46 08 45 34 44 03 00
+1C 5F EA 45 88 5F 18 49 18 49 00 46 34 44 10 00
+20 45 00 46 C8 45 00 46 08 45 34 44 7E 00 0E 5F
+32 46 00 5F E2 48 EA 45 A6 5F 34 44 10 00 D8 45
+70 5F BC 44 3E 46 FA 44 2A 44 C4 5D 0A 7B 46 49
+58 50 4F 49 4E 54 7D 00 30 4D 7E 56 05 48 4F 4C
+44 53 39 4F 09 5E 18 42 B2 1D 19 83 1E 83 04 28
+18 83 E8 49 00 00 F9 3F 82 48 B2 1D 3E 4F 30 4D
+4A 53 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D 02 60
+02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D
+10 60 02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F
+04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3
+18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00
+0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67 04 64
+15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86
+0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17
+3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+30 4D 22 60 03 46 23 53 29 4F 8F 4E 00 00 2F 83
+8F 49 00 00 2B 42 B2 90 0A 00 E2 1D 01 20 1B 53
+0C 43 A2 4F C0 04 92 42 E2 1D C8 04 9F 42 E4 04
+00 00 1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00
+3E 50 30 00 CC 4E 90 1D 1C 53 0C 9B EA 2B 0E 4B
+8F 43 00 00 39 40 90 1D 75 3F A4 60 02 46 2A 00
+92 4F 04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04
+82 4E E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00
+1E 42 E8 04 30 4D FC 60 02 46 2E 00 87 12 54 46
+44 44 B0 44 4C 45 A8 60 34 44 2C 00 16 47 E6 46
+BC 44 24 47 00 47 50 49 18 49 2A 44 4E 54 03 53
+3E 46 2F 83 8F 43 00 00 30 4D 4E 61 02 32 40 00
+2F 83 9F 4E 02 00 00 00 2E 4E 30 4D 5C 61 09 32
+43 4F 4E 53 54 41 4E 54 87 12 F4 4F 3A 4C 3A 4C
+0C 50 60 61 2A 44
+@FFFE
+06 55
+q
diff --git a/MSP_EXP430FR6989_16MHz_6Mbds.txt b/MSP_EXP430FR6989_16MHz_6Mbds.txt
deleted file mode 100644 (file)
index 3f0b2fa..0000000
+++ /dev/null
@@ -1,457 +0,0 @@
-@1800
-10 00 A6 48 80 3E 60 EA 05 00 18 00 18 60 DA 56
-66 48 78 48 00 00 00 00
-@4400
-3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
-2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
-30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
-03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 44
-03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
-44 55 50 00 0E 93 F6 23 30 4D 40 44 04 44 52 4F
-50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
-00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
-30 4D 68 44 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
-2F 83 30 4D 72 44 03 52 4F 54 2A 4F 8F 4E 00 00
-1E 4F 02 00 8F 4A 02 00 30 4D 4E 44 02 3E 52 00
-0E 12 3E 4F 30 4D 96 44 02 52 3E 00 2F 83 8F 4E
-00 00 3E 41 30 4D B8 44 02 52 40 00 2F 83 8F 4E
-00 00 2E 41 30 4D 5C 44 05 44 45 50 54 48 8F 4E
-FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
-01 40 2E 4E 30 4D F0 44 01 21 BE 4F 00 00 3E 4F
-30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
-21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
-3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 7A 49 42 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 7A 49 42 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 EC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-FC 05 FD 27 1E 42 EC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 DE 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D2 48 92 B3
-FC 05 05 24 18 42 EC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 FC 05 FD 27 B2 40 11 00
-EE 05 D2 C3 22 02 30 41 A2 B3 FC 05 FD 27 B2 40
-13 00 EE 05 D2 D3 22 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 EC 05 48 9C
-08 2C 48 9B E1 27 78 92 0E 20 2E 9F 0C 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 82 48 EE 05
-30 4D D4 48 2D 83 92 B3 FC 05 E7 23 FC 27 B2 40
-18 00 0A 18 82 93 E4 1D 02 24 92 53 E4 1D 3E 8F
-3D 41 30 4D FA 47 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E4 3F 90 47 04 45 4D 49 54 00 30 40 FE 48
-06 49 04 45 43 48 4F 00 B2 40 82 48 CC 48 82 43
-E4 1D 30 4D AE 47 06 4E 4F 45 43 48 4F 00 B2 40
-30 4D CC 48 92 43 E4 1D 30 4D 64 47 05 53 50 41
-43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F 3C 49
-06 53 50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40
-64 49 EF 3F 66 49 2D 83 1E 83 EB 23 3D 41 3E 4F
-30 4D 78 47 04 54 59 50 45 00 0E 93 BE 24 1E 15
-3D 40 90 49 28 4F 7E 48 8F 48 00 00 2F 83 BE 3F
-92 49 2D 83 91 83 02 00 F5 23 1D 17 AE 3C F6 48
-04 28 43 52 29 00 87 12 BC 49 02 0D 0A 00 7A 49
-2A 44 E4 47 02 43 52 00 30 40 A6 49 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-B4 49 07 43 41 50 53 5F 4F 4E B2 43 B4 1D 30 4D
-D2 49 08 43 41 50 53 5F 4F 46 46 00 82 43 B4 1D
-30 4D 50 49 82 53 22 00 87 12 34 44 BC 49 68 4C
-EC 49 34 44 22 00 3C 4A DA 49 0C 4A 3D 41 6E 4E
-1E 83 82 5E CC 1D 3E 4F 92 B3 CC 1D A2 63 CC 1D
-30 4D 26 49 82 2E 22 00 87 12 F8 49 34 44 7A 49
-68 4C 2A 44 00 00 04 57 4F 52 44 00 3C 40 C6 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 B4 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C8 1D 82 4A CA 1D 1E 42 CC 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 D0 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F 30 4D
-74 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C
-82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04
-18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48
-02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42
-E2 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40 AC 4B
-3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00
-10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24
-3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80
-2C 00 5A 93 B8 23 B1 43 02 00 CE 3F AE 4B 0E 93
-32 24 32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00
-00 00 02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20
-0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
-39 80 30 00 79 90 0A 00 05 28 79 80 07 00 79 90
-0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15
-B0 12 B0 46 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
-04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24
-3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00
-BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
-00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
-2F 53 30 4D 12 49 07 45 58 45 43 55 54 45 0A 4E
-3E 4F 00 4A 26 45 01 2C 1A 42 CC 1D A2 53 CC 1D
-8A 4E 00 00 3E 4F 30 4D 66 4C 87 4C 49 54 45 52
-41 4C 82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D
-BA 40 34 44 00 00 8A 4E 02 00 3E 4F 32 B0 00 02
-32 C0 00 02 F1 23 30 4D E2 49 05 43 4F 55 4E 54
-2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 82 43 CA 1D 87 12 6E 46
-3C 4A D4 4C 3D 40 E0 4C E0 22 3E 4F 3D 41 30 4D
-E2 4C 0A 4E 3E 4F 3D 40 F8 4C 38 27 3D 40 CE 4C
-1A E2 BE 1D B9 27 B3 23 FA 4C 3E 4F 3D 40 CE 4C
-C0 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00
-3D 40 82 4F CD 3F 56 4C 08 45 56 41 4C 55 41 54
-45 00 39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12
-2A 44 BE 4C 36 4D B2 41 CA 1D B2 41 C8 1D B2 41
-C6 1D 3D 41 30 4D 4C 46 04 51 55 49 54 00 31 40
-E0 1C B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18
-B0 12 2A 44 BC 49 05 0D 0A 6F 6B 20 7A 49 5A 46
-44 44 64 46 32 48 42 49 BE 4C DE 44 92 45 BC 49
-0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20 08 4E
-34 44 30 FF C6 47 D2 45 BC 49 0B 46 52 41 4D 20
-66 75 6C 6C 21 20 08 4E 90 46 F2 44 E0 45 64 4D
-BC 49 05 0D 0A 20 20 20 DC 45 6C 4D B2 40 F4 54
-58 55 B2 40 A0 48 94 48 B2 40 FE 48 0E 49 B2 40
-A6 49 BA 49 B2 40 00 48 26 48 82 43 9C 5D 82 43
-A8 5D 82 43 B4 5D 82 43 E4 5D 82 43 F0 5D 82 43
-FC 5D B2 40 0A 00 E2 1D 30 41 2A 48 05 41 42 4F
-52 54 3F 40 80 1C A3 3F 8F 93 02 00 75 26 B2 40
-82 48 CC 48 B0 12 C8 4D A2 B3 FC 05 FD 27 B2 40
-11 00 EE 05 D2 C3 22 02 92 C3 FC 05 38 40 A0 AA
-39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 FC 05
-F3 23 87 12 BC 49 04 1B 5B 37 6D 00 7A 49 34 44
-E4 1D F2 44 54 44 E0 45 6E 4E BC 49 05 6C 69 6E
-65 3A 7A 49 34 44 01 00 28 45 7C 47 18 49 7A 49
-BC 49 04 1B 5B 30 6D 00 7A 49 6A 54 DA 49 02 4E
-FC 4D 86 41 42 4F 52 54 22 00 87 12 F8 49 34 44
-08 4E 68 4C 2A 44 94 4A 01 27 87 12 6E 46 3C 4A
-9A 4A E0 45 A8 4E 2A 44 02 4D 96 46 81 5C 92 42
-C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
-AC 4E 01 5D B2 43 BE 1D 30 4D B8 4E 83 5B 27 5D
-87 12 9A 4E 34 44 34 44 68 4C 68 4C 2A 44 BE 4F
-02 00 3E 4F 30 4D A0 49 82 49 53 00 87 12 90 46
-F2 44 E0 45 00 4F D0 4E 34 44 DE 4E 68 4C 2A 44
-9A 4E DE 4E 2A 44 E8 4E 09 49 4D 4D 45 44 49 41
-54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D F4 49
-87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
-00 00 A2 53 CC 1D 30 4D 48 4D 88 50 4F 53 54 50
-4F 4E 45 00 87 12 6E 46 3C 4A 9A 4A 54 44 E0 45
-A8 4E 92 45 E0 45 64 4F 34 44 34 44 68 4C 68 4C
-34 44 68 4C 68 4C 2A 44 82 9F BC 1D 34 25 87 12
-BC 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
-68 21 0E 4E 87 12 18 4A 6E 46 3C 4A 8E 4F 08 4E
-7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D 6E 4E 3E F0
-1E 00 09 5E 82 48 B6 1D 82 49 B8 1D 82 4A BA 1D
-2A 52 82 4A CC 1D 3E 4F 3D 41 30 41 36 4A 08 56
-41 52 49 41 42 4C 45 00 B0 12 84 4F BA 40 86 12
-FC FF 05 3D AA 4C 08 43 4F 4E 53 54 41 4E 54 00
-B0 12 84 4F BA 40 85 12 FC FF 8A 4E FE FF 3E 4F
-F6 3C D6 4F 06 43 52 45 41 54 45 00 B0 12 84 4F
-BA 40 85 12 FC FF 8A 4A FE FF E9 3C 18 4D 05 44
-4F 45 53 3E 1A 42 BA 1D BA 40 84 12 00 00 8A 4D
-02 00 3D 41 30 4D 0E 50 05 44 45 46 45 52 B0 12
-84 4F BA 40 30 40 FC FF BA 40 24 50 FE FF CF 3C
-CC 4E 01 3A B0 12 84 4F BA 40 87 12 FC FF A2 83
-CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D 42 50 81 3B
-82 93 BE 1D 24 27 87 12 34 44 2A 44 68 4C 68 4F
-BA 4E 2A 44 F4 4F 07 43 4F 4D 50 41 52 45 0C 4E
-38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
-1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
-30 4D 1E 43 30 4D 5E 50 86 5B 54 48 45 4E 5D 00
-30 4D 1E 83 30 4D A8 50 86 5B 45 4C 53 45 5D 00
-87 12 34 44 01 00 6E 46 3C 4A B0 4C 44 44 E0 45
-2E 51 8A 44 8A 44 BC 49 04 5B 49 46 5D 00 7E 50
-EA 45 EC 50 FA 4A 2C 45 DC 45 22 51 8A 44 8A 44
-BC 49 06 5B 45 4C 53 45 5D 00 7E 50 EA 45 10 51
-FA 4A B2 50 44 44 E0 45 0C 51 2C 45 DC 45 22 51
-BC 49 06 5B 54 48 45 4E 5D 00 7E 50 EA 45 22 51
-B2 50 54 44 EA 45 2A 51 2A 44 DC 45 C6 50 FA 4A
-BC 49 05 0D 0A 6B 6F 20 7A 49 5A 46 44 44 64 46
-32 48 44 51 82 43 CA 1D 82 4E C6 1D B2 4F C8 1D
-3E 4F 3D 40 C6 50 30 4D B8 50 84 5B 49 46 5D 00
-0E 93 3E 4F AD 27 30 4D 5A 51 8B 5B 55 4E 44 45
-46 49 4E 45 44 5D 87 12 6E 46 3C 4A 9A 4A 6C 44
-86 45 2A 44 6A 51 89 5B 44 45 46 49 4E 45 44 5D
-87 12 6E 46 3C 4A 9A 4A 6C 44 2A 44 9E 51 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 7A 4C 06 4D
-41 52 4B 45 52 00 B0 12 84 4F BA 40 84 12 FC FF
-BA 40 9C 51 FE FF 9A 42 CE 1D 00 00 28 83 8A 48
-02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D A8 49
-FE FF 89 48 00 00 30 4D 08 4F 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40 E0 45
-00 00 2E 53 30 4D 28 50 84 45 4C 53 45 00 A2 52
-CC 1D 1A 42 CC 1D BA 40 DC 45 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D 74 49 84 54 48 45 4E 00 9E 42
-CC 1D 00 00 3E 4F 30 4D 76 50 85 42 45 47 49 4E
-30 40 C6 47 28 52 85 55 4E 54 49 4C 39 40 E0 45
-A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 82 4E 85 41 47 41 49 4E 39 40 DC 45
-EF 3F BE 4F 85 57 48 49 4C 45 87 12 EE 51 78 44
-2A 44 20 4F 86 52 45 50 45 41 54 00 87 12 6C 52
-2E 52 2A 44 08 52 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 CC 1D 1E 42 CC 1D BE 40 F0 45 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D AE 51 84 4C
-4F 4F 50 00 39 40 12 46 A2 52 CC 1D 1A 42 CC 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-20 48 85 2B 4C 4F 4F 50 39 40 00 46 E5 3F BE 52
-85 4C 45 41 56 45 1A 42 CC 1D BA 40 22 46 00 00
-BA 40 DC 45 02 00 B2 50 06 00 CC 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D 00 53 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 74 52 0A 56 4F 43 41 42 55 4C 41 52
-59 00 87 12 FC 4F 34 44 10 00 34 44 00 00 F0 45
-34 44 00 00 68 4C 12 46 80 53 C6 47 34 44 CE 1D
-44 44 F2 44 68 4C FA 44 14 50 34 44 D0 1D FA 44
-2A 44 98 4E 05 46 4F 52 54 48 84 12 9A 53 20 57
-F6 56 8E 5F A4 53 DE 56 F2 52 58 5F 90 5E 8E 54
-A8 54 66 5F AE 5E 46 45 24 5E C2 4E A4 5E 00 00
-66 52 04 41 4C 53 4F 00 3A 40 0E 00 39 40 D0 1D
-38 40 D2 1D B6 3F 3A 4F 08 50 52 45 56 49 4F 55
-53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D A3 3F
-24 4A 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D 96 52
-0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 D0 1D
-E0 1D 30 4D AA 53 08 54 1C 54 2C 54 3A 4E 82 4A
-CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A 08 49
-29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
-F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D E8 53
-09 50 57 52 5F 53 54 41 54 45 84 12 24 54 DA 56
-18 60 84 52 09 52 53 54 5F 53 54 41 54 45 92 42
-0E 18 6E 54 92 42 0C 18 70 54 EF 3F 60 54 08 50
-57 52 5F 48 45 52 45 00 92 42 CE 1D 6E 54 92 42
-CC 1D 70 54 30 4D 74 54 08 52 53 54 5F 48 45 52
-45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18 EC 3F
-66 53 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
-29 53 39 90 C6 FF FA 23 B0 12 BC 4D B2 40 18 60
-0C 18 B2 40 DA 56 0E 18 CA 3F EA 51 06 28 57 41
-52 4D 29 00 1E 42 08 18 87 12 BC 49 06 0D 1B 5B
-37 6D 23 00 7A 49 B0 47 BC 49 27 20 46 61 73 74
-46 6F 72 74 68 20 56 32 30 33 20 31 36 4D 48 7A
-20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
-73 20 7A 49 34 44 30 FF C6 47 28 45 7C 47 BC 49
-0B 62 79 74 65 73 20 66 72 65 65 20 14 4E C2 54
-04 57 41 52 4D 00 30 40 F4 54 3A 52 04 43 4F 4C
-44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 43
-04 02 B2 40 FE FF 02 02 A2 83 06 02 92 D3 24 02
-B2 D3 26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02
-B2 43 62 02 B2 D3 66 02 B2 40 80 00 84 02 B2 40
-7F FF 82 02 B2 D0 7F FF 86 02 F2 43 22 03 F2 D3
-26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
-41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
-66 01 39 40 80 00 B2 40 33 00 64 01 D2 43 61 01
-92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
-C2 A2 18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0
-10 00 2A 03 F2 C0 40 00 A2 04 3A 40 68 55 39 40
-C6 FF 89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF
-B2 40 18 00 0A 18 39 40 00 1C 89 43 00 00 29 53
-39 90 FF 23 FA 2B 31 40 E0 1C 3F 40 80 1C 37 40
-00 44 36 40 BC 44 35 40 08 44 34 40 14 44 B2 40
-0A 00 E2 1D B2 43 B4 1D 92 C3 30 01 18 42 08 18
-F2 B0 10 00 20 02 04 20 38 E3 18 53 82 48 08 18
-B2 40 81 00 E0 05 A2 43 E6 05 B2 40 00 B6 E8 05
-F2 D0 30 00 2A 02 92 C3 E0 05 92 D3 FA 05 3D 40
-A8 56 18 42 08 18 38 90 0A 00 16 27 38 90 16 00
-13 2F 28 93 EC 22 E1 26 56 55 D2 53 09 41 53 53
-45 4D 42 4C 45 52 84 12 9A 53 00 5D AC 5D B4 5C
-00 5E 7A 5C 34 5D 7E 59 00 00 70 5C 20 5D D2 5C
-10 5D 8E 5A 00 00 00 00 12 5E CE 53 EC 54 85 48
-49 32 4C 4F 87 12 C6 47 02 52 68 4C BA 4E D8 53
-B6 56 2A 44 5C 55 04 43 4F 44 45 00 B0 12 84 4F
-A2 82 CC 1D 87 12 56 50 DC 45 EE 56 00 00 07 45
-4E 44 43 4F 44 45 87 12 F2 53 68 4F 2A 44 AC 56
-03 41 53 4D 92 42 E0 1D C0 1D B2 40 BA 56 E0 1D
-E5 3F 0E 57 06 45 4E 44 41 53 4D 00 92 42 C0 1D
-E0 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D
-BA 40 87 12 00 00 A2 53 CC 1D B2 43 BE 1D 30 40
-F2 53 00 00 05 4C 4F 32 48 49 1A 42 CC 1D BA 40
-B0 12 00 00 BA 40 2A 44 02 00 A2 52 CC 1D ED 3F
-38 40 C6 1D 39 48 2A 48 09 5A 1A 52 CA 1D 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D
-30 4D B0 12 2A 44 3C 4A 9A 4A EA 45 B6 57 5C 4B
-E0 45 A8 4E D8 57 B8 57 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 CC 1D A2 53
-CC 1D 89 4E 00 00 3E 40 29 00 12 12 CA 1D 92 53
-CA 1D B0 12 2A 44 3C 4A 5C 4B E0 45 0A 58 00 58
-21 53 3E 90 10 00 BB 2D 30 41 0C 58 B2 41 CA 1D
-22 D3 30 41 87 12 6E 46 80 57 1C 58 82 43 C4 1D
-92 42 CC 1D C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 CA 1D B0 12 A2 57 0E 93
-04 20 B2 40 00 03 C4 1D 27 3C 1E 93 04 20 B2 40
-10 03 C4 1D 21 3C 2E 93 04 20 B2 40 20 03 C4 1D
-1B 3C 2E 92 04 20 B2 40 20 02 C4 1D 15 3C 3E 92
-04 20 B2 40 30 02 C4 1D 0F 3C 3E 93 04 20 B2 40
-30 03 C4 1D 09 3C B2 40 30 00 C4 1D 19 42 CC 1D
-A2 53 CC 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 C4 1D 92 53 CA 1D
-30 12 8C 58 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 C4 1D 92 53 CA 1D B0 12 EA 57 0E 20 B2 50
-10 00 C4 1D 3E 40 2B 00 B0 12 EA 57 32 24 92 92
-C6 1D CA 1D 02 24 92 53 CA 1D 8E 10 82 5E C4 1D
-D3 3F B0 12 EA 57 F9 23 B2 50 10 00 C4 1D 3E 40
-28 00 B0 12 A2 57 30 12 DC 58 67 3F 87 12 6E 46
-80 57 14 59 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 C4 1D C2 3F B0 12 EA 57 DF 23 B2 50
-80 00 C4 1D 3E 40 28 00 B0 12 A2 57 B0 12 DA 57
-D5 23 3D 40 A8 4E 30 4D 8C 48 04 52 45 54 49 00
-87 12 34 44 00 13 68 4C 2A 44 34 44 2C 00 14 58
-0C 59 64 59 2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F
-64 57 03 4D 4F 56 84 12 5A 59 00 40 72 59 05 4D
-4F 56 2E 42 84 12 5A 59 40 40 00 00 03 41 44 44
-84 12 5A 59 00 50 8C 59 05 41 44 44 2E 42 84 12
-5A 59 40 50 98 59 04 41 44 44 43 00 84 12 5A 59
-00 60 A6 59 06 41 44 44 43 2E 42 00 84 12 5A 59
-40 60 4A 59 04 53 55 42 43 00 84 12 5A 59 00 70
-C4 59 06 53 55 42 43 2E 42 00 84 12 5A 59 40 70
-D2 59 03 53 55 42 84 12 5A 59 00 80 E2 59 05 53
-55 42 2E 42 84 12 5A 59 40 80 46 57 03 43 4D 50
-84 12 5A 59 00 90 FC 59 05 43 4D 50 2E 42 84 12
-5A 59 40 90 34 57 04 44 41 44 44 00 84 12 5A 59
-00 A0 16 5A 06 44 41 44 44 2E 42 00 84 12 5A 59
-40 A0 08 5A 03 42 49 54 84 12 5A 59 00 B0 34 5A
-05 42 49 54 2E 42 84 12 5A 59 40 B0 40 5A 03 42
-49 43 84 12 5A 59 00 C0 4E 5A 05 42 49 43 2E 42
-84 12 5A 59 40 C0 5A 5A 03 42 49 53 84 12 5A 59
-00 D0 68 5A 05 42 49 53 2E 42 84 12 5A 59 40 D0
-00 00 03 58 4F 52 84 12 5A 59 00 E0 82 5A 05 58
-4F 52 2E 42 84 12 5A 59 40 E0 B4 59 03 41 4E 44
-84 12 5A 59 00 F0 9C 5A 05 41 4E 44 2E 42 84 12
-5A 59 40 F0 6E 46 14 58 BA 5A 1A 42 C4 1D B2 F0
-70 00 C4 1D 8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F
-EE 59 03 52 52 43 84 12 B4 5A 00 10 D2 5A 05 52
-52 43 2E 42 84 12 B4 5A 40 10 DE 5A 04 53 57 50
-42 00 84 12 B4 5A 80 10 EC 5A 03 52 52 41 84 12
-B4 5A 00 11 FA 5A 05 52 52 41 2E 42 84 12 B4 5A
-40 11 06 5B 03 53 58 54 84 12 B4 5A 80 11 00 00
-04 50 55 53 48 00 84 12 B4 5A 00 12 20 5B 06 50
-55 53 48 2E 42 00 84 12 B4 5A 40 12 74 5A 04 43
-41 4C 4C 00 84 12 B4 5A 80 12 34 44 2C 00 14 58
-0C 59 54 5B 59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-B0 47 BC 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 0E 4E 2E 5B 05 50 55 53 48 4D 84 12 4A 5B
-00 15 96 5B 04 50 4F 50 4D 00 84 12 4A 5B 00 17
-6E 46 80 57 B6 5B 82 43 C4 1D 92 42 CC 1D C2 1D
-A2 53 CC 1D 92 53 CA 1D 3E 40 2C 00 B0 12 2A 44
-3C 4A 5C 4B E0 45 A8 4E 0C 59 DC 5B 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 14 5B 04 52
-52 43 4D 00 84 12 B0 5B 50 00 EE 5B 04 52 52 41
-4D 00 84 12 B0 5B 50 01 FC 5B 04 52 4C 41 4D 00
-84 12 B0 5B 50 02 0A 5C 04 52 52 55 4D 00 84 12
-B0 5B 50 03 85 12 00 3C 18 5C 03 53 3E 3D 85 12
-00 38 2A 5C 02 53 3C 00 85 12 00 34 A4 5B 03 30
-3E 3D 85 12 00 30 3E 5C 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 52 5C 03 55 3E 3D
-85 12 00 28 48 5C 03 30 3C 3E 85 12 00 24 66 5C
-02 30 3D 00 85 12 00 20 98 48 02 49 46 00 1A 42
-CC 1D 8A 4E 00 00 A2 53 CC 1D 0E 4A 30 4D 5C 5C
-04 54 48 45 4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D 24 5A 04 45 4C 53 45 00 1A 42 CC 1D BA 40
-00 3C 00 00 A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F
-90 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-CC 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 CC 1D 30 4D A8 5A
-05 41 47 41 49 4E 87 12 24 5C D8 5C 2A 44 00 00
-05 57 48 49 4C 45 87 12 7E 5C 78 44 2A 44 34 5C
-06 52 45 50 45 41 54 00 87 12 24 5C D8 5C 96 5C
-2A 44 00 00 03 4A 4D 50 87 12 9A 4E 24 5C D8 5C
-2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 42 5D 9A 4E
-78 44 D8 5C 2A 44 78 5D 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 CC 1D 00 00 30 4D 88 43 00 00
-A4 3F 3E 5B 03 42 57 31 84 12 76 5D 00 00 94 5D
-03 42 57 32 84 12 76 5D 00 00 A0 5D 03 42 57 33
-84 12 76 5D 00 00 B8 5D 3D 41 1A 42 CC 1D 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 B6 5D 00 00 DC 5D 03 46 57 32 84 12 B6 5D
-00 00 E8 5D 03 46 57 33 84 12 B6 5D 00 00 F4 5D
-04 47 4F 54 4F 00 87 12 24 5C 9A 4E 5E 4C 2A 44
-64 5D 05 3F 47 4F 54 4F 87 12 42 5D 9A 4E 5E 4C
-2A 44 86 51 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-02 54 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 44 3C 00 0C 49 B0 47 34 44
-08 00 0C 49 34 44 3E 00 0C 49 42 49 8A 44 8A 44
-C4 45 EA 45 7C 5E 62 44 62 44 2A 44 F0 45 28 46
-F2 44 7C 47 34 44 02 00 00 46 7E 5E 2A 44 32 5E
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F 02 4B 01 3F 2E 4E 30 40 7C 47 50 55 05 57
-4F 52 44 53 87 12 B8 49 34 44 03 00 58 49 34 44
-D0 1D F2 44 50 46 34 44 10 00 44 44 20 45 34 53
-34 44 00 00 44 44 34 44 10 00 44 44 20 45 34 44
-00 00 F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45
-E0 45 02 5F 62 44 62 44 28 46 44 44 50 46 20 45
-F2 44 34 44 02 00 00 46 E4 5E 54 44 E0 45 44 5F
-44 44 34 44 02 00 28 45 F2 44 9A 44 50 46 20 45
-FA 44 44 44 B0 4C 34 44 7F 00 36 45 7A 49 08 45
-34 44 0F 00 36 45 34 44 10 00 78 44 28 45 58 49
-DC 45 D0 5E 62 44 2A 44 2E 53 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 4A 5F 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 46 52 03 55 2E 52 87 12 B0 44 9A 46
-34 44 00 00 FA 46 2C 47 46 47 BC 44 8A 44 28 45
-34 44 00 00 4E 5F 58 49 7A 49 2A 44 10 54 04 44
-55 4D 50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D
-2E 5F B0 12 2A 44 78 44 8A 44 8A 44 7C 47 34 44
-01 00 28 45 7C 47 34 44 FE FF 36 45 F0 45 B8 49
-28 46 34 44 07 00 6A 5F 42 49 28 46 34 44 10 00
-20 45 28 46 F0 45 28 46 08 45 34 44 03 00 6A 5F
-12 46 D6 5F 42 49 42 49 28 46 34 44 10 00 20 45
-28 46 F0 45 28 46 08 45 34 44 7E 00 5C 5F 6E 46
-4E 5F 0C 49 12 46 F4 5F 34 44 10 00 00 46 BE 5F
-BC 44 84 46 FA 44 2A 44
-@FFFE
-68 55
-q
index 068d4ab..2201e2d 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 A6 48 80 3E 00 24 05 00 18 00 20 60 E2 56
-66 48 78 48 00 00 00 00
+10 00 5A 48 80 3E 00 24 05 00 18 00 72 61 66 56
+20 48 32 48 00 00 00 00
 @4400
 3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
 2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
@@ -21,87 +21,85 @@ FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
 30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 45 02 43
 21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
 3E 5F 30 4D 30 44 01 2D 3E 8F 3E E3 1E 53 30 4D
-F8 44 03 41 4E 44 3E FF 30 4D 84 44 02 4F 52 00
-3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C 45
-06 4E 45 47 41 54 45 00 E8 3F 32 45 03 41 42 53
-0E 93 E3 33 30 4D D8 44 04 44 41 42 53 00 3E F3
-06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
-00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 45 02 30
-3C 00 0E 5E 0E 7E 3E E3 30 4D 8E 45 02 30 3E 00
-1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
-30 4D A8 45 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
-AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
-3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
-0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
-39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
-81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
-30 4D 91 53 00 00 F7 3F CE 45 06 55 4E 4C 4F 4F
-50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
-1E 81 02 00 30 4D 1E 45 01 4A 2F 83 8F 4E 00 00
-1E 41 04 00 1E 81 06 00 30 4D 9C 45 03 50 41 44
-85 12 E4 1C 0E 45 03 43 49 42 85 12 3C 1D 56 46
-03 43 50 4C 85 12 54 00 60 46 02 42 4C 00 85 12
-20 00 C2 45 03 3E 49 4E 85 12 CA 1D 6A 46 04 42
-41 53 45 00 85 12 E2 1D C8 44 05 53 54 41 54 45
-85 12 BE 1D B4 45 02 3C 23 00 B2 40 B2 1D B2 1D
-30 4D 1A 46 06 55 4D 2F 4D 4F 44 00 30 12 62 44
-0B 4E 2E 4F 1C 4F 02 00 0A 43 34 40 20 00 0E 93
-04 20 04 11 0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B
-09 69 08 68 14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B
-0A 8B 12 D3 F5 3F 34 40 14 44 8F 4A 02 00 8F 49
-00 00 0E 48 30 41 7E 46 01 23 1B 42 E2 1D 2C 4F
-2F 83 B0 12 B8 46 BF 4F 00 00 7A 90 0A 00 02 28
-3A 50 07 00 3A 50 30 00 92 83 B2 1D 18 42 B2 1D
-C8 4A 00 00 30 4D F8 46 02 23 53 00 87 12 FA 46
-32 47 2D 83 09 93 E3 23 0E 93 E1 23 3D 41 30 4D
-28 47 02 23 3E 00 9F 42 B2 1D 00 00 3E 40 B2 1D
-2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E 3E 4F
-DB 3F 8A 46 04 53 49 47 4E 00 0E 93 3E 4F 3A 40
-2D 00 D2 33 30 4D A4 46 02 55 2E 00 87 12 9A 46
-34 44 00 00 2C 47 46 47 80 49 48 49 2A 44 68 45
-02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45 2C 47
-9A 44 6A 47 46 47 80 49 48 49 2A 44 50 45 01 2E
-0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F 56 47
-04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42 CC 1D
-30 4D 5C 45 05 41 4C 4C 4F 54 82 5E CC 1D 3E 4F
-30 4D 42 47 02 43 2C 00 1A 42 CC 1D CA 4E 00 00
-92 53 CC 1D 3E 4F 30 4D C0 47 05 28 4B 45 59 29
-18 42 EC 05 2F 83 8F 4E 00 00 B0 12 66 48 92 B3
-FC 05 FD 27 1E 42 EC 05 B0 12 78 48 30 4D 38 46
-03 4B 45 59 30 40 00 48 D4 47 06 41 43 43 45 50
-54 00 3C 40 E4 48 3B 40 AE 48 2D 15 0A 4E 2E 4F
-0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 D8 48 92 B3
-FC 05 05 24 18 42 EC 05 38 90 0A 00 04 20 21 53
-39 40 92 48 4D 15 A2 B3 FC 05 FD 27 B2 40 11 00
-EE 05 D2 C3 22 02 30 41 A2 B3 FC 05 FD 27 B2 40
-13 00 EE 05 D2 D3 22 02 30 41 00 00 05 53 4C 45
-45 50 30 40 A0 48 00 00 07 28 53 4C 45 45 50 29
-12 D2 0A 18 F6 3F 21 52 3A 17 58 42 EC 05 48 9C
-08 2C 48 9B E1 27 78 92 11 20 2E 9F 0F 24 1E 83
-05 3C 0E 9A 03 24 CE 48 00 00 1E 53 A2 B3 FC 05
-FD 27 82 48 EE 05 30 4D DA 48 2D 83 92 B3 FC 05
-E4 23 FC 27 B2 40 18 00 0A 18 82 93 E4 1D 02 24
-92 53 E4 1D 3E 8F 3D 41 30 4D FA 47 06 28 45 4D
-49 54 29 00 08 4E 3E 4F E1 3F 90 47 04 45 4D 49
-54 00 30 40 04 49 0C 49 04 45 43 48 4F 00 B2 40
-82 48 D2 48 82 43 E4 1D 30 4D AE 47 06 4E 4F 45
-43 48 4F 00 B2 40 30 4D D2 48 92 43 E4 1D 30 4D
-64 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 DF 3F 42 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 6A 49 EF 3F 6C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 78 47 04 54 59 50 45 00
-0E 93 BE 24 1E 15 3D 40 96 49 28 4F 7E 48 8F 48
-00 00 2F 83 BE 3F 98 49 2D 83 91 83 02 00 F5 23
-1D 17 AE 3C FC 48 04 28 43 52 29 00 87 12 C2 49
-02 0D 0A 00 80 49 2A 44 E4 47 02 43 52 00 30 40
-AC 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
-1D B3 0D 63 30 4D BA 49 07 43 41 50 53 5F 4F 4E
-B2 43 B4 1D 30 4D D8 49 08 43 41 50 53 5F 4F 46
-46 00 82 43 B4 1D 30 4D 56 49 82 53 22 00 87 12
-34 44 C2 49 6E 4C F2 49 34 44 22 00 42 4A E0 49
-12 4A 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
-CC 1D A2 63 CC 1D 30 4D 2C 49 82 2E 22 00 87 12
-FE 49 34 44 80 49 6E 4C 2A 44 00 00 04 57 4F 52
+00 00 02 31 2B 00 1E 53 30 4D 32 45 02 31 2D 00
+1E 83 30 4D D8 44 04 44 41 42 53 00 3E F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 3C 45
+02 30 3D 00 1E 83 0E 7E 30 4D 60 45 02 30 3C 00
+0E 5E 0E 7E 3E E3 30 4D 00 00 01 3D 3E 8F 07 20
+3E 43 30 4D 7A 45 01 3C 3A 4F 0A 8E F9 3B 0E 43
+30 4D AC 44 01 3E 3E 8F F9 3F 6C 45 02 30 3E 00
+1E 93 EE 37 F4 3F 00 00 02 55 3C 00 3A 4F 0A 8E
+E7 2B ED 3F 2D 4D 30 4D 0E 93 3E 4F FB 27 2D 53
+30 4D 1E 83 0E 7E F9 3F 39 40 00 80 39 8F 08 4E
+3E 4F 08 59 19 15 30 4D 81 5E 00 00 3E 4F 32 B0
+00 01 E8 27 2D 53 21 52 30 4D 91 53 00 00 F7 3F
+A8 45 06 55 4E 4C 4F 4F 50 00 F5 3F 00 00 01 49
+2F 83 8F 4E 00 00 2E 41 1E 81 02 00 30 4D 1E 45
+01 4A 2F 83 8F 4E 00 00 1E 41 04 00 1E 81 06 00
+30 4D 0E 45 03 43 49 42 85 12 3C 1D 24 46 02 42
+4C 00 85 12 20 00 2E 46 04 42 41 53 45 00 85 12
+E2 1D C8 44 05 53 54 41 54 45 85 12 BE 1D 86 45
+02 3C 23 00 B2 40 B2 1D B2 1D 30 4D F2 45 06 55
+4D 2F 4D 4F 44 00 30 12 62 44 0B 4E 2E 4F 1C 4F
+02 00 0A 43 34 40 20 00 0E 93 04 20 04 11 0E 4C
+0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68 14 83
+07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3 F5 3F
+34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48 30 41
+38 46 01 23 1B 42 E2 1D 2C 4F 2F 83 B0 12 72 46
+BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00 3A 50
+30 00 92 83 B2 1D 18 42 B2 1D C8 4A 00 00 30 4D
+B2 46 02 23 53 00 87 12 B4 46 EC 46 2D 83 09 93
+E3 23 0E 93 E1 23 3D 41 30 4D E2 46 02 23 3E 00
+9F 42 B2 1D 00 00 3E 40 B2 1D 2E 8F 30 4D FE 45
+04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 44 46 04 53
+49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33 30 4D
+5E 46 02 55 2E 00 87 12 54 46 34 44 00 00 E6 46
+00 47 5C 49 24 49 2A 44 46 45 02 44 2E 00 87 12
+54 46 78 44 8A 44 4C 45 E6 46 9A 44 24 47 00 47
+5C 49 24 49 2A 44 84 44 01 2E 0E 93 E4 37 2F 83
+8F 4E 00 00 3E 43 EB 3F 10 47 04 48 45 52 45 00
+2F 83 8F 4E 00 00 1E 42 CC 1D 30 4D F8 44 05 41
+4C 4C 4F 54 82 5E CC 1D 3E 4F 30 4D FC 46 02 43
+2C 00 1A 42 CC 1D CA 4E 00 00 92 53 CC 1D 3E 4F
+30 4D 7A 47 05 28 4B 45 59 29 18 42 CC 05 2F 83
+8F 4E 00 00 B0 12 20 48 92 B3 DC 05 FD 27 1E 42
+CC 05 B0 12 32 48 30 4D 10 46 03 4B 45 59 30 40
+BA 47 8E 47 06 41 43 43 45 50 54 00 3C 40 98 48
+3B 40 62 48 2D 15 0A 4E 2E 4F 0A 5E 3B 40 0D 00
+3C 40 20 00 3D 40 8C 48 92 B3 DC 05 05 24 18 42
+CC 05 38 90 0A 00 04 20 21 53 39 40 46 48 4D 15
+A2 B3 DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02
+30 41 B2 40 13 00 CE 05 E2 D3 23 02 30 41 00 00
+05 53 4C 45 45 50 30 40 54 48 00 00 07 28 53 4C
+45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
+CC 05 48 9C 08 2C 48 9B E4 27 78 92 11 20 2E 9F
+0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
+A2 B3 DC 05 FD 27 82 48 CE 05 30 4D 8E 48 2D 83
+92 B3 DC 05 E4 23 FC 27 B2 40 18 00 0A 18 82 93
+E4 1D 02 24 92 53 E4 1D 3E 8F 3D 41 30 4D B4 47
+06 28 45 4D 49 54 29 00 08 4E 3E 4F E1 3F 3F 80
+06 00 8F 4E 04 00 3E 40 54 00 BF 40 3C 1D 00 00
+AF 4F 02 00 8B 3F 82 43 CA 1D 82 4E C6 1D B2 4F
+C8 1D 3E 4F 30 4D 4A 47 04 45 4D 49 54 00 30 40
+B8 48 E8 48 04 45 43 48 4F 00 B2 40 82 48 86 48
+82 43 E4 1D 30 4D 68 47 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D 86 48 92 43 E4 1D 30 4D 1E 47 05 53
+50 41 43 45 2F 83 8F 4E 00 00 3E 40 20 00 DF 3F
+1E 49 06 53 50 41 43 45 53 00 0E 93 09 24 0D 12
+3D 40 46 49 EF 3F 48 49 2D 83 1E 83 EB 23 3D 41
+3E 4F 30 4D 32 47 04 54 59 50 45 00 0E 93 0F 24
+1E 15 3D 40 72 49 28 4F 7E 48 8F 48 00 00 2F 83
+BE 3F 74 49 2D 83 91 83 02 00 F5 23 1D 17 2F 53
+3E 4F 30 4D B0 48 04 28 43 52 29 00 87 12 A2 49
+02 0D 0A 00 5C 49 2A 44 9E 47 02 43 52 00 30 40
+8C 49 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 9A 49 07 43 41 50 53 5F 4F 4E
+B2 43 B4 1D 30 4D B8 49 08 43 41 50 53 5F 4F 46
+46 00 82 43 B4 1D 30 4D 32 49 82 53 22 00 87 12
+34 44 A2 49 46 4C D2 49 34 44 22 00 22 4A C0 49
+F2 49 3D 41 6E 4E 1E 83 82 5E CC 1D 3E 4F 92 B3
+CC 1D A2 63 CC 1D 30 4D 08 49 82 2E 22 00 87 12
+DE 49 34 44 5C 49 46 4C 2A 44 00 00 04 57 4F 52
 44 00 3C 40 C6 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
@@ -113,345 +111,369 @@ F6 2F 82 93 B4 1D F3 27 7C 90 7B 00 F0 2F 7C 80
 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
-8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 2F 53
-2F 53 3E 4F 30 4D 74 46 07 3E 4E 55 4D 42 45 52
-3C 4F 38 4F 29 4F 2F 82 1B 42 E2 1D 6A 4C 7A 80
-30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
-13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
-C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
-1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
-30 4D 0C 43 1B 42 E2 1D 32 C0 00 02 2D 15 09 43
-08 43 3D 40 B2 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
-3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
-1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
-CE 3F B4 4B 0E 93 32 24 32 B0 00 02 2F 20 32 D0
-00 02 FC 90 2E 00 00 00 02 20 2D 83 C0 3F FC 90
-2C 00 00 00 23 20 0A 4E 09 43 8F 49 02 00 5A 83
-09 4A 09 5C 69 49 39 80 30 00 79 90 0A 00 05 28
-79 80 07 00 79 90 0A 00 0A 28 09 9B 08 2C 8F 49
-00 00 0E 4B 2C 15 B0 12 B0 46 2A 17 E6 3F 9F 4F
-04 00 02 00 AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C
-82 4B E2 1D 04 24 3F 50 06 00 0E F3 30 4D 2F 53
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D 18 49 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-CC 1D A2 53 CC 1D 8A 4E 00 00 3E 4F 30 4D 6C 4C
-87 4C 49 54 45 52 41 4C 82 93 BE 1D 0F 24 1A 42
-CC 1D A2 52 CC 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D E8 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E C6 1D B2 4F C8 1D 3E 4F 82 43
-CA 1D 87 12 6E 46 42 4A DA 4C 3D 40 E6 4C E0 22
-3E 4F 3D 41 30 4D E8 4C 0A 4E 3E 4F 3D 40 FE 4C
-38 27 3D 40 D4 4C 1A E2 BE 1D B9 27 B3 23 00 4D
-3E 4F 3D 40 D4 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 88 4F CD 3F 5C 4C 08 45
-56 41 4C 55 41 54 45 00 39 40 C6 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 C4 4C 3C 4D B2 41 CA 1D
-B2 41 C8 1D B2 41 C6 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-BE 1D 82 43 08 18 B0 12 2A 44 C2 49 05 0D 0A 6F
-6B 20 80 49 5A 46 44 44 64 46 32 48 48 49 C4 4C
-DE 44 92 45 C2 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 0E 4E 34 44 30 FF C6 47 D2 45 C2 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 0E 4E 90 46
-F2 44 E0 45 6A 4D C2 49 05 0D 0A 20 20 20 DC 45
-72 4D B2 40 FA 54 5E 55 B2 40 A0 48 94 48 B2 40
-04 49 14 49 B2 40 AC 49 C0 49 B2 40 00 48 26 48
-82 43 A4 5D 82 43 B0 5D 82 43 BC 5D 82 43 EC 5D
-82 43 F8 5D 82 43 04 5E B2 40 0A 00 E2 1D 30 41
-2A 48 05 41 42 4F 52 54 3F 40 80 1C A3 3F 8F 93
-02 00 75 26 B2 40 82 48 D2 48 B0 12 CE 4D A2 B3
-FC 05 FD 27 B2 40 11 00 EE 05 D2 C3 22 02 92 C3
-FC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 FC 05 F3 23 87 12 C2 49 04 1B 5B 37
-6D 00 80 49 34 44 E4 1D F2 44 54 44 E0 45 74 4E
-C2 49 05 6C 69 6E 65 3A 80 49 34 44 01 00 28 45
-7C 47 1E 49 80 49 C2 49 04 1B 5B 30 6D 00 80 49
-70 54 E0 49 08 4E 02 4E 86 41 42 4F 52 54 22 00
-87 12 FE 49 34 44 0E 4E 6E 4C 2A 44 9A 4A 01 27
-87 12 6E 46 42 4A A0 4A E0 45 AE 4E 2A 44 08 4D
-96 46 81 5C 92 42 C6 1D CA 1D 30 4D 00 00 81 5B
-82 43 BE 1D 30 4D B2 4E 01 5D B2 43 BE 1D 30 4D
-BE 4E 83 5B 27 5D 87 12 A0 4E 34 44 34 44 6E 4C
-6E 4C 2A 44 BE 4F 02 00 3E 4F 30 4D A6 49 82 49
-53 00 87 12 90 46 F2 44 E0 45 06 4F D6 4E 34 44
-E4 4E 6E 4C 2A 44 A0 4E E4 4E 2A 44 EE 4E 09 49
-4D 4D 45 44 49 41 54 45 1A 42 B6 1D FA D0 80 00
-00 00 30 4D FA 49 87 52 45 43 55 52 53 45 19 42
-CC 1D 99 42 BA 1D 00 00 A2 53 CC 1D 30 4D 4E 4D
-88 50 4F 53 54 50 4F 4E 45 00 87 12 6E 46 42 4A
-A0 4A 54 44 E0 45 AE 4E 92 45 E0 45 6A 4F 34 44
-34 44 6E 4C 6E 4C 34 44 6E 4C 6E 4C 2A 44 82 9F
-BC 1D 34 25 87 12 C2 49 0F 73 74 61 63 6B 20 6D
-69 73 6D 61 74 63 68 21 14 4E 87 12 1E 4A 6E 46
-42 4A 94 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
-E0 1D 6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49
-B8 1D 82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41
-30 41 3C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12
-8A 4F BA 40 86 12 FC FF 05 3D B0 4C 08 43 4F 4E
-53 54 41 4E 54 00 B0 12 8A 4F BA 40 85 12 FC FF
-8A 4E FE FF 3E 4F F6 3C DC 4F 06 43 52 45 41 54
-45 00 B0 12 8A 4F BA 40 85 12 FC FF 8A 4A FE FF
-E9 3C 1E 4D 05 44 4F 45 53 3E 1A 42 BA 1D BA 40
-84 12 00 00 8A 4D 02 00 3D 41 30 4D 14 50 05 44
-45 46 45 52 B0 12 8A 4F BA 40 30 40 FC FF BA 40
-2A 50 FE FF CF 3C D2 4E 01 3A B0 12 8A 4F BA 40
-87 12 FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D
-30 4D 48 50 81 3B 82 93 BE 1D 24 27 87 12 34 44
-2A 44 6E 4C 6E 4F C0 4E 2A 44 FA 4F 07 43 4F 4D
-50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
-0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
-F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 64 50 86 5B
-54 48 45 4E 5D 00 30 4D 1E 83 30 4D AE 50 86 5B
-45 4C 53 45 5D 00 87 12 34 44 01 00 6E 46 42 4A
-B6 4C 44 44 E0 45 34 51 8A 44 8A 44 C2 49 04 5B
-49 46 5D 00 84 50 EA 45 F2 50 00 4B 2C 45 DC 45
-28 51 8A 44 8A 44 C2 49 06 5B 45 4C 53 45 5D 00
-84 50 EA 45 16 51 00 4B B8 50 44 44 E0 45 12 51
-2C 45 DC 45 28 51 C2 49 06 5B 54 48 45 4E 5D 00
-84 50 EA 45 28 51 B8 50 54 44 EA 45 30 51 2A 44
-DC 45 CC 50 00 4B C2 49 05 0D 0A 6B 6F 20 80 49
-5A 46 44 44 64 46 32 48 4A 51 82 43 CA 1D 82 4E
-C6 1D B2 4F C8 1D 3E 4F 3D 40 CC 50 30 4D BE 50
-84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 60 51
-8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 6E 46
-42 4A A0 4A 6C 44 86 45 2A 44 70 51 89 5B 44 45
-46 49 4E 45 44 5D 87 12 6E 46 42 4A A0 4A 6C 44
-2A 44 A4 51 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-69 3D 80 4C 06 4D 41 52 4B 45 52 00 B0 12 8A 4F
-BA 40 84 12 FC FF BA 40 A2 51 FE FF 9A 42 CE 1D
-00 00 28 83 8A 48 02 00 A2 52 CC 1D 18 42 B6 1D
-19 42 B8 1D A8 49 FE FF 89 48 00 00 30 4D 0E 4F
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 CC 1D A2 52
-CC 1D BE 40 E0 45 00 00 2E 53 30 4D 2E 50 84 45
-4C 53 45 00 A2 52 CC 1D 1A 42 CC 1D BA 40 DC 45
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D 7A 49 84 54
-48 45 4E 00 9E 42 CC 1D 00 00 3E 4F 30 4D 7C 50
-85 42 45 47 49 4E 30 40 C6 47 2E 52 85 55 4E 54
-49 4C 39 40 E0 45 A2 52 CC 1D 1A 42 CC 1D 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 88 4E 85 41 47 41
-49 4E 39 40 DC 45 EF 3F C4 4F 85 57 48 49 4C 45
-87 12 F4 51 78 44 2A 44 26 4F 86 52 45 50 45 41
-54 00 87 12 72 52 34 52 2A 44 0E 52 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 CC 1D 1E 42 CC 1D BE 40
-F0 45 FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00
-30 4D B4 51 84 4C 4F 4F 50 00 39 40 12 46 A2 52
-CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42
-00 1C A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D 20 48 85 2B 4C 4F 4F 50 39 40
-00 46 E5 3F C4 52 85 4C 45 41 56 45 1A 42 CC 1D
-BA 40 22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00
-CC 1D A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00
-30 4D 06 53 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 7A 52 0A 56 4F 43
-41 42 55 4C 41 52 59 00 87 12 02 50 34 44 10 00
-34 44 00 00 F0 45 34 44 00 00 6E 4C 12 46 86 53
-C6 47 34 44 CE 1D 44 44 F2 44 6E 4C FA 44 1A 50
-34 44 D0 1D FA 44 2A 44 9E 4E 05 46 4F 52 54 48
-84 12 A0 53 28 57 FE 56 96 5F AA 53 E6 56 F8 52
-60 5F 98 5E 94 54 AE 54 6E 5F B6 5E 46 45 2C 5E
-C8 4E AC 5E 00 00 6C 52 04 41 4C 53 4F 00 3A 40
-0E 00 39 40 D0 1D 38 40 D2 1D B6 3F 40 4F 08 50
-52 45 56 49 4F 55 53 00 3A 40 0E 00 39 40 D2 1D
-38 40 D0 1D A3 3F 2A 4A 04 4F 4E 4C 59 00 82 43
-D2 1D 30 4D 9C 52 0B 44 45 46 49 4E 49 54 49 4F
-4E 53 92 42 D0 1D E0 1D 30 4D B0 53 0E 54 22 54
-32 54 3A 4E 82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D EE 53 09 50 57 52 5F 53 54 41 54 45
-84 12 2A 54 E2 56 20 60 8A 52 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 74 54 92 42 0C 18 76 54
-EF 3F 66 54 08 50 57 52 5F 48 45 52 45 00 92 42
-CE 1D 74 54 92 42 CC 1D 76 54 30 4D 7A 54 08 52
-53 54 5F 48 45 52 45 00 92 42 CE 1D 0E 18 92 42
-CC 1D 0C 18 EC 3F 6C 53 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 C6 FF FA 23 B0 12
-C2 4D B2 40 20 60 0C 18 B2 40 E2 56 0E 18 CA 3F
-F0 51 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
-C2 49 06 0D 1B 5B 37 6D 23 00 80 49 B0 47 C2 49
-27 20 46 61 73 74 46 6F 72 74 68 20 56 32 30 33
-20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
-68 6F 6F 72 65 6E 73 20 80 49 34 44 30 FF C6 47
-28 45 7C 47 C2 49 0B 62 79 74 65 73 20 66 72 65
-65 20 1A 4E C8 54 04 57 41 52 4D 00 30 40 FA 54
-40 52 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
-88 5A 5C 01 92 43 04 02 B2 40 FE FF 02 02 A2 83
-06 02 92 D3 24 02 B2 D3 26 02 B2 43 22 02 B2 43
-42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 B2 40
-80 00 84 02 B2 40 7F FF 82 02 B2 D0 7F FF 86 02
-F2 43 22 03 F2 D3 26 03 F2 40 A5 00 41 01 F2 40
-10 00 40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40
-48 00 62 01 82 43 66 01 39 40 80 00 B2 40 33 00
-64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
-01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
-B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A2 04
-3A 40 6E 55 39 40 C6 FF 89 4A 00 00 29 53 FC 23
-92 42 02 18 E4 FF B2 40 18 00 0A 18 39 40 00 1C
-89 43 00 00 29 53 39 90 FF 23 FA 2B 31 40 E0 1C
-3F 40 80 1C 37 40 00 44 36 40 BC 44 35 40 08 44
-34 40 14 44 B2 40 0A 00 E2 1D B2 43 B4 1D 92 C3
-30 01 18 42 08 18 F2 B0 10 00 20 02 04 20 38 E3
-18 53 82 48 08 18 B2 40 81 00 E0 05 B2 40 11 00
-E6 05 B2 40 00 4A E8 05 F2 D0 30 00 2A 02 92 C3
-E0 05 92 D3 FA 05 3D 40 B0 56 18 42 08 18 38 90
-0A 00 15 27 38 90 16 00 12 2F 28 93 EB 22 E0 26
-5C 55 D8 53 09 41 53 53 45 4D 42 4C 45 52 84 12
-A0 53 08 5D B4 5D BC 5C 08 5E 82 5C 3C 5D 86 59
-00 00 78 5C 28 5D DA 5C 18 5D 96 5A 00 00 00 00
-1A 5E D4 53 F2 54 85 48 49 32 4C 4F 87 12 C6 47
-08 52 6E 4C C0 4E DE 53 BE 56 2A 44 62 55 04 43
-4F 44 45 00 B0 12 8A 4F A2 82 CC 1D 87 12 5C 50
-DC 45 F6 56 00 00 07 45 4E 44 43 4F 44 45 87 12
-F8 53 6E 4F 2A 44 B4 56 03 41 53 4D 92 42 E0 1D
-C0 1D B2 40 C2 56 E0 1D E5 3F 16 57 06 45 4E 44
-41 53 4D 00 92 42 C0 1D E0 1D E9 3F 00 00 05 43
-4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12 00 00 A2 53
-CC 1D B2 43 BE 1D 30 40 F8 53 00 00 05 4C 4F 32
-48 49 1A 42 CC 1D BA 40 B0 12 00 00 BA 40 2A 44
-02 00 A2 52 CC 1D ED 3F 38 40 C6 1D 39 48 2A 48
-09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A FC 27 1A 83
-0E 4A 2A 88 82 4A CA 1D 30 4D B0 12 2A 44 42 4A
-A0 4A EA 45 BE 57 62 4B E0 45 AE 4E E0 57 C0 57
-29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
-03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
-30 41 19 42 CC 1D A2 53 CC 1D 89 4E 00 00 3E 40
-29 00 12 12 CA 1D 92 53 CA 1D B0 12 2A 44 42 4A
-62 4B E0 45 12 58 08 58 21 53 3E 90 10 00 BB 2D
-30 41 14 58 B2 41 CA 1D 22 D3 30 41 87 12 6E 46
-88 57 24 58 82 43 C4 1D 92 42 CC 1D C2 1D A2 53
-CC 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
-CA 1D B0 12 AA 57 0E 93 04 20 B2 40 00 03 C4 1D
-27 3C 1E 93 04 20 B2 40 10 03 C4 1D 21 3C 2E 93
-04 20 B2 40 20 03 C4 1D 1B 3C 2E 92 04 20 B2 40
-20 02 C4 1D 15 3C 3E 92 04 20 B2 40 30 02 C4 1D
-0F 3C 3E 93 04 20 B2 40 30 03 C4 1D 09 3C B2 40
-30 00 C4 1D 19 42 CC 1D A2 53 CC 1D 89 4E 00 00
-3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
-10 02 C4 1D 92 53 CA 1D 30 12 94 58 76 3F FA 90
-40 00 00 00 1A 20 B2 40 20 00 C4 1D 92 53 CA 1D
-B0 12 F2 57 0E 20 B2 50 10 00 C4 1D 3E 40 2B 00
-B0 12 F2 57 32 24 92 92 C6 1D CA 1D 02 24 92 53
-CA 1D 8E 10 82 5E C4 1D D3 3F B0 12 F2 57 F9 23
-B2 50 10 00 C4 1D 3E 40 28 00 B0 12 AA 57 30 12
-E4 58 67 3F 87 12 6E 46 88 57 1C 59 FE 90 26 00
-00 00 3E 40 20 00 04 20 B2 50 82 00 C4 1D C2 3F
-B0 12 F2 57 DF 23 B2 50 80 00 C4 1D 3E 40 28 00
-B0 12 AA 57 B0 12 E2 57 D5 23 3D 40 AE 4E 30 4D
-8C 48 04 52 45 54 49 00 87 12 34 44 00 13 6E 4C
-2A 44 34 44 2C 00 1C 58 14 59 6C 59 2E 4E 1E D2
-C4 1D 19 42 C2 1D 92 3F 6C 57 03 4D 4F 56 84 12
-62 59 00 40 7A 59 05 4D 4F 56 2E 42 84 12 62 59
-40 40 00 00 03 41 44 44 84 12 62 59 00 50 94 59
-05 41 44 44 2E 42 84 12 62 59 40 50 A0 59 04 41
-44 44 43 00 84 12 62 59 00 60 AE 59 06 41 44 44
-43 2E 42 00 84 12 62 59 40 60 52 59 04 53 55 42
-43 00 84 12 62 59 00 70 CC 59 06 53 55 42 43 2E
-42 00 84 12 62 59 40 70 DA 59 03 53 55 42 84 12
-62 59 00 80 EA 59 05 53 55 42 2E 42 84 12 62 59
-40 80 4E 57 03 43 4D 50 84 12 62 59 00 90 04 5A
-05 43 4D 50 2E 42 84 12 62 59 40 90 3C 57 04 44
-41 44 44 00 84 12 62 59 00 A0 1E 5A 06 44 41 44
-44 2E 42 00 84 12 62 59 40 A0 10 5A 03 42 49 54
-84 12 62 59 00 B0 3C 5A 05 42 49 54 2E 42 84 12
-62 59 40 B0 48 5A 03 42 49 43 84 12 62 59 00 C0
-56 5A 05 42 49 43 2E 42 84 12 62 59 40 C0 62 5A
-03 42 49 53 84 12 62 59 00 D0 70 5A 05 42 49 53
-2E 42 84 12 62 59 40 D0 00 00 03 58 4F 52 84 12
-62 59 00 E0 8A 5A 05 58 4F 52 2E 42 84 12 62 59
-40 E0 BC 59 03 41 4E 44 84 12 62 59 00 F0 A4 5A
-05 41 4E 44 2E 42 84 12 62 59 40 F0 6E 46 1C 58
-C2 5A 1A 42 C4 1D B2 F0 70 00 C4 1D 8A 10 3A F0
-0F 00 82 DA C4 1D 4A 3F F6 59 03 52 52 43 84 12
-BC 5A 00 10 DA 5A 05 52 52 43 2E 42 84 12 BC 5A
-40 10 E6 5A 04 53 57 50 42 00 84 12 BC 5A 80 10
-F4 5A 03 52 52 41 84 12 BC 5A 00 11 02 5B 05 52
-52 41 2E 42 84 12 BC 5A 40 11 0E 5B 03 53 58 54
-84 12 BC 5A 80 11 00 00 04 50 55 53 48 00 84 12
-BC 5A 00 12 28 5B 06 50 55 53 48 2E 42 00 84 12
-BC 5A 40 12 7C 5A 04 43 41 4C 4C 00 84 12 BC 5A
-80 12 34 44 2C 00 1C 58 14 59 5C 5B 59 42 C4 1D
-5A 42 C5 1D 82 4A C4 1D BE 90 00 15 00 00 02 20
-0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
-A8 3F 1A 53 0E 4A 87 12 B0 47 C2 49 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 14 4E 36 5B 05 50
-55 53 48 4D 84 12 52 5B 00 15 9E 5B 04 50 4F 50
-4D 00 84 12 52 5B 00 17 6E 46 88 57 BE 5B 82 43
-C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D 92 53 CA 1D
-3E 40 2C 00 B0 12 2A 44 42 4A 62 4B E0 45 AE 4E
-14 59 E4 5B 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
-5A 06 6F 3F 1C 5B 04 52 52 43 4D 00 84 12 B8 5B
-50 00 F6 5B 04 52 52 41 4D 00 84 12 B8 5B 50 01
-04 5C 04 52 4C 41 4D 00 84 12 B8 5B 50 02 12 5C
-04 52 52 55 4D 00 84 12 B8 5B 50 03 85 12 00 3C
-20 5C 03 53 3E 3D 85 12 00 38 32 5C 02 53 3C 00
-85 12 00 34 AC 5B 03 30 3E 3D 85 12 00 30 46 5C
-02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
-00 2C 5A 5C 03 55 3E 3D 85 12 00 28 50 5C 03 30
-3C 3E 85 12 00 24 6E 5C 02 30 3D 00 85 12 00 20
-98 48 02 49 46 00 1A 42 CC 1D 8A 4E 00 00 A2 53
-CC 1D 0E 4A 30 4D 64 5C 04 54 48 45 4E 00 1A 42
-CC 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 68 2F 88 DA 00 00 30 4D 2C 5A 04 45 4C 53
-45 00 1A 42 CC 1D BA 40 00 3C 00 00 A2 53 CC 1D
-2F 83 8F 4A 00 00 E3 3F 98 5C 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 CC 1D 30 4D B0 5A 05 41 47 41 49 4E 87 12
-2C 5C E0 5C 2A 44 00 00 05 57 48 49 4C 45 87 12
-86 5C 78 44 2A 44 3C 5C 06 52 45 50 45 41 54 00
-87 12 2C 5C E0 5C 9E 5C 2A 44 00 00 03 4A 4D 50
-87 12 A0 4E 2C 5C E0 5C 2A 44 3E B0 00 10 03 20
-3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
-00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
-50 00 87 12 4A 5D A0 4E 78 44 E0 5C 2A 44 80 5D
-3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 CC 1D
-00 00 30 4D 88 43 00 00 A4 3F 46 5B 03 42 57 31
-84 12 7E 5D 00 00 9C 5D 03 42 57 32 84 12 7E 5D
-00 00 A8 5D 03 42 57 33 84 12 7E 5D 00 00 C0 5D
-3D 41 1A 42 CC 1D 28 4E 08 93 08 20 BA 4F 00 00
-A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
-61 3F 00 00 03 46 57 31 84 12 BE 5D 00 00 E4 5D
-03 46 57 32 84 12 BE 5D 00 00 F0 5D 03 46 57 33
-84 12 BE 5D 00 00 FC 5D 04 47 4F 54 4F 00 87 12
-2C 5C A0 4E 64 4C 2A 44 6C 5D 05 3F 47 4F 54 4F
-87 12 4A 5D A0 4E 64 4C 2A 44 8C 51 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 08 54 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 44
-3C 00 12 49 B0 47 34 44 08 00 12 49 34 44 3E 00
-12 49 48 49 8A 44 8A 44 C4 45 EA 45 84 5E 62 44
-62 44 2A 44 F0 45 28 46 F2 44 7C 47 34 44 02 00
-00 46 86 5E 2A 44 3A 5E 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 08 4B 01 3F 2E 4E
-30 40 7C 47 56 55 05 57 4F 52 44 53 87 12 BE 49
-34 44 03 00 5E 49 34 44 D0 1D F2 44 50 46 34 44
-10 00 44 44 20 45 3A 53 34 44 00 00 44 44 34 44
-10 00 44 44 20 45 34 44 00 00 F0 45 44 44 28 46
-50 46 20 45 F2 44 D2 45 E0 45 0A 5F 62 44 62 44
-28 46 44 44 50 46 20 45 F2 44 34 44 02 00 00 46
-EC 5E 54 44 E0 45 4C 5F 44 44 34 44 02 00 28 45
-F2 44 9A 44 50 46 20 45 FA 44 44 44 B6 4C 34 44
-7F 00 36 45 80 49 08 45 34 44 0F 00 36 45 34 44
-10 00 78 44 28 45 5E 49 DC 45 D8 5E 62 44 2A 44
-34 53 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 52 5F
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 4C 52 03 55
-2E 52 87 12 B0 44 9A 46 34 44 00 00 FA 46 2C 47
-46 47 BC 44 8A 44 28 45 34 44 00 00 56 5F 5E 49
-80 49 2A 44 16 54 04 44 55 4D 50 00 0D 12 12 12
-E2 1D B2 40 10 00 E2 1D 2E 5F B0 12 2A 44 78 44
-8A 44 8A 44 7C 47 34 44 01 00 28 45 7C 47 34 44
-FE FF 36 45 F0 45 BE 49 28 46 34 44 07 00 72 5F
-48 49 28 46 34 44 10 00 20 45 28 46 F0 45 28 46
-08 45 34 44 03 00 72 5F 12 46 DE 5F 48 49 48 49
-28 46 34 44 10 00 20 45 28 46 F0 45 28 46 08 45
-34 44 7E 00 64 5F 6E 46 56 5F 12 49 12 46 FC 5F
-34 44 10 00 00 46 C6 5F BC 44 84 46 FA 44 2A 44
+8F 4C 00 00 35 40 08 44 34 40 14 44 30 4D 94 45
+07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F 2F 82
+1B 42 E2 1D 6A 4C 7A 80 30 00 7A 90 0A 00 05 28
+7A 80 07 00 7A 90 0A 00 13 28 0A 9B 11 2C 82 49
+D0 04 82 48 D2 04 82 4B C8 04 19 42 E4 04 18 42
+E6 04 09 5A 08 63 1C 53 1E 83 E4 23 8F 48 02 00
+8F 4C 00 00 8F 49 04 00 30 4D 0C 43 1B 42 E2 1D
+32 C0 00 02 2D 15 09 43 08 43 3D 40 8A 4B 3F 82
+8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80 2C 00 10 2C
+5A 83 2B 43 7A 52 07 24 3B 52 6A 53 04 24 3B 40
+10 00 5A 83 BF 23 1C 53 1E 83 6A 4C 7A 80 2C 00
+5A 93 B8 23 B1 43 02 00 CE 3F 8C 4B 0E 93 32 24
+32 B0 00 02 2F 20 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C0 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+6A 46 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B E2 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
+00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
+9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
+30 4D F4 48 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+00 4A 26 45 01 2C 1A 42 CC 1D A2 53 CC 1D 8A 4E
+00 00 3E 4F 30 4D 44 4C 87 4C 49 54 45 52 41 4C
+82 93 BE 1D 0F 24 1A 42 CC 1D A2 52 CC 1D BA 40
+34 44 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
+00 02 F1 23 30 4D C8 49 05 43 4F 55 4E 54 2F 83
+1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 87 12 D6 48
+32 46 22 4A A6 4C 3D 40 AE 4C EA 22 50 3E B0 4C
+0A 4E 3E 4F 3D 40 C6 4C 40 27 3D 40 A0 4C 1A E2
+BE 1D C1 27 BB 23 C8 4C 3E 4F 3D 40 A0 4C C8 23
+DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
+52 4F D5 3F 34 4C 08 45 56 41 4C 55 41 54 45 00
+39 40 C6 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44
+9C 4C 04 4D B2 41 CA 1D B2 41 C8 1D B2 41 C6 1D
+3D 41 30 4D 9C 45 04 51 55 49 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 BE 1D 82 43 08 18 B0 12
+2A 44 A2 49 05 0D 0A 6F 6B 20 5C 49 BE 48 24 49
+9C 4C DE 44 70 45 A2 49 0D 73 74 61 63 6B 20 65
+6D 70 74 79 21 20 D6 4D 34 44 30 FF 80 47 AC 45
+A2 49 0B 46 52 41 4D 20 66 75 6C 6C 21 20 D6 4D
+4A 46 F2 44 B8 45 32 4D A2 49 05 0D 0A 20 20 20
+B4 45 3A 4D E4 47 05 41 42 4F 52 54 3F 40 80 1C
+C5 3F B2 40 A6 54 02 55 B2 40 54 48 48 48 B2 40
+3C 1D 2A 46 B2 40 B8 48 F0 48 B2 40 8C 49 A0 49
+B2 40 BA 47 E0 47 82 43 28 5D 82 43 34 5D 82 43
+40 5D 82 43 70 5D 82 43 7C 5D 82 43 88 5D B2 40
+0A 00 E2 1D 30 41 8F 93 02 00 03 20 2F 52 3E 4F
+30 4D B2 40 82 48 86 48 B0 12 9E 4D A2 B3 DC 05
+FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3 DC 05
+38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23
+92 B3 DC 05 F3 23 87 12 A2 49 04 1B 5B 37 6D 00
+5C 49 34 44 E4 1D F2 44 54 44 B8 45 3E 4E A2 49
+05 6C 69 6E 65 3A 5C 49 40 45 36 47 FA 48 5C 49
+A2 49 04 1B 5B 30 6D 00 5C 49 1C 54 C0 49 8C 4D
+86 4D 86 41 42 4F 52 54 22 00 87 12 DE 49 34 44
+D6 4D 46 4C 2A 44 7A 4A 01 27 87 12 32 46 22 4A
+80 4A B8 45 78 4E 2A 44 D0 4C 50 46 81 5C 92 42
+C6 1D CA 1D 30 4D 00 00 81 5B 82 43 BE 1D 30 4D
+7C 4E 01 5D B2 43 BE 1D 30 4D 88 4E 83 5B 27 5D
+87 12 6A 4E 34 44 34 44 46 4C 46 4C 2A 44 BE 4F
+02 00 3E 4F 30 4D 86 49 82 49 53 00 87 12 4A 46
+F2 44 B8 45 D0 4E A0 4E 34 44 AE 4E 46 4C 2A 44
+6A 4E AE 4E 2A 44 B8 4E 09 49 4D 4D 45 44 49 41
+54 45 1A 42 B6 1D FA D0 80 00 00 00 30 4D DA 49
+87 52 45 43 55 52 53 45 19 42 CC 1D 99 42 BA 1D
+00 00 A2 53 CC 1D 30 4D 16 4D 88 50 4F 53 54 50
+4F 4E 45 00 87 12 32 46 22 4A 80 4A 54 44 B8 45
+78 4E 70 45 B8 45 34 4F 34 44 34 44 46 4C 46 4C
+34 44 46 4C 46 4C 2A 44 82 9F BC 1D 25 25 87 12
+A2 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63
+68 21 E2 4D 9C 4E 81 3B 82 93 BE 1D 90 27 87 12
+34 44 2A 44 46 4C 38 4F 8A 4E 2A 44 BA 40 87 12
+FC FF A2 83 CC 1D B2 43 BE 1D 82 4F BC 1D 30 4D
+56 4F 01 3A 30 12 6C 4F 87 12 FE 49 32 46 22 4A
+92 4F 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 E0 1D
+6E 4E 3E F0 1E 00 09 5E 82 48 B6 1D 82 49 B8 1D
+82 4A BA 1D 2A 52 82 4A CC 1D 3E 4F 3D 41 30 41
+1C 4A 08 56 41 52 49 41 42 4C 45 00 B0 12 88 4F
+BA 40 86 12 FC FF DC 3C 88 4C 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 88 4F BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F CD 3C DA 4F 06 43 52 45 41 54 45 00
+B0 12 88 4F BA 40 85 12 FC FF 8A 4A FE FF C0 3C
+E6 4C 05 44 4F 45 53 3E 1A 42 BA 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 12 50 05 44 45 46
+45 52 B0 12 88 4F BA 40 30 40 FC FF BA 40 28 50
+FE FF A6 3C F8 4F 07 43 4F 4D 50 41 52 45 0C 4E
+38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30
+1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43
+30 4D 1E 43 30 4D 82 4F 86 5B 54 48 45 4E 5D 00
+30 4D 78 50 86 5B 45 4C 53 45 5D 00 87 12 34 44
+01 00 32 46 22 4A 8E 4C 44 44 B8 45 F6 50 8A 44
+8A 44 A2 49 04 5B 49 46 5D 00 4E 50 C2 45 B8 50
+7E 49 36 45 B4 45 EE 50 8A 44 8A 44 A2 49 06 5B
+45 4C 53 45 5D 00 4E 50 C2 45 DC 50 7E 49 40 45
+44 44 B8 45 EE 50 36 45 B4 45 EE 50 A2 49 06 5B
+54 48 45 4E 5D 00 4E 50 C2 45 EE 50 40 45 54 44
+C2 45 92 50 2A 44 7E 49 A2 49 05 0D 0A 6B 6F 20
+5C 49 BE 48 D6 48 B4 45 92 50 84 50 84 5B 49 46
+5D 00 0E 93 3E 4F BA 27 30 4D 0C 51 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 32 46 22 4A 80 4A
+6C 44 64 45 2A 44 1C 51 89 5B 44 45 46 49 4E 45
+44 5D 87 12 32 46 22 4A 80 4A 6C 44 2A 44 50 51
+3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D 58 4C
+06 4D 41 52 4B 45 52 00 B0 12 88 4F BA 40 84 12
+FC FF BA 40 4E 51 FE FF 9A 42 CE 1D 00 00 28 83
+8A 48 02 00 A2 52 CC 1D 18 42 B6 1D 19 42 B8 1D
+A8 49 FE FF 89 48 00 00 30 4D D8 4E 82 49 46 00
+2F 83 8F 4E 00 00 1E 42 CC 1D A2 52 CC 1D BE 40
+B8 45 00 00 2E 53 30 4D 2C 50 84 45 4C 53 45 00
+A2 52 CC 1D 1A 42 CC 1D BA 40 B4 45 FC FF 8E 4A
+00 00 2A 83 0E 4A 30 4D 56 49 84 54 48 45 4E 00
+9E 42 CC 1D 00 00 3E 4F 30 4D 46 50 85 42 45 47
+49 4E 30 40 80 47 DA 51 85 55 4E 54 49 4C 39 40
+B8 45 A2 52 CC 1D 1A 42 CC 1D 8A 49 FC FF 8A 4E
+FE FF 3E 4F 30 4D 52 4E 85 41 47 41 49 4E 39 40
+B4 45 EF 3F C2 4F 85 57 48 49 4C 45 87 12 A0 51
+78 44 2A 44 F0 4E 86 52 45 50 45 41 54 00 87 12
+1E 52 E0 51 2A 44 BA 51 82 44 4F 00 2F 83 8F 4E
+00 00 A2 53 CC 1D 1E 42 CC 1D BE 40 C8 45 FE FF
+A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D 60 51
+84 4C 4F 4F 50 00 39 40 EA 45 A2 52 CC 1D 1A 42
+CC 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83
+00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F
+30 4D DA 47 85 2B 4C 4F 4F 50 39 40 D8 45 E5 3F
+70 52 85 4C 45 41 56 45 1A 42 CC 1D BA 40 FA 45
+00 00 BA 40 B4 45 02 00 B2 50 06 00 CC 1D A2 53
+00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D B2 52
+04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93
+11 24 08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83
+FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00
+1A 83 FA 23 30 4D 26 52 0A 56 4F 43 41 42 55 4C
+41 52 59 00 87 12 00 50 34 44 10 00 34 44 00 00
+C8 45 34 44 00 00 46 4C EA 45 32 53 80 47 34 44
+CE 1D 44 44 F2 44 46 4C FA 44 18 50 34 44 D0 1D
+FA 44 2A 44 68 4E 05 46 4F 52 54 48 84 12 4C 53
+BC 5D 82 56 2C 5F 14 61 C8 5F A4 52 F6 5E 24 5E
+42 5E 5A 61 04 5F 4C 5E 00 00 B8 5F 92 4E 38 5E
+00 00 18 52 04 41 4C 53 4F 00 3A 40 0E 00 39 40
+D0 1D 38 40 D2 1D B6 3F 0A 4F 08 50 52 45 56 49
+4F 55 53 00 3A 40 0E 00 39 40 D2 1D 38 40 D0 1D
+A3 3F 0A 4A 04 4F 4E 4C 59 00 82 43 D2 1D 30 4D
+48 52 0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42
+D0 1D E0 1D 30 4D 5C 53 BA 53 CE 53 DE 53 3A 4E
+82 4A CE 1D 2E 4E 82 4E CC 1D 3D 40 10 00 09 4A
+08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
+1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
+9A 53 09 50 57 52 5F 53 54 41 54 45 84 12 D6 53
+66 56 72 61 36 52 09 52 53 54 5F 53 54 41 54 45
+92 42 0E 18 20 54 92 42 0C 18 22 54 EF 3F 12 54
+08 50 57 52 5F 48 45 52 45 00 92 42 CE 1D 20 54
+92 42 CC 1D 22 54 30 4D 26 54 08 52 53 54 5F 48
+45 52 45 00 92 42 CE 1D 0E 18 92 42 CC 1D 0C 18
+EC 3F 18 53 04 57 49 50 45 00 39 40 80 FF B9 43
+00 00 29 53 39 90 CC FF FA 23 B0 12 92 4D B2 40
+72 61 0C 18 B2 40 66 56 0E 18 CA 3F 9C 51 06 28
+57 41 52 4D 29 00 1E 42 08 18 87 12 A2 49 06 0D
+1B 5B 37 6D 23 00 5C 49 6A 47 A2 49 1F 46 61 73
+74 46 6F 72 74 68 20 56 32 30 35 20 28 43 29 4A
+2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 5C 49 34 44
+30 FF 80 47 28 45 36 47 A2 49 0B 62 79 74 65 73
+20 66 72 65 65 20 E8 4D 74 54 04 57 41 52 4D 00
+30 40 A6 54 EC 51 04 43 4F 4C 44 00 B2 40 04 A5
+20 01 B2 40 88 5A 5C 01 92 D3 04 02 B2 40 FE FF
+02 02 A2 83 06 02 B2 D0 00 42 24 02 B2 D3 26 02
+B2 40 FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40
+A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
+A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
+00 01 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
+08 18 A2 93 08 18 01 24 59 07 38 40 59 14 18 83
+FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03
+F2 C0 40 00 A1 04 3A 40 12 55 39 40 CC FF 89 4A
+00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00
+0A 18 39 40 00 1C 89 43 00 00 29 53 39 90 FF 23
+FA 2B 31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40
+BC 44 35 40 08 44 34 40 14 44 B2 40 0A 00 E2 1D
+B2 43 B4 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02
+04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05
+B2 40 11 00 C6 05 B2 40 00 4A C8 05 F2 D0 03 00
+0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 34 56 18 42
+08 18 38 90 0A 00 29 27 38 90 16 00 26 2F 28 93
+FF 22 F4 26 00 55 84 53 09 41 53 53 45 4D 42 4C
+45 52 84 12 4C 53 8C 5C 38 5D 40 5C 8C 5D 06 5C
+C0 5C 0A 59 00 00 FC 5B AC 5C 5E 5C 9C 5C 1A 5A
+00 00 00 00 9E 5D 80 53 9E 54 85 48 49 32 4C 4F
+87 12 80 47 B4 51 46 4C 8A 4E 8A 53 42 56 2A 44
+06 55 04 43 4F 44 45 00 B0 12 88 4F A2 82 CC 1D
+87 12 7A 4F B4 45 7A 56 00 00 07 45 4E 44 43 4F
+44 45 87 12 A4 53 38 4F 2A 44 38 56 03 41 53 4D
+92 42 E0 1D C0 1D B2 40 46 56 E0 1D E5 3F 9A 56
+06 45 4E 44 41 53 4D 00 92 42 C0 1D E0 1D E9 3F
+00 00 05 43 4F 4C 4F 4E 1A 42 CC 1D BA 40 87 12
+00 00 A2 53 CC 1D B2 43 BE 1D 30 40 A4 53 00 00
+05 4C 4F 32 48 49 1A 42 CC 1D BA 40 B0 12 00 00
+BA 40 2A 44 02 00 A2 52 CC 1D ED 3F 38 40 C6 1D
+39 48 2A 48 09 5A 1A 52 CA 1D 09 9A 03 24 7E 9A
+FC 27 1A 83 0E 4A 2A 88 82 4A CA 1D 30 4D B0 12
+2A 44 22 4A 80 4A C2 45 42 57 3A 4B B8 45 78 4E
+64 57 44 57 29 4E 39 90 86 12 02 20 2E 53 30 41
+39 90 85 12 03 20 1E 4E 02 00 30 41 39 90 84 12
+01 20 2E 52 30 41 19 42 CC 1D A2 53 CC 1D 89 4E
+00 00 3E 40 29 00 12 12 CA 1D 92 53 CA 1D B0 12
+2A 44 22 4A 3A 4B B8 45 96 57 8C 57 21 53 3E 90
+10 00 BB 2D 30 41 98 57 B2 41 CA 1D 22 D3 30 41
+87 12 32 46 0C 57 A8 57 82 43 C4 1D 92 42 CC 1D
+C2 1D A2 53 CC 1D 0A 4E 3E 4F FA 90 23 00 00 00
+34 20 92 53 CA 1D B0 12 2E 57 0E 93 04 20 B2 40
+00 03 C4 1D 27 3C 1E 93 04 20 B2 40 10 03 C4 1D
+21 3C 2E 93 04 20 B2 40 20 03 C4 1D 1B 3C 2E 92
+04 20 B2 40 20 02 C4 1D 15 3C 3E 92 04 20 B2 40
+30 02 C4 1D 0F 3C 3E 93 04 20 B2 40 30 03 C4 1D
+09 3C B2 40 30 00 C4 1D 19 42 CC 1D A2 53 CC 1D
+89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00
+08 20 B2 40 10 02 C4 1D 92 53 CA 1D 30 12 18 58
+76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00 C4 1D
+92 53 CA 1D B0 12 76 57 0E 20 B2 50 10 00 C4 1D
+3E 40 2B 00 B0 12 76 57 32 24 92 92 C6 1D CA 1D
+02 24 92 53 CA 1D 8E 10 82 5E C4 1D D3 3F B0 12
+76 57 F9 23 B2 50 10 00 C4 1D 3E 40 28 00 B0 12
+2E 57 30 12 68 58 67 3F 87 12 32 46 0C 57 A0 58
+FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50 82 00
+C4 1D C2 3F B0 12 76 57 DF 23 B2 50 80 00 C4 1D
+3E 40 28 00 B0 12 2E 57 B0 12 66 57 D5 23 3D 40
+78 4E 30 4D 40 48 04 52 45 54 49 00 87 12 34 44
+00 13 46 4C 2A 44 34 44 2C 00 A0 57 98 58 F0 58
+2E 4E 1E D2 C4 1D 19 42 C2 1D 92 3F F0 56 03 4D
+4F 56 84 12 E6 58 00 40 FE 58 05 4D 4F 56 2E 42
+84 12 E6 58 40 40 00 00 03 41 44 44 84 12 E6 58
+00 50 18 59 05 41 44 44 2E 42 84 12 E6 58 40 50
+24 59 04 41 44 44 43 00 84 12 E6 58 00 60 32 59
+06 41 44 44 43 2E 42 00 84 12 E6 58 40 60 D6 58
+04 53 55 42 43 00 84 12 E6 58 00 70 50 59 06 53
+55 42 43 2E 42 00 84 12 E6 58 40 70 5E 59 03 53
+55 42 84 12 E6 58 00 80 6E 59 05 53 55 42 2E 42
+84 12 E6 58 40 80 D2 56 03 43 4D 50 84 12 E6 58
+00 90 88 59 05 43 4D 50 2E 42 84 12 E6 58 40 90
+C0 56 04 44 41 44 44 00 84 12 E6 58 00 A0 A2 59
+06 44 41 44 44 2E 42 00 84 12 E6 58 40 A0 94 59
+03 42 49 54 84 12 E6 58 00 B0 C0 59 05 42 49 54
+2E 42 84 12 E6 58 40 B0 CC 59 03 42 49 43 84 12
+E6 58 00 C0 DA 59 05 42 49 43 2E 42 84 12 E6 58
+40 C0 E6 59 03 42 49 53 84 12 E6 58 00 D0 F4 59
+05 42 49 53 2E 42 84 12 E6 58 40 D0 00 00 03 58
+4F 52 84 12 E6 58 00 E0 0E 5A 05 58 4F 52 2E 42
+84 12 E6 58 40 E0 40 59 03 41 4E 44 84 12 E6 58
+00 F0 28 5A 05 41 4E 44 2E 42 84 12 E6 58 40 F0
+32 46 A0 57 46 5A 1A 42 C4 1D B2 F0 70 00 C4 1D
+8A 10 3A F0 0F 00 82 DA C4 1D 4A 3F 7A 59 03 52
+52 43 84 12 40 5A 00 10 5E 5A 05 52 52 43 2E 42
+84 12 40 5A 40 10 6A 5A 04 53 57 50 42 00 84 12
+40 5A 80 10 78 5A 03 52 52 41 84 12 40 5A 00 11
+86 5A 05 52 52 41 2E 42 84 12 40 5A 40 11 92 5A
+03 53 58 54 84 12 40 5A 80 11 00 00 04 50 55 53
+48 00 84 12 40 5A 00 12 AC 5A 06 50 55 53 48 2E
+42 00 84 12 40 5A 40 12 00 5A 04 43 41 4C 4C 00
+84 12 40 5A 80 12 34 44 2C 00 A0 57 98 58 E0 5A
+59 42 C4 1D 5A 42 C5 1D 82 4A C4 1D BE 90 00 15
+00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00
+03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 6A 47 A2 49
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 E2 4D
+BA 5A 05 50 55 53 48 4D 84 12 D6 5A 00 15 22 5B
+04 50 4F 50 4D 00 84 12 D6 5A 00 17 32 46 0C 57
+42 5B 82 43 C4 1D 92 42 CC 1D C2 1D A2 53 CC 1D
+92 53 CA 1D 3E 40 2C 00 B0 12 2A 44 22 4A 3A 4B
+B8 45 78 4E 98 58 68 5B 0A 4E 3E 4F 1A 83 2A 92
+CA 2F 8A 10 5A 06 6F 3F A0 5A 04 52 52 43 4D 00
+84 12 3C 5B 50 00 7A 5B 04 52 52 41 4D 00 84 12
+3C 5B 50 01 88 5B 04 52 4C 41 4D 00 84 12 3C 5B
+50 02 96 5B 04 52 52 55 4D 00 84 12 3C 5B 50 03
+85 12 00 3C A4 5B 03 53 3E 3D 85 12 00 38 B6 5B
+02 53 3C 00 85 12 00 34 30 5B 03 30 3E 3D 85 12
+00 30 CA 5B 02 30 3C 00 85 12 00 30 00 00 02 55
+3C 00 85 12 00 2C DE 5B 03 55 3E 3D 85 12 00 28
+D4 5B 03 30 3C 3E 85 12 00 24 F2 5B 02 30 3D 00
+85 12 00 20 4C 48 02 49 46 00 1A 42 CC 1D 8A 4E
+00 00 A2 53 CC 1D 0E 4A 30 4D E8 5B 04 54 48 45
+4E 00 1A 42 CC 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D B0 59
+04 45 4C 53 45 00 1A 42 CC 1D BA 40 00 3C 00 00
+A2 53 CC 1D 2F 83 8F 4A 00 00 E3 3F 1C 5C 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 CC 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 CC 1D 30 4D 34 5A 05 41 47 41
+49 4E 87 12 B0 5B 64 5C 2A 44 00 00 05 57 48 49
+4C 45 87 12 0A 5C 78 44 2A 44 C0 5B 06 52 45 50
+45 41 54 00 87 12 B0 5B 64 5C 22 5C 2A 44 00 00
+03 4A 4D 50 87 12 6A 4E B0 5B 64 5C 2A 44 3E B0
+00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28
+03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00
+04 3F 4A 4D 50 00 87 12 CE 5C 6A 4E 78 44 64 5C
+2A 44 04 5D 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
+98 42 CC 1D 00 00 30 4D 88 43 00 00 A4 3F CA 5A
+03 42 57 31 84 12 02 5D 00 00 20 5D 03 42 57 32
+84 12 02 5D 00 00 2C 5D 03 42 57 33 84 12 02 5D
+00 00 44 5D 3D 41 1A 42 CC 1D 28 4E 08 93 08 20
+BA 4F 00 00 A2 53 CC 1D 8E 4A 00 00 3E 4F 30 4D
+8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 42 5D
+00 00 68 5D 03 46 57 32 84 12 42 5D 00 00 74 5D
+03 46 57 33 84 12 42 5D 00 00 80 5D 04 47 4F 54
+4F 00 87 12 B0 5B 6A 4E 3C 4C 2A 44 F0 5C 05 3F
+47 4F 54 4F 87 12 CE 5C 6A 4E 3C 4C 2A 44 38 51
+07 7B 54 4F 4F 4C 53 7D 30 4D AC 56 03 41 4E 44
+3E FF 30 4D B4 53 02 2E 53 00 8F 4E FE FF 0E 4F
+2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF
+3F 80 06 00 2E 8F 0E 11 87 12 34 44 3C 00 EE 48
+6A 47 34 44 08 00 EE 48 34 44 3E 00 EE 48 24 49
+8A 44 8A 44 96 45 C2 45 10 5E 62 44 62 44 2A 44
+C8 45 00 46 F2 44 36 47 34 44 02 00 D8 45 12 5E
+2A 44 C6 5D 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
+3E 40 E0 1C D3 3F E0 4A 01 3F 2E 4E 30 40 36 47
+40 54 03 50 41 44 85 12 E4 1C FA 54 05 57 4F 52
+44 53 87 12 9E 49 34 44 03 00 3A 49 34 44 D0 1D
+F2 44 46 5E 34 44 10 00 44 44 20 45 E6 52 34 44
+00 00 44 44 34 44 10 00 44 44 20 45 34 44 00 00
+C8 45 44 44 00 46 46 5E 20 45 F2 44 AC 45 B8 45
+A0 5E 62 44 62 44 00 46 44 44 46 5E 20 45 F2 44
+34 44 02 00 D8 45 82 5E 54 44 B8 45 E2 5E 44 44
+34 44 02 00 28 45 F2 44 9A 44 46 5E 20 45 FA 44
+44 44 8E 4C 34 44 7F 00 C0 5D 5C 49 08 45 34 44
+0F 00 C0 5D 34 44 10 00 78 44 28 45 3A 49 B4 45
+6E 5E 62 44 2A 44 E0 52 03 4D 41 58 2E 9F 07 38
+2F 53 30 4D E8 5E 03 4D 49 4E 2E 9F F9 3B 3E 4F
+30 4D F8 51 03 55 2E 52 87 12 B0 44 54 46 34 44
+00 00 B4 46 E6 46 00 47 BC 44 8A 44 28 45 34 44
+00 00 EC 5E 3A 49 5C 49 2A 44 C2 53 04 44 55 4D
+50 00 0D 12 12 12 E2 1D B2 40 10 00 E2 1D 2E 5F
+B0 12 2A 44 78 44 8A 44 8A 44 36 47 34 44 01 00
+28 45 36 47 34 44 FE FF C0 5D C8 45 9E 49 00 46
+34 44 07 00 08 5F 24 49 00 46 34 44 10 00 20 45
+00 46 C8 45 00 46 08 45 34 44 03 00 08 5F EA 45
+74 5F 24 49 24 49 00 46 34 44 10 00 20 45 00 46
+C8 45 00 46 08 45 34 44 7E 00 FA 5E 32 46 EC 5E
+EE 48 EA 45 92 5F 34 44 10 00 D8 45 5C 5F BC 44
+3E 46 FA 44 2A 44 B0 5D 0A 7B 46 49 58 50 4F 49
+4E 54 7D 00 30 4D 6A 56 05 48 4F 4C 44 53 39 4F
+09 5E 18 42 B2 1D 19 83 1E 83 04 28 18 83 E8 49
+00 00 F9 3F 82 48 B2 1D 3E 4F 30 4D 56 53 02 46
+2B 00 BF 5F 02 00 3E 6F 30 4D EE 5F 02 46 2D 00
+BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D FC 5F 02 46
+2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F
+02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63
+3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20
+09 96 02 28 09 86 0A 7E 07 67 04 64 15 83 09 30
+0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3
+F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D 0E 60
+03 46 23 53 29 4F 8F 4E 00 00 2F 83 8F 49 00 00
+2B 42 B2 90 0A 00 E2 1D 01 20 1B 53 0C 43 A2 4F
+C0 04 92 42 E2 1D C8 04 9F 42 E4 04 00 00 1E 42
+E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00
+CC 4E 90 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00
+39 40 90 1D 75 3F 90 60 02 46 2A 00 92 4F 04 00
+D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04
+2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04
+30 4D E8 60 02 46 2E 00 87 12 54 46 44 44 B0 44
+4C 45 94 60 34 44 2C 00 16 47 E6 46 BC 44 24 47
+00 47 5C 49 24 49 2A 44 5A 54 03 53 3E 46 2F 83
+8F 43 00 00 30 4D 3A 61 02 32 40 00 2F 83 9F 4E
+02 00 00 00 2E 4E 30 4D 48 61 09 32 43 4F 4E 53
+54 41 4E 54 87 12 00 50 46 4C 46 4C 18 50 4C 61
+2A 44
 @FFFE
-6E 55
+12 55
 q
index f444e5b..e7c8114 100644 (file)
--- a/README.md
+++ b/README.md
@@ -11,31 +11,32 @@ This enables to make a fast data logger with a small footprint as a MSP430FR5738
 
 With all options its size is about 10kB. 
 
-       Tested on MSP-EXP430{FR5969,FR5994,FR6989,FR4133,FR2433} launchpads and CHIPSTICKFR2433,
-    at 0.5, 1, 2, 4, 8, 16 MHz and 24MHz on a MSP430FR5738 module.
+       Tested on MSP-EXP430{FR5969,FR5994,FR6989,FR4133,FR2355,FR2433} launchpads and CHIPSTICKFR2433,
+    at 0.5, 1, 2, 4, 8, 16 MHz and 24MHz on FR2355.
 
     For the moment, the IDE works under WINDOWS...
        
     Files launchpad_3Mbds.txt are 16threads vocabularies 16MHz executables, with 3MBds XON/XOFF terminal,
     Launchpad_115200.txt files are same except 115200Bds for unlucky linux men without TERATERM.    
-    For the launchpad MSP-EXP430FR5994 with SD_CARD, full version is available. For others, you must recompile 
-    forthMSP430FR.asm with SD_CARD_LOADER and SD_CARD_READ_WRITE switches turned ON (uncomment their line).
-
-    Once the Fast Forth code is loaded in the target FRAM memory, you can add it assembly code or FORTH code,
-    or both, by downloading your source files that embedded Fast Forth interprets and compiles. 
-    To do, you only need teraterm.exe as input terminal and an USBtoUART bridge to connect your target.
+    For the launchpad MSP-EXP430FR5994 with SD_CARD, full version is available. For others, you must 
+    recompile forthMSP430FR.asm with SD_CARD_LOADER and SD_CARD_READ_WRITE switches turned ON
+    (uncomment their line).
+
+    Once the Fast Forth code is loaded in the target FRAM memory, you can add it assembly code or 
+    FORTH code, or both, by downloading your source files that embedded Fast Forth interprets and
+    compiles. To do, you only need teraterm.exe as input terminal and an USBtoUART bridge 
+    to connect your target.
     
-    Beforehand, the preprocessor GEMA, by means of a target.pat file, will have translated your source file.f
-    in a targeted source file.4th ready to download.
+    Beforehand, the preprocessor GEMA, by means of a target.pat file, will have translated your 
+    source file.f in a targeted source file.4th ready to download.
     A set of .bat files is furnished to do this automatically. See it all in the \MSP430-FORTH folder.
 
        The download, interpretation and compilation of a source file.4th is done at a throughput
     of 40/80/120 kbytes/sec with a 8/16/24 MHz clock. 
     Considering a ratio 5/1, that of the compiled code is 8/16/24 kbytes/sec.
 
-    After downloading of complementary words in COMPxMPY.f, FastForth executes CORETEST.4th without errors
+    After downloading of complementary words in ANS_COMP.f, FastForth executes CORETEST.4th without errors
     which ensures its compatibility with the FORTH CORE ANS94 standard.
-    For MSP430FR4133 choose COMPSMPY.f, COMPHMPY.f for all others.
 
     Notice that FAST FORTH interprets lines up to 80 chars, only SPACE as delimiter, only CR+LF as EOL
     and BACKSPACE. And that memory access is limited to 64 kbytes. 
@@ -46,21 +47,24 @@ With all options its size is about 10kB.
 What is new ?
 -------------
 
-    FastForth V204
-                    bugs corrected.
+    FastForth V205
+        Added MSP-EXP430FR2355 launchpad
+        Added word :NONAME (option).
+        FastForth terminal via Bluetooth v2.1 + EDR (Microchip RN42) works fine in full duplex mode,
+        921600bds, 4 wires (GND,RX,TX,RTS).
+        Added 4Mbds,5Mbds terminal @16MHZ, for use with PL2303HXD UART2USB bridge.
+        Words AND, OR, XOR are moved as complement in ANS_COMP.f file.
+        Simplified preprocessor files: two preprocess files for one module:
+            one for device, other for user_application/module.
+        Corrected startup time in target.asm files.
+        Modified Clock config in MSP430FR2433.asm and MSP430FR4133.ASM, allowing clock modulation.
 
-    FastForth V203
 
-    French touch 2: MSP430FR5xxx and MSP430FR6xxx @8MHz work with up to 3Mbds TERATERM XON/XOFF terminal
-                    via PL2303TA/HXD 1m cable, and 6Mbds @16MHz with shortened cable.
-                    doesn't work with FR2xxx/FR4xxx families (because FLL clock?)
-    
     FastForth V202
-
-    French touch: added the line number in case of error occurring when download a file.f|file.4th
-    in the new HALFDUPLEX mode (scite command CTRL+2) or in default NOECHO mode (scite command CTRL+0).
-    However, in case of download a file.f (with preprocessing), this line number refers
-    to the contents of the file named LAST.4th.
+        added the line number in case of error occurring when download a file.f|file.4th
+        in the new HALFDUPLEX mode (scite command CTRL+2) or in default NOECHO mode (scite cmd CTRL+0).
+        However, in case of download a file.f (with preprocessing), this line number refers
+        to the contents of the file named LAST.4th.
     
     FastForth V201
 
@@ -74,18 +78,12 @@ What is new ?
 
     Word TIB is deprecated and replaced by CIB (Current Input Buffer)
     Word CR generates CR+LF instead of CR. TYPE is rewritten in assembly.
-    Added half duplex input terminal for Bluetooth or wifi bridge use.
-    To do, set HALFDUPLEX switch in forthMSP430FR.asm before compiling.
-    If you set half duplex mode, add also echo local in teraterm terminal configuration.
-    tested with bluesmirf RN42 module at 921600bds with 4 and 5 wires config.
 
     Added fixed point s15q16 numbers. Thus FAST FORTH recognises : 
-    unsigned/signed numbers u/n (u <= 65535)/(-32768 <=­­ n <= 32767), 
+    unsigned/signed numbers u/n (u <= 65535) / (-32768 <=­­ n <= 32767), 
     unsigned/signed double numbers ud/d by adding a decimal point 
-    (ud <= .4294967295)/(-.2147483648 <= d <= .2147483647),
+    (ud <= .4294967295) / (-.2147483648 <= d <= .2147483647),
     and s15q16 signed numbers by adding a comma (-32768,00000 <= s15q16 <= 32767,00000).
-    The internal or external words set {FIXPOINT} adds the words: 
-    HOLDS F+ F- F/ F#S F* F. S>F, D>F and 2CONSTANT.
     
     Fixed issue about the word LOAD": when called from a word, returns well into this calling word.
     Note that with MSP430FR57xx family, SDIB uses PAD, due to lack of RAM.
@@ -100,9 +98,10 @@ What is new ?
     
     V162.
 
-    Added a set of words to enable conditional interpretation/compilation : MARKER [DEFINED] [UNDEFINED] [IF] [ELSE]
-    [THEN]. A MARKER word (defined as {word} to well see it) allows you to wipe some program even if loaded in memory
-    below RST_STATE boundary. See conditional compilation source files in \MSP430-FORTH.
+    Added a set of words to enable conditional interpretation/compilation : MARKER [DEFINED] [UNDEFINED] 
+    [IF] [ELSE] [THEN]. A MARKER word (defined as {word} to well see it) allows you to wipe some program 
+    even if loaded in memory below RST_STATE boundary. See conditional compilation source files 
+    in \MSP430-FORTH.
 
     All interpretation/compilation errors now execute POWER_STATE, so any incorrect definition
     and all its source file will be automatically erased.
@@ -113,25 +112,23 @@ What is new ?
        V161.
 
     SD_Card driver works also with software multiplier (with MSP430FR4133)
-    added SLEEP and (SLEEP) words enabling user access to background task, see ACCEPT in forthMSP430FR.asm and see use
-    in RC5toLCD.f
+    added SLEEP and (SLEEP) words enabling user access to background task, 
+    see ACCEPT in forthMSP430FR.asm and see use in RC5toLCD.f
 
     You can type double numbers by inserting a decimal point.
     Example :   $-12 is processed as 16 bits negative number.
                 $-.12 or $-1.2 or $-12. are processed as 32 bits negative numbers.
 
-    WARNING! XON/XOFF no longer works with new Prolific driver v3.8.12.0 (03/03/2017)...
-             Waiting next update, get /prog previous PL2303_Prolific_DriverInstaller_v1160.exe (or .zip).
-
        FAST FORTH V160, major version.
 
-    Accept SD_Card from 64 MB (FAT16) up to 64 GB (FAT32), excepted MSP-EXP430FR4133
+    Accept SD_Card from 64 MB (FAT16) up to 64 GB (FAT32). 
     Note that Windows 10 no longer offers the FAT32 format for the highest sizes of SD_CARD memory.
     So you must use an alternative to do, for example: https://www.partitionwizard.com.
     
 
     in SD_TOOLS the word SECT_D (dump sector) use a 32 bits number.
-                added the word CLUST_D (dump first sector of a cluster). Usage (notice the point): number. CLUST_D
+                added the word CLUST_D (dump first sector of a cluster). 
+                Usage (notice the point): number. CLUST_D
 
     PREVIOUS versions
        
@@ -140,26 +137,30 @@ What is new ?
     You can do it from scite editor (menu Tools) or by using specific bat file.
     Double click on it to see how to do.
        
-    JTAG and BSL signatures (FF80h-FF88h) are protected against overwrite, typically during source file download. 
+    JTAG and BSL signatures (FF80h-FF88h) are protected against overwrite, typically during 
+    source file download. 
     
     added signed number prefixes $ (hex), % (bin) and # (decimal) to supersede current BASE.
 
        Added words ASM and ENDASM to create assembler words that are not interpretable by FORTH
-    i.e. that are called by {CALL|INTERRUPT} and ended by {RET|RETI}. These so created words can be used only in ASSEMBLER context.
+    i.e. that are called by {CALL|INTERRUPT} and ended by {RET|RETI}. These so created words 
+    can be used only in ASSEMBLER context.
 
-       In the embedded assembler, added 3 backward BW1 BW2 BW3 and 3 forward FW1 FW2 FW3 jump labels to use with GOTO, ?GOTO.
+       In the embedded assembler, added 3 backward BW1 BW2 BW3 and 3 forward FW1 FW2 FW3 jump labels 
+    to use with GOTO, ?GOTO.
     These labels are for single use (one jump for one label) but immediately reusable once resolved.
        
        you can compile up to 32 threads vocabularies.
 
        Memory management :
        Fast Forth defines 4 levels of program memory with this words :
-               WIPE (and any system failure) that resets program memory, vectors interrupts and any DEFERred words,
-               RST_HERE / RST_STATE that sets / resets the boundary of program protected against <reset> and COLD,
-               PWR_HERE / PWR_STATE that sets / resets the boundary of program protected against power ON/OFF,
+               WIPE (and system failures) that resets program memory, vectors interrupts and any DEFERred words,
+               RST_HERE/RST_STATE that sets/resets the boundary of program protected against <reset> and COLD,
+               PWR_HERE/PWR_STATE that sets/resets the boundary of program protected against power ON/OFF,
                and nothing, i.e. volatile program.
 
-       You can download source files with hardware and/or software control flow (i.e. without line or char delays) up to:
+       You can download source files with hardware and/or software control flow (i.e. without line 
+    or char delays) up to:
                134400  bds @ 500kHz
         268800  bds @ 1MHz
         614400  bds @ 2MHz
@@ -172,10 +173,11 @@ What is new ?
        FAST FORTH can be adjusted by selection of SWITCHES in the source file to reduce its size according   
        to your convenience. To do, comment/uncomment their line.
 
-    for your application, select the mode LPM{0,1,2,3,4} that enables wake on FAST FORTH input, depending of family:
-    FR2xxx: LPM0, FR57xx : LPM0 to LPM2, FR59xx : LPM0 to LPM4.
+    for your application, select the mode LPM{0,1,2,3,4} that enables wake on FAST FORTH input, 
+    depending of family: FR2xxx: LPM0, FR57xx : LPM0 to LPM2, FR59xx : LPM0 to LPM4.
 
-    DEEP_RST (RESET + WIPE) can be hardware performed via the programmation interface (Vcc,RX,TX,RST,TEST,GND).
+    DEEP_RST (RESET + WIPE) can be hardware performed via the programmation interface 
+    (Vcc,RX,TX,RST,TEST,GND).
 
 
 Many thanks to Brad Rodriguez
@@ -210,44 +212,49 @@ And that's the magic: After I finished editing (or modify) the source file, I pr
 Content
 -------
 
-With a size of about 6 kb, Fast Forth contains 119 words:
-
-    ASM            CODE           HI2LO          COLD           WARM           (WARM)         WIPE           RST_HERE        
-    PWR_HERE       RST_STATE      PWR_STATE      MOVE           LEAVE          +LOOP          LOOP           DO              
-    REPEAT         WHILE          AGAIN          UNTIL          BEGIN          THEN           ELSE           IF              
-    ;              :              DEFER          DOES>          CREATE         CONSTANT       VARIABLE       POSTPONE        
-    RECURSE        IMMEDIATE      IS             [']            ]              [              \              '               
-    ABORT"         ABORT          QUIT           EVALUATE       COUNT          LITERAL        ,              EXECUTE         
-    >NUMBER        FIND           WORD           ."             S"             TYPE           SPACES         SPACE           
-    CR             (CR)           NOECHO         ECHO           EMIT           (EMIT)         ACCEPT         KEY         
-    (KEY)          C,             ALLOT          HERE           .              D.             U.             SIGN        
-    HOLD           #>             #S             #              <#             BL             STATE          BASE        
-    >IN            CPL            CIB            PAD            J              I              UNLOOP         U<         
-    >              <              =              0>             0<             0=             DABS           ABS        
-    NEGATE         XOR            OR             AND            -              +              C!             C@          
-    !              @              DEPTH          R@             R>             >R             ROT            OVER        
-    SWAP           NIP            DROP           ?DUP           DUP            LIT            EXIT
+With a size of about 6 kb, Fast Forth contains 115 words:
+
+    ASM            CODE           HI2LO          COLD           WARM           (WARM)         WIPE       
+    RST_HERE       PWR_HERE       RST_STATE      PWR_STATE      MOVE           LEAVE          +LOOP      
+    LOOP           DO             REPEAT         WHILE          AGAIN          UNTIL          BEGIN      
+    THEN           ELSE           IF             ;              :              DEFER          DOES>      
+    CREATE         CONSTANT       VARIABLE       POSTPONE       RECURSE        IMMEDIATE      IS         
+    [']            ]              [              \              '              ABORT"         ABORT      
+    QUIT           EVALUATE       COUNT          LITERAL        ,              EXECUTE        >NUMBER    
+    FIND           WORD           ."             S"             TYPE           SPACES         SPACE       
+    CR             (CR)           NOECHO         ECHO           EMIT           (EMIT)         ACCEPT     
+    KEY            (KEY)          C,             ALLOT          HERE           .              D.         
+    U.             SIGN           HOLD           #>             #S             #              <#        
+    BL             STATE          BASE           CIB            J              I              UNLOOP     
+    U<             >              <              =              0>             0<             0=         
+    DABS           ABS            NEGATE         1-             1+             -              +          
+    C!             C@             !              @              DEPTH          R@             R>         
+    >R             ROT            OVER           SWAP           NIP            DROP           ?DUP       
+    DUP            LIT            EXIT
 
 ...size that includes its embedded assembler of 71 words:
 
-    ?GOTO          GOTO           FW3            FW2            FW1            BW3            BW2            BW1         
-    ?JMP           JMP            REPEAT         WHILE          AGAIN          UNTIL          ELSE           THEN        
-    IF             0=             0<>            U>=            U<             0<             0>=            S<          
-    S>=            RRUM           RLAM           RRAM           RRCM           POPM           PUSHM          CALL        
-    PUSH.B         PUSH           SXT            RRA.B          RRA            SWPB           RRC.B          RRC         
-    AND.B          AND            XOR.B          XOR            BIS.B          BIS            BIC.B          BIC         
-    BIT.B          BIT            DADD.B         DADD           CMP.B          CMP            SUB.B          SUB         
-    SUBC.B         SUBC           ADDC.B         ADDC           ADD.B          ADD            MOV.B          MOV         
-    RETI           LO2HI          COLON          ENDASM         ENDCODE        (SLEEP)        SLEEP
+    ?GOTO          GOTO           FW3            FW2            FW1            BW3            BW2        
+    BW1            ?JMP           JMP            REPEAT         WHILE          AGAIN          UNTIL      
+    ELSE           THEN           IF             0=             0<>            U>=            U<         
+    0<             0>=            S<             S>=            RRUM           RLAM           RRAM       
+    RRCM           POPM           PUSHM          CALL           PUSH.B         PUSH           SXT       
+    RRA.B          RRA            SWPB           RRC.B          RRC            AND.B          AND        
+    XOR.B          XOR            BIS.B          BIS            BIC.B          BIC            BIT.B      
+    BIT            DADD.B         DADD           CMP.B          CMP            SUB.B          SUB         
+    SUBC.B         SUBC           ADDC.B         ADDC           ADD.B          ADD            MOV.B      
+    MOV            RETI           LO2HI          COLON          ENDASM         ENDCODE        (SLEEP)
+    SLEEP
 
-...everything you need to program effectively in assembly or FORTH or mix, as you want. See examples in \MSP430-FORTH.
+...everything you need to program effectively in assembly or FORTH or mix, as you want. 
+See examples in \MSP430-FORTH.
 
 
 Here are kernel compilation options:
 
 CONDCOMP which enable conditional compilation:
 
-    [DEFINED]      [UNDEFINED]    [IF]           [ELSE]         [THEN]         COMPARE        MARKER        
+    [DEFINED]      [UNDEFINED]    [IF]           [ELSE]         [THEN]         COMPARE        MARKER 
 
 SD\_CARD\_LOADER to load FORTH source files from SD_CARD
 
@@ -255,7 +262,8 @@ SD\_CARD\_LOADER to load FORTH source files from SD_CARD
 
 SD\_CARD\_READ\_WRITE to read write delete files and direct copy from TERMinal input to SD_card
 
-    TERM2SD"       SD_EMIT        WRITE          WRITE"         READ           READ"          CLOSE          DEL"         
+    TERM2SD"       SD_EMIT        WRITE          WRITE"         READ           READ"          CLOSE 
+    DEL"         
 
 BOOTLOADER adds a boot on SD_CARD
 
@@ -271,12 +279,13 @@ ADDs-ON below may be added either hard in kernel or later loaded/removed as any
 
 ANS\_CORE\_COMPLIANT necessary to pass ANS94 CORE tests
 
-    >BODY          SOURCE         .(             (              DECIMAL        HEX            FILL           +!           
-    [CHAR]         CHAR           CELL+          CELLS          CHAR+          CHARS          ALIGN          ALIGNED      
-    2OVER          2SWAP          2DROP          2DUP           2!             2@             */             */MOD        
-    MOD            /              /MOD           *              FM/MOD         SM/REM         UM/MOD         M*           
-    UM*            S>D            2/             2*             MIN            MAX            1-             1+          
-    RSHIFT         LSHIFT         INVERT         {ANS_COMP}
+    PAD            >IN            >BODY          SOURCE         .(             (              DECIMAL    
+    HEX            FILL           +!             [CHAR]         CHAR           CELL+          CELLS      
+    CHAR+          CHARS          ALIGN          ALIGNED        2OVER          2SWAP          2DROP      
+    2DUP           2!             2@             */             */MOD          MOD            /          
+    /MOD           *              FM/MOD         SM/REM         UM/MOD         M*             UM*        
+    S>D            2/             2*             MIN            MAX            RSHIFT         LSHIFT      
+    INVERT         AND            OR             XOR            {ANS_COMP}
   
 SD\_TOOLS, basic tools for FAT16/32 
 
@@ -284,8 +293,8 @@ SD\_TOOLS, basic tools for FAT16/32
 
 FIXPOINT, minimalist fixed point arithmetic + - * /
 
-    2CONSTANT      D>F            S>F            F.             F*             F#S            F/             F-          
-    F+             HOLDS          {FIXPOINT}
+    2CONSTANT      D>F            S>F            F.             F*             F#S            F/       
+    F-             F+             HOLDS          {FIXPOINT}
 
 UTILITY:
 
@@ -305,7 +314,8 @@ right clic on it to share it with yourself.
 
 remember its shared name i.e. : //myPC/users/my/FastForth.
 
-in file explorer then right clic on root to connect a network drive, copy shared name in drive name and choose a free drive letter a:, b: ...
+in file explorer then right clic on root to connect a network drive, copy shared name in drive name 
+and choose a free drive letter a:, b: ...
 
 Thus all relative paths will be linked to this drive, except the three \MSP430-FORTH\files.bat links.
 For all of them right clic select, select properties then check drive letter in target.
@@ -358,7 +368,7 @@ Build the program file
        - TargetInit.asm that selects the target.asm,
        - and then TERMINALBAUDRATE.asm.
 
-open it with scite editor
+open forthMSP430FR.asm with scite editor
 
 uncomment the target as you want, i.e. MSP_EXP430fr5969
 
@@ -370,29 +380,31 @@ save file.
 
 assemble (CTRL+0). A window asks you for 4 parameters:
 
-set device as first param, i.e. MSP_EXP430FR5969,
+set target as first param, i.e. MSP_EXP430FR5969,
 
-then execute. the output is a target.txt file, i.e. MSP_EXP430FR5969.txt
+then execute. the output will be MSP_EXP430FR5969.txt
 
 
 
 Load Txt file (TI format) to target
 -----------------------------------
 
-       drag your target.txt file and drop it on TARGETprog.bat
+       drag your target.txt file and drop it on prog.bat
 
-    or use scite internal command TOOLS:FET prog (CTRL+1).
+    or use scite internal command TOOLS: FET prog (CTRL+1).
 
 nota : programming the device use SBW2 interface, so UART0 is free for serial terminal use.
 
-If you want to program your own MSP430FRxxxx board, wire its pins TST, RST, 3V3 and GND to same pins of the launchpad, on eZ-FET side of the programming connector.
+If you want to program your own MSP430FRxxxx board, wire its pins TST, RST, 3V3 and GND 
+to same pins of the launchpad, on eZ-FET side of the programming connector.
 
 
 
 Connect the FAST FORTH target to a serial terminal
 -------------------------------------------------
 
-you will need an USBtoUART cable with a PL2303TA or PL2303HXD device that allows both XON/XOFF and hardware control flow :
+you will need an USBtoUART cable with a PL2303TA or PL2303HXD device that allows both XON/XOFF 
+and hardware control flow :
 
        http://www.google.com/search?q=PL2303TA
        http://www.google.com/search?q=PL2303HXD
@@ -416,41 +428,54 @@ or a USBtoUART bridge, with a FT232RL device and 3.3V/5V for only hardware contr
        http://www.google.com/search?q=FT232RL+module+3.3V
        http://www.ftdichip.com
 
-
+or compatible 921600bds wireless module: RN42 (bluesmirf), RN4878...
+       
 How to configure the connection ?
 -------------------------------
 
-1-    XON/XOFF control flow: Launchpad UARTn  <--> USBtoUART bridge with cp2102|PL2303TA/HXD chipset <--> TERATERM
+1-    XON/XOFF control flow: Launchpad UARTn  <--> USBtoUART bridge <--> TERATERM
 
-       UARTn <--> UART2USB
+   launchpad <--> UART2USB
          TXn ---> RX    
          RXn <--- TX    
-        (GND <--> GND)  
+         GND <--> GND  
                WARNING! DON'T CONNECT 5V RED WIRE! 
 
       TeraTerm configuration : see DTCforthMSP430fr5xxx.asm
 
 If you plan to supply your target vith a PL2303 cable, open its box to weld red wire onto 3.3V pad.
 
-2-    hardware control flow: Launchpad UARTn <--> USBtoUART bridge with FT232RL or PL2303TA/HXD <--> TERATERM
+2-    hardware control flow: Launchpad UARTn <--> USBtoUART bridge <--> TERATERM
  
-       UARTn <--> UART2USB
+   Launchpad <--> UART2USB
          TXn ---> RX    
          RXn <--- TX    
-         RTS ---> CTS    
-        (GND <--> GND)     
-               WARNING! select 3V3 ! 
-               WARNING! DON'T CONNECT 5V ! 
+         RTS ---> CTS  4th wire    
+         GND <--> GND     
+               WARNING! DON'T CONNECT 5V !
 
       TeraTerm configuration : see DTCforthMSP430fr5xxx.asm
 
 
-Send a source file to the FAST FORH target
-------------------
+3-    Bluetooth module: Launchpad UARTn <--> RN42 <--> TERATERM
+   launchpad <--> wireless module
+         TXn ---> RX    
+         RXn <--- TX    
+         RTS ---> CTS  4th wire
+         GND <--> GND     
+        (CTS <--- RTS) 5th wire if necessary   
+
+                3V3 <--> 3V3
+
+      TeraTerm configuration : see DTCforthMSP430fr5xxx.asm
 
-Three .bat files are done in \MSP430-FORTH that enable you to do all you want.
-Double clic on them to see how to do.
 
+Send a source file.f or file.4th to the FAST FORH target
+------------------
+
+Three bat files are done in \MSP430-FORTH that enable you to do all you want.
+drag and drop your source file on to.
 you can also open any source file with scite editor, and do all you want via its Tools menu.
 
 
@@ -462,13 +487,24 @@ First, hardware
 
 If you have MSP-EXP430FR5994, nothing to do.
 
-For the choice of a SD card socket be carefull, pin CD (Card Detect) must be present! google search: "micro SD card 9 pin"
+For the choice of a SD card socket be carefull, pin CD (Card Detect) must be present! 
+google search: "micro SD card 9 pin"
+Look for the good wiring in /Launchpad.asm file
+
+Compile with SD_Card addon
+--------------
+
+in forthMSP430FR.asm, uncomment lines SD_CARD_LOADER,  SD_CARD_READ_WRITE, SD_TOOLS 
+then compile for your target
+
+
 
 
 the commands
 ------------
 
-With the LOAD" pathame" command you load your source files from a SD_CARD memory in both execute and compile modes. Idem for READ", WRITE" and DEL" commands.
+With the LOAD" pathame" command you load your source files from a SD_CARD memory in both execute 
+and compile modes. Idem for READ", WRITE" and DEL" commands.
 
 See "SD_TESTS.f", a FORTH program done for example
 
@@ -491,7 +527,8 @@ HowTo READ a file
        READ" path\filename.ext".
 
 The first sector of this file is loaded in BUFFER.
-To read next sectors, use the command READ that loads the next sector in the buffer, and leaves on the stack a flag that is true when the EOF is reached. 
+To read next sectors, use the command READ that loads the next sector in the buffer, 
+and leaves on the stack a flag that is true when the EOF is reached. 
 The file is automatically closed. See tstwords.4th for basic usage.
 
 The variable BufferLen keep the count of bytes to be read (0 to 512).
@@ -503,7 +540,8 @@ HowTo WRITE a file
 
        WRITE" path\filename.ext".
 
-If the file does not exist, create it, else open it and set the write pointer at the end of the file, ready to append chars.
+If the file does not exist, create it, else open it and set the write pointer at the end of the file, 
+ready to append chars.
 
 See example of use in \MSP430-FORTH\SD_TEST.f.
 
@@ -546,7 +584,8 @@ The I2C\_Soft\_Master driver with normal/fast mode allows you to add then use an
 Other interesting specificities :
 =====
 
-Management of vocabularies (not ANSI). VOCABULARY, DEFINITIONS, ONLY, ALSO, PREVIOUS, CONTEXT, CURRENT, FORTH, ASSEMBLER. 
+Management of vocabularies (not ANSI):
+VOCABULARY, DEFINITIONS, ONLY, ALSO, PREVIOUS, CONTEXT, CURRENT, FORTH, ASSEMBLER. 
 In fact, it's the the assembler that requires the vocabularies management.
 
 Recognizing prefixed numbers %101011 (bin), $00FE (hex) and #220 (decimal).
@@ -560,9 +599,11 @@ The words DEFER and IS are implemented. CR, EMIT, KEY, ACCEPT, QUIT and WARM are
 Error messages are colored (reverse video on ANSI terminal).
 
 Assembly jumps are as FORTH one's : IF, ELSE, THEN, BEGIN, AGAIN, UNTIL, WHILE.
-Not canonical jumps are also available with JMP|?JMP to a defined word and GOTO|?GOTO to backward labels BW1 BW2 BW3 or forward labels FW1 FW2 FW3.
+Not canonical jumps are also available with JMP|?JMP to a defined word and GOTO|?GOTO to 
+backward labels BW1 BW2 BW3 or forward labels FW1 FW2 FW3.
 These labels are for one use.
-Switch  within definitions between FORTH and Assembly contexts with words HI2LO and LO2HI. See examples in the TstWords.f file. This is perhaps the most interesting feature for development...
+Switch  within definitions between FORTH and Assembly contexts with words HI2LO and LO2HI. 
+See examples in the TstWords.f file. This is perhaps the most interesting feature for development...
 
 
 The system is not responding ?
@@ -570,9 +611,11 @@ The system is not responding ?
 
 First, swich off then switch on. FORTH restarts as it was after the last PWR\_HERE command.
 
-If the system is not restarted, press <reset> button on the MSP-EXP430FR5xxx ; FORTH restarts as it was after the last RST_HERE command.
+If the system is not restarted, press <reset> button on the MSP-EXP430FR5xxx ; FORTH restarts 
+as it was after the last RST_HERE command.
 
-If the system does not restart again, wire the TERMINAL TX pin to GND via 4k7 resistor then <reset> ; FORTH restarts as it is in the HEX file.
+If the system does not restart again, wire the TERMINAL TX pin to GND via 4k7 resistor then <reset> ; 
+FORTH restarts as it is in the HEX file.
 Equivalent word : COLD + WIPE.
 
 Here is the FastForth init architecture :
@@ -585,11 +628,11 @@ Here is the FastForth init architecture :
 
        case 1.1 : when you type PWR_STATE ==> the program beyond PWR_HERE is lost.
 
-       case 1.2 : If an error message (reverse video) occurs, PWR_STATE is automatically executed and the program beyond
-               PWR_HERE is lost. In this way, any compilation error is followed by the complete erasure of the 
-               uncompleted word, or by that of the downloading source file causing this error. 
-               So, it is recommended to finish a source file with at least PWR_HERE to protect it against any
-               subsequent error.
+       case 1.2 : If an error message (reverse video) occurs, PWR_STATE is automatically executed 
+               and the program beyond PWR_HERE is lost. In this way, any compilation error is 
+               followed by the complete erasure of the uncompleted word, or by that of
+               the downloading source file causing this error. So, it is recommended to finish 
+               a source file with at least PWR_HERE to protect it against any subsequent error.
 
        case 2 : <reset>  ==> performs reset and the program beyond RST_HERE is lost.
                         if ECHO is on, the WARM display is preceded by the SYSRSTIV value "4", else no display.
@@ -603,8 +646,8 @@ Here is the FastForth init architecture :
        case 3 : when you type WIPE ==> all programs donwloaded from the terminal or the SD_Card are lost.
 
 
-       case 4 : TERM_TX wired to GND via 4k7 during <reset> = DEEP_RST ===> performs reset, and all programs donwloaded
-             from the terminal or the SD_Card are lost. The WARM display is preceded by "-4". 
+       case 4 : TERM_TX wired to GND via 4k7 during <reset> = DEEP_RST ===> performs reset, and all programs 
+             donwloaded from the terminal or the SD_Card are lost. The WARM display is preceded by "-4". 
        
        case 4.1 : software reset on failure (SYSRSTIV = 0Ah | SYSRSTIV >= 16h) ===> same effects
                           The WARM display is preceded by the SYSRSTIV value.
@@ -612,40 +655,13 @@ Here is the FastForth init architecture :
        case 4.2 : writing -1 in SAVE_SYSRSTIV before COLD = software DEEP_RST ===> same effects
                           The WARM display is preceded by "-1".
 
-       case 5 : after FAST FORTH core compilation, the WARM displays SAVE_SYSRSTIV = 3. User may use this information
-             before WARM occurs.
-
-
-If SD\_CARD extention and SD\_CARD memory with \BOOT.4TH included, the cases 1 to 4 start it after displaying of WARM message. 
-
-
-EMBEDDED ASSEMBLER
-======
+       case 5 : after FAST FORTH core compilation, the WARM displays SAVE_SYSRSTIV = 3. User may use this
+             information before WARM occurs.
 
-With the preprocessor GEMA and the file MSP430FR\_FastForth.pat, the embedded assembler allows access to all system variables. See \\config\\gema\\MSP430FR\_FastForth.pat. You can also access to VARIABLE, CONSTANT or DOES type words in immediate (#), absolute (&) and indexed (Rx) assembly modes.
 
-Clearly, after the instruction "MOV &BASE,R6", R6 equals the contents of the FORTH variable "BASE", and after "MOV #BASE,R6" R6 contains its address.
+If SD\_CARD extention and SD\_CARD memory with \BOOT.4TH included, the cases 1 to 4 start it 
+after displaying of WARM message. 
 
-If you want to create a buffer of 8 bytes (4 words) :
-
-       CREATE BUFFER_OUT 8 ALLOT
-the access to this buffer is done by :
-
-       MOV #BUFFER_OUT,R8
-       MOV.B @R8,R9
-with R8 as org address of the buffer.
-
-Or by indexed addressing :
-
-       MOV.B BUFFER_OUT(R8),R9
-with R8 register as buffer pointer.
-
-see \MSP430-FORTH\TESTASM.4th.
-
-What is the interest of a very fast baud rate ?
----------------------
-
-This seems obvious: you can edit a source program and then test it immediatly on the target: above, from my text editor, the download, compile and start are done in less than 1 sec.
 
 VOCABULARY ADD-ON
 ====
@@ -654,7 +670,8 @@ These words are not ANS94 compliant, they are those of F83 standard.
 
 For example, after loading SD_TOOLS add-on, you can type: ALSO ASSEMBLER WORDS PREVIOUS WORDS
 
-    With ALSO ASSEMBLER, the vocabulary ASSEMBLER is added to the search CONTEXT thus the ASSEMBLER words become visible
+    With ALSO ASSEMBLER, the vocabulary ASSEMBLER is added to the search CONTEXT thus the ASSEMBLER words
+    become visible
     
     WORDS display the words of ASSEMBLER then those of FORTH,
 
@@ -673,3 +690,749 @@ The vocabulary ASSEMBLER is added to the search CONTEXT as previously but also b
 Finally, FORTH ONLY DEFINITIONS limits the search CONTEXT to FORTH and the CURRENT vocabulary is FORTH. 
  
 
+EMBEDDED ASSEMBLER
+======
+
+With the preprocessor GEMA the embedded assembler allows access to all system variables. 
+See files \\config\\gema\\MSP430FRxxxx\_FastForth.pat. 
+You can also access to VARIABLE, CONSTANT or DOES type words.
+see \MSP430-FORTH\TESTASM.4th.
+
+
+
+HOW TO MIX assembly and FORTH ?
+---
+
+FAST FORTH knows two kinds of words :
+
+    low level assembly words starting with CODE <name> and end with ENDCODE.
+
+    high level FORTH words beginning with : <name> and end with ;
+
+
+Examples
+
+    CODE ADD    \ Assembly word "ADD", alias of word +
+        ADD @PSP+,TOS
+        MOV @IP+,PC
+    ENDCODE
+
+
+    : NOOP      \ FORTH word "NOOP", do nothing
+        DUP
+        DROP
+    ;
+
+
+
+To end a low level assembly word, the instruction MOV @IP+,PC jumps to the next FORTH word. 
+This faster (4 cycles) and shorter (one word) instruction replaces the famous pair of assembly 
+instructions : CALL #LABEL ... RET (4+4 cycles, 2+1 words). The register IP is the Interpretative Pointer. 
+
+High level FORTH word starts with a boot code DOCOL that save the IP pointer, load it with the first address
+of a list of execution addresses, then perform a postincrement branch to this first address. 
+The list ends with the address of another piece of code EXIT (6 cycles) that restores IP before the instruction MOV @IP+,PC.
+
+
+here, the compilation of low level word ADD :
+
+                    header          \ compiled by the word CODE
+    execution addr  ADD @PSP+,TOS
+                    MOV @IP+,PC     \ instruction called NEXT
+
+and the one of the high level word NOOP :
+
+                    header          \ compiled by the word :
+    execution addr  PUSH IP         \ boot code compiled by the word :
+                    CALL rEXIT      \ boot code compiled by the word :
+                    addr of DUP     \ execution addr of DUP
+                    addr of DROP    \ execution addr of DROP
+                    addr of EXIT    \ execution addr of EXIT compiled by the word ;
+
+
+_A high level FORTH word is a list of execution addresses preceded by a boot code and ending with EXIT address._
+
+
+WRITING RULES
+--
+
+any low level FORTH words must be ended with the instruction MOV @IP+,PC (NEXT).
+
+        CODE TEST               \ CODE starts a low level word
+            asm1                \ assembly instruction 1
+            asm2                \ assembly instruction 2
+            MOV @IP+,PC         \ NEXT
+        ENDCODE                 \ end of low level word
+
+
+If you want to use the IP register, save it before and restore it before NEXT
+
+        CODE TEST1              \ CODE starts a low level word
+            asm1                \ assembly instructions
+            ...
+            PUSH IP             \ save IP before use
+            MOV #1,IP           \ assembly instruction that uses IP
+            ...                 \ assembly instructions
+            MOV @RSP+,IP        \ restore IP
+            MOV @IP+,PC         \ NEXT
+        ENDCODE                 \ end of low level word
+
+
+A little more complex, the case of mixing FORTH and assembly that is enabled by the words HI2LO, LO2HI and COLON
+
+        : MIX_FORTH_ASM         \ definition of a FORTH word starts with :
+            SWAP
+            DUP
+        HI2LO                   \ FORTH to assembler switch
+            asm1                \ assembly instruction
+            asm2                \ assembly instruction
+            ...                 \ you can freely use IP !
+            ...                 \ assembly instructions
+            MOV @RSP+,IP        \ restore IP
+            MOV @IP+,PC         \ NEXT
+        ENDCODE                 \ end of low level word
+    
+If we see the code "MIX\_FORTH\_ASM" after compilation :
+
+            header              \ compiled by :
+    exec@   PUSH IP             \ save IP compiled by :
+            CALL rEXIT          \ execute EXIT compiled by :
+            addr                \ execution addr of SWAP
+            addr                \ execution addr of DUP
+            next addr           \ addr of asm1, compiled by HI2LO
+            asm1                \ assembly instruction
+            asm2                \ assembly instruction
+            ...                 \ you can freely use IP !
+            ...                 \ assembly instructions
+            MOV @RSP+,IP        \ restore IP saved by :
+            MOV @IP+,PC         \ NEXT
+
+the instruction "CALL rEXIT" (CALL R7), have EXIT address as rEXIT content.
+
+
+going a step further :
+
+        CODE MIX_ASM_FORTH      \ CODE starts a low level word
+            asm1                \ assembly instruction 1
+            asm2                \ assembly instruction 2
+        COLON                   \ starts high level
+            word1
+            word2
+        ;                       \ end of high level word
+
+
+If we see this code "MIX\_ASM\_FORTH" after compilation :
+
+            header              \ compiled by CODE
+    exec@   asm1                \ assembly instruction 1
+            asm2                \ assembly instruction 2
+            PUSH IP             \ save IP compiled by COLON
+            CALL rEXIT          \ execute EXIT compiled by COLON
+            addr1               \ of word1
+            addr2               \ of word2
+            addr of EXIT        \ the word ; compiles EXIT that restores IP then executes MOV @IP+,PC
+
+
+EXIT is used twice !
+
+the first time, at the start of FORTH word, after save IP:
+
+    EXIT    MOV @RSP+,IP    \ 2 pop into IP the PC pushed on return stack by CALL rEXIT
+            MOV @IP+,PC     \ 4 execute the routine at addr1 next "CALL rEXIT" 
+
+then at the end of FORTH word :
+
+    EXIT    MOV @RSP+,IP    \ 2 pop old IP from return stack
+            MOV @IP+,PC     \ 4 execute the routine pointed by the old IP
+
+
+A new step
+
+        : MIX_FORTH_ASM_FORTH   \ definition of a FORTH word starts with :
+            word1
+            word2
+            ...
+        HI2LO                   \ FORTH to assembler switch
+            MOV #0,IP           \ IP is free for use
+            asm1
+            ...
+        LO2HI                   \ assembler to FORTH switch
+            word3
+            word4
+        ;                       \ end of high level word
+
+the compiled result    
+
+            header              \ compiled by :
+    exec@   PUSH IP             \ save IP compiled by :
+            CALL rEXIT          \ move next PC from return stack into IP, compiled by :
+            addr1               \ of word1
+            addr2               \ of word2
+            ...
+            next addr           \ compiled by HI2LO
+            MOV #0,IP           \ IP is free for use
+            asm1                \ assembly instruction
+            ...
+            CALL rEXIT          \ compiled by LO2HI (10 cycles switch)
+            addr3               \ of word3
+            addr4               \ of word4
+            addr5               \ of EXIT
+
+Still another step : 
+
+        CODE MIX_ASM_FORTH_ASM  \ CODE starts a low level word
+            asm1                \ assembly instruction
+            asm2                \ assembly instruction
+        COLON                   \ starts high level
+            word
+            ... 
+        HI2LO                   \ FORTH to assembler switch
+            asm3                \ assembly instruction
+            asm4                \ assembly instruction
+            MOV @RSP+,IP        \ restore IP
+            MOV @IP+,PC         \ NEXT
+        ENDCODE                 \ end of low level word
+
+In fact, an exclusive of FAST FORTH, the start of a word FORTH can be placed anywhere :
+
+        CODE MIX_ASM_FORTH_ASM_FORTH
+            asm
+            asm
+            ...
+        COLON                   \ starts high level
+            word
+            word
+            ...
+        HI2LO                   \ FORTH to assembler switch
+            asm
+            asm
+           ...
+        LO2HI                   \ assembler to FORTH switch
+            word
+            word
+            ...
+        ;                       \ end of high level word
+
+with the compiled result :
+
+            header              \ compiled by CODE
+    exec@   asm
+            asm
+            PUSH IP             \ compiled by COLON
+            CALL rEXIT          \ compiled by COLON
+            addr
+            addr
+            next address        \ compiled by HI2LO
+            asm
+            asm
+            CALL rEXIT          \ compiled by LO2HI
+            addr
+            addr
+            EXIT addr           \ that restores IP from return stack and then executes MOV @IP+,PC
+
+As we see, IP is saved only once, it's logical.                      
+
+
+ASSEMBLY WITHOUT LABEL ?
+---
+
+Yes ! the assembly syntax borrows FORTH's one for jumps :
+
+    CODE TEST_IF_THEN
+        CMP #1,R8           \ set Z,N,V, flags
+        0= IF               \ irritating, the "IF =" upside down, isn't it?
+            ADD R8,R9       \ true part of comparaison
+        THEN                    
+        ...                 \ the next
+        MOV @IP+,PC         \ don't forget...
+    ENDCODE                 \ don't forget...
+
+and the complete version :
+
+    CODE TEST_IF_ELSE_THEN
+        CMP #1,R8           \ set Z,N,V, flags
+        0= IF               \
+            ADD R8,R9       \ true part of comparaison
+        ELSE
+            SUB R8,R9       \ false part of comparaison
+        THEN                    
+        ...                 \ following for the two branches
+        MOV @IP+,PC         \ don't forget...
+    ENDCODE                 \ don't forget...
+
+test for loop back version BEGIN ... UNTIL
+                            
+    CODE TEST_BEGIN_UNTIL
+        MOV #8,R10
+        BEGIN           
+            SUB #1,R10      \ set Z,N,V flags
+        0= UNTIL            \ loop back to BEGIN if flag Z is not set
+        ... 
+        MOV @IP+,PC
+    ENDCODE
+
+test for out of loop version BEGIN ... WHILE ... REPEAT
+
+    CODE TEST_BEGIN_WHILE_REPEAT
+        MOV #8,R10
+        BEGIN
+            SUB #1,R10      \ set Z,N,V flags
+        0<> WHILE           \ go to out of loop if X=0 (Z flag =1)
+            XOR #1,R9   
+        REPEAT              \ unconditionnal loop back to BEGIN 
+        ...                 \ out of loop here
+        MOV @IP+,PC
+    ENDCODE
+
+infinite loop :
+
+    CODE TEST_BEGIN_AGAIN
+        BEGIN
+            ADD #1,R9
+        AGAIN               \ unconditionnal loop back to BEGIN 
+    ENDCODE
+
+to quit this infinite loop, press <reset> 
+
+
+We can nest several conditional branches :
+
+    CODE TEST_NESTED_IF_ELSE
+        CMP #0,R10
+        0= IF
+            CMP #0,R10
+            0= IF
+                MOV #0,R11
+            ELSE
+                SUB #1,R11
+            THEN
+        ELSE
+            MOV #1,R11
+        THEN
+        MOV @IP+,PC
+    ENDCODE
+    
+another nest :
+
+    CODE TEST_NESTED_BEGIN_AGAIN_IF
+        MOV #8,R9
+        BEGIN
+            CMP #-1,R9
+            0= IF   
+                MOV @IP+,PC \ out of test_NESTED_BEGIN_AGAIN_IF
+            THEN
+            SUB #1,R9
+        AGAIN
+    ENDCODE
+
+
+you can also MIX conditional branches with a mix of FORTH/assembly :
+
+    see TEST5 in the demo file \MSP430-FORTH\TESTASM.4TH
+
+
+...but not quite !
+---
+
+unconditionnal backward jump :
+
+        CODE UNCOND_BACKWARD
+            asm
+            asm
+            JMP TEST        \ jump backward to the predefined word TEST
+        ENDCODE
+
+conditionnal backward jump :
+
+        CODE COND_BACKWARD
+            asm
+            CMP #0,R8
+            S< ?JMP TEST    \ jump backward to TEST if negative
+            asm
+            MOV @IP+,PC
+        ENDCODE
+
+FAST FORTH have one pass assembler, not able to make forward jump.
+
+I have added possibility of several "non canonical" jumps, up to 3 backward and up to 3 forward imbricated jumps to label :
+
+    \ C UM/MOD   udlo|udhi u1 -- ur uq
+    CODE UM/MOD
+        MOV @PSP+,W     \ 2 W = DIVIDENDhi
+        MOV @PSP,S      \ 2 S = DIVIDENDlo
+    \ T.I. ROUTINE  Section 5.1.5 of MSP430 Family Application Reports
+        MOV #0,Y        \ 1 CLEAR RESULT
+        MOV #16,X       \ 2 INITIALIZE LOOP COUNTER
+    BW1 CMP TOS,W       \ 1
+        U< ?GOTO FW1    \ 2 if not carry
+        SUB TOS,W       \ 1 if carry DIVIDENDhi-divisor
+    FW1                 \   FW1 label is resolved therefore reusable
+    BW2 ADDC Y,Y        \ 1 RLC quotient
+        U>= ?GOTO FW1   \ 2 if carry Error: result > 16 bits
+        SUB #1,X        \ 1 Decrement loop counter
+        <0 ?GOTO FW2    \ 2 if 0< terminate w/o error
+        ADD S,S         \ 1 RLA DIVIDENDlo
+        ADDC W,W        \ 1 RLC DIVIDENDhi
+        U< ?GOTO BW1    \ 2 if not carry    14~ loop
+        SUB TOS,W       \ 1 if carry DIVIDENDhi-divisor
+        BIS #1,SR       \ 1 SETC
+        GOTO BW2        \ 2                 14~ loop
+    FW2 BIC #1,SR       \ 1 CLRC  No error, C = 0
+    FW1                 \  Error indication in C
+    \ END T.I. ROUTINE  Section 5.1.5 of MSP430 Family Application Reports
+        MOV W,0(PSP)    \ 3 remainder on stack
+        MOV Y,TOS       \ 1 quotient in TOS
+        MOV @IP+,PC     \ 4
+    ENDCODE
+
+
+SYMBOLIC ASSEMBLER ? YES !
+--
+
+I have discovered a little semantic preprocessor "GEMA", just like that FAST FORTH have its symbolic assembler !
+
+    \config\gema\MSP430FRxxxx_FastForth.pat contains system variables for all devices
+    \config\gema\MSP430FR57xx.pat contains declarations for FR57 family
+    \config\gema\MSP430FR5x6x.pat ... for FR59/FR69 families
+    \config\gema\MSP430FR2x4x.pat ... for FR2/FR4 families.
+    \config\gema\DEVICE.pat contains memory map and vectors for a specified DEVICE
+    \MSP430-FORTH\LAUNCHPAD.pat is the I/O config file for specific LAUNCHPAD or application
+
+gema translates also FORTH registers in ASM registers (R0 to R15)
+
+If you have created a network drive from your local gitlab directory, it's easy :
+with scite editor open a file.f, then select in the menu "tools" the items "preprocess..." 
+
+furnished examples : see \MSP430-FORTH\
+Enjoy !
+
+Try SD\_TEST.f to build a SD\_Card test.
+
+
+COMPILE FAST FORTH FOR YOUR MODULE
+--
+
+The principle is to create (or modify) first existing configuration files only to compile FAST FORTH.
+
+1- in forthMSP430FR.asm "TARGET configuration SWITCHES"  create a line for your target, example:
+
+    ;MY_MSP430FR5738_1 ; compile for my own MSP430FR5738 miniboard
+
+2- in Target.inc add one item:
+
+        .IFDEF MY_MSP430FR5738_1
+        .warning "Code for MY_MSP430FR5738_1"
+    DEVICE = "MSP430FR5738" ; for family.inc file below, defines your device
+    ;CHIP  .equ 5738 ; not used
+    UCA0_UART   ; for family.inc file below, defines uart used by FORTH input terminal 
+    LF_XTAL     ; for family.inc file below, defines if your module have a 32768 Hz xtal, to enable it.
+    UCB0_SD     ; for family.inc file below, defines UC used for SD Card driver if used
+        .include "MSP430FR57xx.inc"  ; include family declarations file: MSP430FR2x4x.inc, 
+                                    MSP430FR57xx.inc or MSP430FR5x6x.inc
+        .ENDIF  ; MY_MSP430FR5738_1
+
+3- complete family.inc file with declarations for your device if not exists. 
+   take care to verify they not already exist in common part at the end of the file.
+
+4- include an item in TargetInit.asm:
+    .IFDEF MY_MSP430FR5738_1
+    .include "MSP430FR5738_1.asm"
+    .ENDIF
+
+5- create your target MSP430FR5738_1.asm from another target.asm as model, then customize declarations.
+
+
+6- if you use SD Card you must add an item in the forthMSP430FR_SD_INIT.asm file. Proceed as target.asm:
+
+        .IFDEF MY_MSP430FR5738_1
+    
+    ; COLD default state : Px{DIR,SEL0,SEL1,SELC,IE,IFG,IV} = 0 ; PX{OUT,REN} = 1 ; Px{IN,IES} = ?
+    
+    ; P2.3 as SD_CD
+    SD_CD           .equ  08h
+    SD_CDIN         .equ  P2IN
+    ; P2.4 as SD_CS
+    SD_CS           .equ  10h
+    SD_CSOUT        .equ  P2OUT
+    
+        BIS.B #SD_CS,&P2DIR ; SD_CS output high
+    
+    ; P2.2/UCB0CLK                ---> SD_CardAdapter CLK (SCK)   default value
+    ; P1.6/UCB0SIMO/UCB0SDA/TA0.0 ---> SD_CardAdapter SDI (MOSI)  default value
+    ; P1.7/UCB0SOMI/UCB0SCL/TA1.0 <--- SD_CardAdapter SDO (MISO)  default value
+        BIS #04C0h,&PASEL1  ; Configure UCB0 pins: P2.2 as UCB0CLK, P1.6 as UCB0SIMO & P1.7 as UCB0SOMI
+                            ; P2DIR.x is controlled by eUSCI_B0 module
+        BIC #04C0h,&PAREN   ; disable pullup resistors for SIMO/SOMI/CLK pins
+    
+        .ENDIF
+
+Then, for the needs of syntactic preprocessor:
+
+1- create a \config\gema\device.pat file if not exist, from analog device.pat file
+
+2- create your MSP430-FORTH\target.pat file from analog target.pat file, include same forth declarations as target.asm and complete it for your application
+
+Best practice, I suggest you that all digital pins you define (input or output) in your projects have their idle state high, with external pull up resistor
+
+
+START YOUR PROJECT
+--
+
+How to start your project ?
+
+I show you, assuming you are working from the scite editor with its enhanced tools menu.
+
+First you create two files : project.f and test.f
+
+PROJECT.f :
+
+    ; ----------------------------------------------------
+    ; MSP430FR5969 MSP_EXP430FR5969 8MHZ 921600bds PROJECT
+    ; ----------------------------------------------------
+    WIPE        ; restore the content of your target.txt HEX file
+
+here you append your already tested routines :
+
+    CODE FIRST  \ assembler CODE words are FORTH executable
+        ...
+    MOV @IP+,PC \ NEXT
+    ENCODE
+
+    ASM TWO     \ assembler ASM words are not FORTH executable and can only be used in assembler mode
+        ...     \ used to define interrupt routines, or subroutines as here.
+    RET
+    ENDASM
+
+    CODE THREE
+        ...
+    CALL #TWO   \ CALL only ASM words (finishing with RET(I))...
+        ...
+    MOV @IP+,PC \ NEXT
+    ENCODE
+
+    ASM WDT_INT             \ interrupt routine
+        ...
+        ...
+    BIC #WDTIFG,&SFRIFG1    \ reset WDT_INT flag
+    BIC #$F8,0(RSP)         \ set CPU ON and GIE OFF in retiSR
+    RETI                    \   
+    ENDASM
+
+    ;
+
+then finish with this 2 "magic" words plus one optional : START, STOP and optional BACKGROUND
+
+    ASM BACKGROUND          \ (optional)
+        ...                 \ insert here your background task
+        ...
+        ...
+    MOV #(SLEEP),PC         \ Must be the last statement of BACKGROUND
+    ENDASM                  \
+
+    CODE START              \ to init your app
+        ...                 \ init assembly part
+    
+
+    MOV #SLEEP,X            \ redirect default background task to yours (optional)
+    MOV #BACKGROUND,2(X)    \
+
+    COLON
+        ...                 \ init FORTH part
+    
+    \   NOECHO              \ uncomment if your app runs without terminal
+        LIT RECURSE IS WARM \ insert START (so your init app) in the FORTH init process
+        (WARM)              \ then continue the FORTH init process
+    ;
+
+
+    CODE STOP               \ to properly stop your app
+        MOV #SLEEP,X        \ restore the default background (optional)
+        MOV #(SLEEP),2(X)   \ (words SLEEP and (SLEEP) can only be used in assembler mode)
+                            \ (thus "['] (SLEEP) IS SLEEP" don't works.)
+    COLON
+        ['] (WARM) IS WARM  \ remove START from FORTH init process 
+        ECHO                \ to retrieve FORTH input terminal
+        COLD                \ reset CPU, interrupt vectors and restart FORTH.
+    ;
+
+
+                ; compiling is done
+    RST_HERE    ; thus allowing to restart your app with <reset> or COLD
+    START       ; let's go!
+
+end of file
+
+
+Each time you download this project file in LAUNCHPAD, the word WIPE returns the dictionary set as it was in TXT file. 
+And the word RST_HERE protects the PROJECT against <RESET\>. 
+
+The word START allows to include your app init into FORTH's one.
+The word STOP unlink your app.
+
+Look at the file RC5toLCD.f to retrieve this structure.
+
+
+
+TEST.f :
+
+    \ ----------------------------------
+    \ MSP-EXP430FR5969_8MHZ_TEST.f
+    \ ----------------------------------
+    RST_STATE   \ restore the state defined by PROJECT.f
+
+    here you write your routine to test
+    
+    CODE TEST
+    ...
+    ...
+    MOV @IP+,PC
+    ENDCODE
+
+
+    PWR_HERE    \ test.f content is protected against POWER OFF, but volatile with <reset>
+
+
+
+Each time you download this test file, the word RST\_STATE returns the <RESET\> dictionary set (i.e. PROJECT). The word PWR\_HERE protects the test against POWER OFF. without the word PWR\_HERE, the test is lost when power down.
+
+let's go
+--
+
+With the SCITE menu tools : send a file.f, you download first your project.f file, then your test.f file that include the routine to test.
+
+If the test don't work, modify it in the test.f file, then reload it.
+
+When the routine "test" works as you want, you cut it in test.f file and copy it in project.f, then when you reload it, test is done !
+
+Good luck !
+
+
+
+Case of MSP430FR2xxx family (with FLL)
+---
+
+
+Difficult to download CORETEST.4th on CHIPSTICK @ 8MHz without error (tested with USBtoUART device = CP2102).
+
+To resolve, I was forced to speed the clock up to 8.29 MHz ! (see ChipStick_fr2433.inc) 
+
+And there is no this problem @ 16MHz !
+
+Is a problem that affects this device only, or corrupt TLV area during welding?
+
+If you ever encounter the same difficulty, recompile + download CORETEST.4th several times by increasing each time by 2 the FLLN value until you reach the good compromising...
+
+
+ANNEXE
+--
+
+The embedded assembler don't recognize the (useless) TI's symbolic addressing mode: ADD.B EDE,TONI.
+
+REGISTERS correspondence
+
+    embedded ASM    TI      FASTFORTH   comment 
+                             
+        R0          PC      PC          Program Counter
+        R1          SP      RSP         Return Stack Pointer
+        R2          SR/CG1  SR          Status Register/Constant Generator 1
+        R3          CG2                 Constant Generator 2
+        R4          R4      rDODOES     contents address of xdodoes   
+        R5          R5      rDOCON      contents address of xdocon    
+        R6          R6      rDOVAR      contents address of RFROM           
+        R7          R7      rEXIT       contents address of EXIT            
+        R8          R8      Y           scratch register
+        R9          R9      X           scratch register
+        R10         R10     W           scratch register
+        R11         R11     T           scratch register
+        R12         R12     S           scratch register      
+        R13         R13     IP          Interpretation Pointer
+        R14         R14     TOS         Top Of parameters Stack
+        R15         R15     PSP         Parameters Stack Pointer
+
+    FASTFORTH registers must be preprocessed by gema.exe before sending to the embedded assembler.
+
+REGISTERS use
+
+    The FASTFORTH registers rDOCOL, rDOVAR, rDOCON and rDODOES must be preserved, 
+    PUSHM R7,R4 before use and POPM R4,R7 after.
+    don't use R3 and use R2 only with register addressing mode.
+
+
+PARAMETERS STACK use
+
+    The register TOS (Top Of Stack) is the first cell of the Parameters stack. 
+    The register PSP (Parameters Stack Pointer) points the second cell.
+
+    to push one cell on the PSP stack :
+
+        SUB #2,PSP                  \ insert a empty 2th cell
+        MOV TOS,0(PSP)              \ mov first cell in this empty 2th cell
+        MOV <what you want>,TOS     \ or MOV.B <what you want>,TOS ; i.e. in first cell
+        ...
+
+    to pop one cell from the PSP stack :
+
+        MOV @PSP+,TOS               \ first cell TOS is lost
+        ...
+
+    don't never pop a byte with instruction MOV.B @PSP+, ...
+
+RETURN STACK use
+
+    register RSP is the Return Stack Pointer (SP).
+
+    to push one cell on the RSP stack :
+
+        PUSH <what you want>        \
+        ...
+
+    to pop one cell from the RSP stack :
+
+        MOV @RSP+,<where you want>   \
+        ...
+
+    don't never pop a byte with instruction MOV.B @RSP+, ...
+
+
+    to push multiple registers on the RSP stack :
+
+        PUSHM Rx,Ry                 \ x > y 
+        ...
+
+    to pop multiple registers from the RSP stack :
+
+        POPM Ry,Rx                  \ y < x
+        ...
+
+CPUx instructions PUSHM / POPM (my own syntax, not the TI's one, too bad :-)
+
+    PUSHM order : PSP,TOS,IP, S, T, W, X, Y, R7, R6, R5, R4
+
+    example : PUSHM IP,Y    \ push IP, S, T, W, X, Y registers onto the stack RSP
+
+
+    POPM  order : R4, R5, R6, R7, Y, X, W, T, S, IP,TOS,PSP
+
+    example : POPM Y,IP     \ pop Y, X, W, T, S, IP registers from the stack RSP
+
+    error occurs if bad order (PUSHM Y,IP for example)
+
+
+CPUx instructions RRCM,RRAM,RLAM,RRUM
+    
+    example : RRUM #3,R8      \ R8 register is Unsigned Right shifted by n=3
+
+    error occurs if 1 > n > 4
+
+
+conditionnal jumps use with symbolic assembler
+
+    0=    { IF UNTIL WHILE ?JMP ?GOTO }
+    0<>   { IF UNTIL WHILE ?JMP ?GOTO }   
+    U>=   { IF UNTIL WHILE ?JMP ?GOTO }   
+    U<    { IF UNTIL WHILE ?JMP ?GOTO }    
+    S<    { IF UNTIL WHILE ?JMP ?GOTO }    
+    S>=   { IF UNTIL WHILE ?JMP ?GOTO }   
+    0>=   { IF UNTIL WHILE }
+    0<    { ?JMP ?GOTO } 
+
index e78e085..7e4dc50 100644 (file)
              MOV     #5,     &TERMBRW
              MOV.W   #04900h,&TERMMCTLW
 
-;        .CASE 4000000 ; CP2102 baudrate
-;; Configure UART0 @ 4000000 bauds / 16MHz
-;; N = 16000000/4000000 = 4... ==> {UCOS16=0, UCBR0=int(N)=0, UCBRF0=dont_care=0, UCBRS0=fn(frac(N))=fn(0.0000000)=0
-;; TERMBRW=UCBR0, TERMMCTLW= (UCBRS0<<8)|(UCBRF0<<4)|UCOS16
-;             MOV     #4,     &TERMBRW
-;             MOV.W   #00100h,&TERMMCTLW
+        .CASE 4000000 ; PL203HXD baudrate
+; Configure UART0 @ 4000000 bauds / 16MHz
+; N = 16000000/4000000 = 4... ==> {UCOS16=0, UCBR0=int(N)=0, UCBRF0=dont_care=0, UCBRS0=fn(frac(N))=fn(0.0000000)=0
+; TERMBRW=UCBR0, TERMMCTLW= (UCBRS0<<8)|(UCBRF0<<4)|UCOS16
+             MOV     #4,&TERMBRW
+             MOV.W   #0,&TERMMCTLW
+
+        .CASE 5000000 ; PL203HXD baudrate
+; Configure UART0 @ 5000000 bauds / 16MHz
+; N = 16000000/5000000 = 3.2... ==> {UCOS16=0, UCBR0=int(N)=0, UCBRF0=dont_care=0, UCBRS0=fn(frac(N))=fn(0.2)=0x11
+; TERMBRW=UCBR0, TERMMCTLW= (UCBRS0<<8)|(UCBRF0<<4)|UCOS16
+             MOV     #3,&TERMBRW
+             MOV.W   #02100h,&TERMMCTLW
 
         .CASE 6000000 ; PL2303TA baudrate
 ; Configure UART0 @ 6000000 bauds / 24MHz
 ; N = 16000000/6000000 = 2.6666.. ==> {UCOS16=0, UCBR0=int(N)=2, UCBRF0=dont_care=0  UCBRS0=fn(frac(N))=fn(0.6666)=0xB6
-            MOV     #2,    &TERMBRW
+            MOV     #2,&TERMBRW
             MOV.W   #0B600h,&TERMMCTLW
 
         .ELSECASE
         .CASE 3000000 ; PL2303TA baudrate
 ; Configure UART0 @ 3000000 bauds / 24MHz
 ; N = 24000000/3000000 = 8 ==> {UCOS16=0, UCBR0=int(N)=8, UCBRF0=dont_care=0  UCBRS0=fn(frac(N))=fn(0.00000)=0x00
-            MOV     #8,    &TERMBRW
-            MOV.W   #0000h,&TERMMCTLW
+            MOV     #8,&TERMBRW
+            MOV.W   #0,&TERMMCTLW
+
+        .CASE 4000000 ; PL2303HXD baudrate
+; Configure UART0 @ 4000000 bauds / 24MHz
+; N = 24000000/4000000 = 6... ==> {UCOS16=0, UCBR0=int(N)=0, UCBRF0=dont_care=0, UCBRS0=fn(frac(N))=fn(0.0000000)=0
+; TERMBRW=UCBR0, TERMMCTLW= (UCBRS0<<8)|(UCBRF0<<4)|UCOS16
+             MOV     #6,&TERMBRW
+             MOV.W   #0,&TERMMCTLW
+
+        .CASE 5000000 ; PL203HXD baudrate
+; Configure UART0 @ 5000000 bauds / 24MHz
+; N = 24000000/5000000 = 4.8... ==> {UCOS16=0, UCBR0=int(N)=0, UCBRF0=dont_care=0, UCBRS0=fn(frac(N))=fn(0.2)=0x11
+; TERMBRW=UCBR0, TERMMCTLW= (UCBRS0<<8)|(UCBRF0<<4)|UCOS16
+             MOV     #4,&TERMBRW
+             MOV.W   #0EE00h,&TERMMCTLW
 
         .CASE 6000000 ; PL2303TA baudrate
 ; Configure UART0 @ 6000000 bauds / 24MHz
 ; N = 24000000/6000000 = 4 ==> {UCOS16=0, UCBR0=int(N)=4, UCBRF0=dont_care=0  UCBRS0=fn(frac(N))=fn(0.00000)=0x00
-            MOV     #4,    &TERMBRW
-            MOV.W   #0000h,&TERMMCTLW
+            MOV     #4,&TERMBRW
+            MOV.W   #0,&TERMMCTLW
 
         .ELSECASE
             .error "UART0 / 24 MHz : baudrate not implemented"
index b95638b..1ab8c8c 100644 (file)
@@ -1,16 +1,51 @@
 ; Target.inc
 
+; define if Terminal half duplex
+    .IFDEF UARTtoI2C
+    .IFNDEF HALFDUPLEX
+HALFDUPLEX
+    .ENDIF
+    .ENDIF
+
+
+
+    .IFDEF SD_CARD_LOADER 
+    .IFDEF BOOTLOADER
+    .IFDEF CONDCOMP
+BOOTLOAD
+    .ELSE 
+        .WARNING "SD Boot Loader requires conditional compilation addon"
+CONDCOMP
+BOOTLOAD
+    .ENDIF
+    .ENDIF
+    .ENDIF
+
+; define FREQ  used in WARM message (6)
+    .IF     FREQUENCY = 0.25
+FREQ    .set " .2MHz"
+    .ELSEIF FREQUENCY = 0.5
+FREQ    .set " .5MHz"
+    .ELSEIF FREQUENCY = 1
+FREQ    .set "  1MHz"
+    .ELSEIF FREQUENCY = 2
+FREQ    .set "  2MHz"
+    .ELSEIF FREQUENCY = 4
+FREQ    .set "  4MHz"
+    .ELSEIF FREQUENCY = 8
+FREQ    .set "  8MHz"
+    .ELSEIF FREQUENCY = 16
+FREQ    .set " 16MHz"
+    .ELSEIF FREQUENCY = 24
+FREQ    .set " 24MHz"
+    .ENDIF
+
+
 ;-----------------------------------------------------------------------
 ; DEVICE I/O, MEMORY, SFR, vectors and FORTH I/O declarations
 ;-----------------------------------------------------------------------
 
 
-FRAM_FULL   .equ SIGNATURES-50h ; set to protect JTAG and BSL signatures against overwrite.
-                                ; notice that ALLOT memory space beyond SIGNATURES don't corrupt it,
-                                ; so 80 bytes are sufficient considering what can be compiled in one line and WORD use.
-                                ; good practice: one line reserved for each ALLOT command.
-
-
     OUTRADIX  10
 
     .IFDEF TERMINALBAUDRATE
@@ -91,7 +126,7 @@ LF_XTAL
 UCA0_UART
 TERMVEC     .equ 0FFE4h      ;  eUSCI_A0
 UCA1_SD
-UCB0_I2CM                   ; for TERM2IIC add-on
+;UCB0_I2CM                   ; for TERM2IIC add-on
 HRDWMPY
 RTC     ; define type of RTC
     .include "MSP430FR2x4x.inc"
@@ -109,7 +144,28 @@ RTC     ; define type of RTC
     .include "MSP430FR2x4x.inc"
     .ENDIF
 
+    .IFDEF MSP_EXP430FR2355
+    .warning "Code for MSP_EXP430FR2355"
+DEVICE = "MSP430FR2355"
+;CHIP  .equ 2355
+LF_XTAL
+UCA1_UART
+TERMVEC     .equ 0FFE2h      ;  eUSCI_A1
+UCB1_SD
+HRDWMPY
+RTC     ; define type of RTC
+    .include "MSP430FR2x4x.inc"
+    .ENDIF
+
+
 ; define RAM = 1k for MSP430FR57xx devices
     .IF (charfromstr(DEVICE,8) = '5') & (charfromstr(DEVICE,9) = '7') 
 RAM_1K
-    .ENDIF
\ No newline at end of file
+    .ENDIF
+
+FRAM_FULL   .equ SIGNATURES-50h ; set to protect JTAG and BSL signatures against overwrite.
+                                ; notice that ALLOT memory space beyond SIGNATURES don't corrupt it,
+                                ; so 80 bytes are sufficient considering what can be compiled in one line and WORD use.
+                                ; good practice: one line reserved for each ALLOT command.
+
+
index e0e7ae4..a42cc39 100644 (file)
@@ -17,6 +17,9 @@
     .IFDEF MSP_EXP430FR2433
     .include "MSP_EXP430FR2433.asm"
     .ENDIF
+    .IFDEF MSP_EXP430FR2355
+    .include "MSP_EXP430FR2355.asm"
+    .ENDIF
     .IFDEF CHIPSTICK_FR2433
     .include "CHIPSTICK_FR2433.asm"
     .ENDIF
index fe3025e..4229c5f 100644 (file)
@@ -25,7 +25,6 @@
 ! ======================================================================
 
 @define{@read{@mergepath{@inpath{};MSP430FR2433.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR2x4x_FastForth.pat;}}}
 @define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
 @define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
 
diff --git a/config/gema/JMJ_BOX.pat b/config/gema/JMJ_BOX.pat
deleted file mode 100644 (file)
index 2e230ef..0000000
+++ /dev/null
@@ -1,258 +0,0 @@
-! -*- coding: utf-8 -*-
-! JMJ_BOX.pat
-!
-! Fast Forth for JMJ-BOX
-!
-! Copyright (C) <2016>  <J.M. THOORENS>
-!
-! This program is free software: you can redistribute it and/or modify
-! it under the terms of the GNU General Public License as published by
-! the Free Software Foundation, either version 3 of the License, or
-! (at your option) any later version.
-!
-! This program is distributed in the hope that it will be useful,
-! but WITHOUT ANY WARRANTY; without even the implied warranty of
-! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-! GNU General Public License for more details.
-!
-! You should have received a copy of the GNU General Public License
-! along with this program.  If not, see <http://www.gnu.org/licenses/>.
-!
-!
-!
-! ======================================================================
-! MSP430FR5738 Config
-! ======================================================================
-
-@define{@read{@mergepath{@inpath{};MSP430FR5738.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR57xx_FastForth.pat;}}}
-@define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
-@define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
-
-! ======================================================================
-! JMJ-BOX board
-! ======================================================================
-! -------------------------------
-! PORTA (PORT2:1) default values  DIR0,REN1,OUT1 (input with pullup resistors)
-! -------------------------------
-! 18 RST   <------- PROG.4 ---------> Prog6pins RST 
-! 17 TST   <------- PROG.3 ---------> Prog6pins TST 
-!  5 P1.0  >------- OUT.9 ----------> STBY_A
-!  6 P1.1 
-!  7 P1.2  <------- LOCAL <---------- ADC_BAT
-!  8 P1.3  <------- LOCAL <---------- GND
-!  9 P1.4  <------- LOCAL <---------- ADC_REF
-! 10 P1.5  <------- LOCAL <---------- ADC_OUT
-! 22 P1.6  <------> OUT.5 <---------> MSDA
-! 23 P1.7  -------> OUT.4 ----------> MSCL
-!
-!                            +-4k7--< DeepRST <-- GND
-!                            |
-! 19 P2.0  -------> PROG.1 --+------> Prog6pins TX0  
-! 20 P2.1  <------- PROG.6 <--------- Prog6pins RX0  
-! 21 P2.2  <------- OUT.8 <---------- IR_RC5
-! 27 P2.3  <------- OUT.2 <---------- PLUS_CAP 
-! 28 P2.4  <------- OUT.3 <---------- MINUS_CAP
-! 16 P2.6  <------- LOCAL <---------- MIC
-! 15 P2.5  <------- LOCAL <---------- AUX
-! 11 PJ.0
-! 12 PJ.1
-! 13 PJ.2  -------> LOCAL ----------> MUTE_PA
-! 14 PJ.3  -------> LOCAL ----------> STBY_PA
-!  1 PJ.4  <------- LOCAL <---------- XT1 
-!  2 PJ.5  -------> LOCAL ----------> XT2  
-
-! ============================================
-! FORTH I/O :
-! ============================================
-
-TERM_REN=\$207!
-TERM_SEL=\$20D!
-TERM_IE=\$21B!
-TERM_IFG=\$21D!
-Deep_RST=1!         ; P2.0 = TX
-Deep_RST_IN=\$201!  ; TERMINAL TX  pin as FORTH Deep_RST
-
-
-! ============================================
-! APPLICATION I/O :
-! ============================================
-
-! PORT 1 use
-
-STBY_A=1!       P1.0    AMPLI output
-
-ADC_BAT=2!      P1.2    ADC inputs
-ADC_GND=3!      P1.3
-ADC_REF=4!      P1.4
-ADC_OUT=5!      P1.5
-
-MSDA=\$40!      P1.6    I2C master SDA   
-MSCL=\$80!      P1.7    I2C master SCL   
-I2CM_BUS=\$C0!  MASK    
-
-! PORT 2 use
-
-TERM_TX=1!      P2.0    TERMINAL TX
-TERM_RX=2!      P2.1    TERMINAL RX
-
-IR_RC5=4!       P2.2    IR_RC5 input
-
-PLUS_CAP=8!     P2.3    CAPACITIVE KEY +
-MINUS_CAP=\$10! P2.4    CAPACITIVE KEY -
-CAP_IO=\$18!    MASK
-
-!AUX=\$20!       P2.5    COMBO TRS switch
-!MIC=\$40!       P2.6    COMBO XLR switch
-!COMBO=\$60!     MASK
-
-! PORT J use
-
-MUTE_PA=4!      PJ.2    output
-STBY_PA=8!      PJ.3    output
-OUT_PA=\$0C!    MASK
-
-
-
-! FRAM variables
-
-wROMGAIN=\$1818!        word EEPROM variable 
-bROMMICGAIN=\$1818!     byte
-bROMAUXGAIN=\$1819!     byte
-
-
-
-! GPFLAGS
-
-GPFLAGS=\$181A!     General Purpose FLAGS in FRAM to keep flags during reset
-
-RELEASE=1!      \ ALC release
-ATTACK=2!       \ ALC attack 
-ALC_FLAGS=3!
-
-BAT_IS_OK=4! 
-
-PLUS_FLAG=8!        \ Human command PLUS, same position as PLUS_CAP
-MINUS_FLAG=\$10!    \ Human command MINUS, same position as MINUS_CAP
-OK_FLAG=\$20!       \ human command OK 
-PMO_FLAGS=\$38!     \ 3 human commands: Plus Minus Ok
-CHNG=\$40!          \ request to change gain or gain is modified, ready to do OK
-
-SAVE=\$80!          \ request to Save gain in ROM (FRAM)
-
-PLAY_ON=\$100!      \ System is ON / off
-COMBO_MIC=\$400!    \ switch MIC / aux for DEF gain definition
-
-! tests flags
-TEST_FLAG=\$800!    \
-NO_BAT_FLAG=\$1000! \ simulate bat is OK
-
-WDT_FLAG=\$2000!     
-ADC_FLAG=\$4000!
-P2INT_FLAG=\$8000!
-TEST_FLAGS=\$F800!  BIC MASK to reset tests
-START_FLAGS=\$F804! AND MASK to reset other flags than (tests + BAT) flags during START
-
-
-
-
-!RAM usage, 32 bytes free @ $1DDE 
-!================================
-PRS=\$1DDE!             \ Pseudo Registers Structure : x(IP)
-&wRAMGAIN=0(R13)!       \ word
-&bRAMMICGAIN=0(R13)!    \ byte
-&bRAMAUXGAIN=1(R13)!    \ byte
-&bALCTIME=2(R13)!       \ byte
-&bCAPKEYTIME=3(R13)!    \ byte
-&bDISPLAYTIME=4(R13)!   \ byte
-&bSTARTTIME=5(R13)!     \ byte
-&bNGTIME=6(R13)!        \ byte
-&bGAIN=7(R13)!          \ byte
-&bPOTAR=8(R13)!         \ byte
-&bCONFPOT=9(R13)!       \ byte
-&wBATDIVSIX=10(R13)!    \ word
-&wADCREF=12(R13)!       \ word
-&wSTBYTIME=14(R13)!     \ word
-
-I2CM_BUF=\$1DF0!        \ I2CS_addr,not_exchanged,count,data1,data2,data3
-I2C_ADR=0! I2C_ADR & R/w bit
-BUF_EXG=1! bytes count eXchanGed
-BUF_CNT=2! bytes count requested 
-BUF_TX1=3!
-BUF_TX2=4!
-BUF_TX3=5!
-BUF_RX1=3!
-BUF_RX2=4!
-BUF_RX3=5!
-
-
-
-
-!=================
-! System constants
-!=================
-
-VISUAL_ACK=\$0F!    !   \ ROM_LED offset
-
-! I2C slave addresses + write flag
-!---------------------------------
-PCA9574A_ADR=\%01110000!    \ 1<<PCA8574A_address 8 bits GPIO
-DS1881_ADR=\%01010000!      \ 1<<DS1881_I2C_potar_address
-
-!WATCHDOG TA0CCR0 values
-!-----------------------
-WDTDEBOUNCE=#11!    !   \ 5.5ms*11=60ms     ; debounce time for COMBO activity
-!WDTDEBOUNCE=#6!        \ 5.5ms*11=60ms     ; debounce time for COMBO activity
-WDTTICKON=6!        !   \ 5.5ms*6=33ms      ; System_is_ON state Watchdog 
-!WDTTICKOFF=#65535!     \ 5.5ms*65535=6'    ; System_is_OFF or BAT_IS_KO states Watchdog
-WDTTICKOFF=#10910!     \ 5.5ms*10910=1'    ; System_is_OFF or BAT_IS_KO states Watchdog
-!WDTTICKOFF=#182!    !   \ 5.5ms*182=1s      ; System_is_OFF and BOT_IS_KO states Watchdog, test value
-!WDTTICKALC=9!          \ 5.5ms*9=50ms      ; ALC release time = 20dB/s
-WDTTICKALC=6!       !   \ 5.5ms*6=33ms      ; ALC release time = 30dB/s
-!WDTTICKALC=5!          \ 5.5ms*5=28ms      ; ALC release time = 36dB/s
-!WDTTICKALC=4!          \ 5.5ms*4=22ms      ; ALC release time = 45dB/s
-!WDTTICKALC=4!          \ 5.5ms*3=16ms      ; ALC release time = 60dB/s
-!                                           ; ROHM BD5465 ALC release = 4dB/s 
-!                                           ; ONSEMI LC75106V ALC release = 4dB/s for ALC attack = 60dB/s
-!                                           ; ANALOGSSM2804 ALC release = 4dB/s  for ALC attack = 1200dB/s (default values)
-
-
-!TIME VALUES
-!-----------
-!NGTEMP=\#120!          \ 33ms*120=4s       ; Noise Gate time
-!NGTEMP=\#30!        !   \ 33ms*30=1s        ; Noise Gate time
-!NGTEMP=\#15!           \ 33ms*15=500ms     ; Noise Gate time
-NGTEMP=\#6!             \ 33ms*6 =200ms     ; Noise Gate time
-ALCTEMP=1!          !   \ 33ms=33ms         ; ALC release base time 30dB/s (= ALC ON/OFF !)
-CAPKEYTEMP=5!       !   \ 33ms*5=165ms      ; enable one human request by time slice (RC5 message = 140ms)
-!CAPKEYTEMP=6!          \ 33ms*6=200ms      ; enable one human request by time slice (RC5 message = 140ms)
-DISPLAYTEMP=\#40!   !   \ 33ms*60=2s        ; display time
-STARTTEMP=\#60!     !   \ 33ms*60=2s        ; start time
-STBYTEMP=\#9000!    !   \ 33ms*30*60*5 = 5' : standby ampli time value
-
-!GAIN
-!----
-MINMICGAIN=\#12!    !   \ 12dB : (G=4)
-DEFMICGAIN=\#20!    !   \ 20dB : middle value (G=40)
-MAXMICGAIN=\#49!    !   \ 49dB : max value (G=250) + 1
-
-MINAUXGAIN=0!       !   \ 0dB
-DEFAUXGAIN=\#12!    !   \ 12dB to compensate input divisor ==> effective AUX gain = 0dB
-MAXAUXGAIN=\#37!    !   \ 37dB : max value + 1
-
-!BATTERY
-!-------
-CELLBATKO=\$239!    !   \ =int(12*1024/6/3.6)=569 ; 12 V = LiFePO4 battery out of use threshold
-!CELLBATOK=\$298!       \ =int(14*1024/6/3.6)=664 ; 14 V = high return value to force recharging (obsolete)
-CELLBATOK=\$284!    !   \ =int(13.6*1024/6/3.6)=644 ; 13V6 V = high return for test with 13.8V supply
-
-!NOISE GATE LEVEL
-!----------------
-!NGLVL=1!               \ 1*3.6/1024 = 3.5mVcrete = 2.5mVeff = -52dB
-NGLVL=2!            !   \ 2*3.6/1024 = 7mVcrete = 5mVeff = -46dB
-!NGLVL=4!               \ 4*3.6/1024 = 14mVcrete = 10mVeff = -40dB
-
-
-
-
-
diff --git a/config/gema/MSP430FR2355.pat b/config/gema/MSP430FR2355.pat
new file mode 100644 (file)
index 0000000..2ba6950
--- /dev/null
@@ -0,0 +1,775 @@
+!MSP430FR2355.pat
+
+
+
+! ----------------------------------------------
+! MSP430FR2355 MEMORY MAP
+! ----------------------------------------------
+! 0000-0005 = reserved
+! 0006-001F = tiny RAM
+! 0020-0FFF = peripherals (4 KB)
+! 1000-17FF = ROM bootstrap loader BSL1 (2k)
+! 1800-19FF = information memory (FRAM 512 B)
+! 1A00-1A31 = TLV device descriptor info (FRAM 128 B)
+! 1A80-1FFF = unused
+! 2000-2FFF = RAM (4 KB)
+! 2800-7FFF = unused
+! 8000-FF7F = code memory (FRAM 15232 B)
+! FF80-FFFF = interrupt vectors (FRAM 128 B)
+! FFC00-FFFFF = BSL2 (2k)
+! ----------------------------------------------
+! MSP430FR2355 DEVICE ID
+! ----------------------------------------------
+! 1A04 = 0C, 1A05 = 83
+! ----------------------------------------------
+PAGESIZE=512!         ; MPU unit
+! ----------------------------------------------
+! BSL                           
+! ----------------------------------------------
+BSL1=\$01000!
+BSL2=\$0FFC00!
+! ----------------------------------------------
+! FRAM                          ! INFO B, TLV
+! ----------------------------------------------
+TINYRAMSTART=\$6!
+TINYRAMEND=\$1F!
+INFOSTART=\$01800!
+INFOEND=\$019FF!
+TLVSTART=\$01A00!      ! Device Descriptor Info (Tag-Lenght-Value)
+TLVEND=\$01A31!      !
+! ----------------------------------------------
+! RAM
+! ----------------------------------------------
+RAMSTART=\$2000!
+RAMEND=\$2FFF!
+! ----------------------------------------------
+! FRAM
+! ----------------------------------------------
+PROGRAMSTART=\$8000!      ! Code space start
+! ----------------------------------------------
+! Interrupt Vectors and signatures - MSP430FR2355
+! ----------------------------------------------
+SIGNATURES=\$FF80!      JTAG/BSL signatures
+JTAG_SIG1=\$FF80!       if 0 (electronic fuse=0) enable JTAG/SBW ! reset by wipe and by S1+<reset>
+JTAG_SIG2=\$FF82!       if JTAG_SIG <> |0xFFFFFFFF, 0x00000000|, SBW and JTAG are locked
+BSL_SIG1=\$FF84!      
+BSL_SIG2=\$FF86!      
+BSL_CONF_SIG=\$FF88!      
+BSL_CONF=\$FF8A!      
+BSL_I2C_ADRE=\$FF8C!      
+JTAG_PASSWORD=\$FF88!   256 bits
+BSL_PASSWORD=\$FFE0!    256 bits
+INTVECT=\$FFCE!         FFCE-FFFF :  24 vectors + reset
+
+P4_Vec=\$FFCE!    
+P3_Vec=\$FFD0!
+P2_Vec=\$FFD2!    
+P1_Vec=\$FFD4!
+SAC1SAC3_Vec=\$FFD6!    
+SAC0SAC2_Vec=\$FFD8!     
+eCOMPx_Vec=\$FFDA! 
+ADC10_Vec=\$FFDC!
+eUSCI_B1_Vec=\$FFDE!
+eUSCI_B0_Vec=\$FFE0!
+eUSCI_A1_Vec=\$FFE2!
+eUSCI_A0_Vec=\$FFE4!
+WDT_Vec=\$FFE6!
+RTC_Vec=\$FFE8!
+TB3_x_Vec=\$FFEA!
+TB3_0_Vec=\$FFEC!
+TB2_x_Vec=\$FFEE!
+TB2_0_Vec=\$FFF0!
+TB1_x_Vec=\$FFF2!
+TB1_0_Vec=\$FFF4!
+TB0_x_Vec=\$FFF6!
+TB0_0_Vec=\$FFF8!
+U_NMI_Vec=\$FFFA!
+S_NMI_Vec=\$FFFC!
+RST_Vec=\$FFFE!
+
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! ===========================================================
+! MSP430FR2xxx and FR4xxx DEVICES HAVE SPECIFIC RAM ADDRESSES
+! ===========================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 1k):
+! ============================================
+
+
+LEAVEPTR=\$2000!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$2000!      \ leave stack,      grow up
+PSTACK=\$2080!      \ parameter stack,  grow down
+RSTACK=\$20E0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$20E0!  \ RX I2C address
+PAD_I2CCNT=\$20E2!  \ count max
+PAD_ORG=\$20E4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$2138!  \ TX I2C address 
+TIB_I2CCNT=\$213A!  \ count of bytes
+TIB_ORG=\$213C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$2190!   \ a good address for HOLDS
+BASE_HOLD=\$21B2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$21B2!              HOLD ptr
+CAPS=\$21B4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$21B6!
+LAST_THREAD=\$21B8!
+LAST_CFA=\$21BA!
+LAST_PSP=\$21BC!
+
+!STATE=\$21BE!           Interpreter state
+
+SAV_CURRENT=\$21C0!     preserve CURRENT when create assembler words
+OPCODE=\$21C2!          OPCODE adr
+ASMTYPE=\$21C4!         keep the opcode complement
+
+SOURCE_LEN=\$21C6!      len of input stream
+SOURCE_ADR=\$21C8!      adr of input stream
+TOIN=\$21CA!            >IN
+DP=\$21CC!              dictionary ptr
+LASTVOC=\$21CE!         keep VOC-LINK
+CONTEXT=\$21D0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$21E0!         CURRENT dictionnary ptr
+
+!BASE=\$21E2!           numeric base, must be defined before first reset !
+LINE=\$21E4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+! ---------------------------------------
+!21E6! 22 RAM bytes free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$21FC!
+SD_BUF_I2CNT=\$21FE!
+SD_BUF=\$2200!      \ SD_Card buffer
+BUFEND=\$2400!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2402!
+BS_FirstSectorL=\$2404!
+BS_FirstSectorH=\$2406!
+OrgFAT1=\$2408!
+FATSize=\$240A!
+OrgFAT2=\$240C!
+OrgRootDir=\$240E!
+OrgClusters=\$2410!         Sector of Cluster 0
+SecPerClus=\$2412!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2414!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2414! CRC:ll  word access
+SD_CMD_FRM1=\$2415! ll      byte access
+SD_CMD_FRM2=\$2416! LL:hh   word access
+SD_CMD_FRM3=\$2417! hh      byte access
+SD_CMD_FRM4=\$2418! HH:CMD  word access
+SD_CMD_FRM5=\$2419! CMD     byte access
+SectorL=\$241A!     2 words
+SectorH=\$241C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$241E! 
+BufferLen=\$2420!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2422!     16 bits wide (FAT16)
+ClusterH=\$2424!     16 bits wide (FAT16)
+NewClusterL=\$2426!  16 bits wide (FAT16) 
+NewClusterH=\$2428!  16 bits wide (FAT16) 
+CurFATsector=\$242A!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$242C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$242E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2430!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2432!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2434!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2440!
+HandleEnd=\$2500!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2500!
+LOAD_STACK=\$2502!
+LOAD_STACK_END=\$2538!
+
+!SD_card Input Buffer
+SDIB_I2CADR=\$2538!
+SDIB_I2CCNT=\$253A!
+SDIB_ORG=\$253C!
+
+SD_END_DATA=\$2590!
+
+! ----------------------------------------------------------------------
+! MSP430FR2355 Peripheral File Map
+! ----------------------------------------------------------------------
+!SFR_SFR         .equ 0100h           ; Special function
+!PMM_SFR         .equ 0120h           ; PMM
+!SYS_SFR         .equ 0140h           ; SYS
+!CS_SFR          .equ 0180h           ; Clock System
+!FRAM_SFR        .equ 01A0h           ; FRAM control
+!CRC16_SFR       .equ 01C0h
+!WDT_A_SFR       .equ 01CCh           ; Watchdog
+!PA_SFR          .equ 0200h           ; PORT1/2
+!PB_SFR          .equ 0220h           ; PORT3/4
+!PC_SFR          .equ 0240h           ; PORT5/6
+!RTC_SFR         .equ 0300h
+!TB0_SFR         .equ 0380h
+!TB1_SFR         .equ 03C0h
+!TB2_SFR         .equ 0400h
+!TB3_SFR         .equ 0440h
+!MPY_SFR         .equ 04C0h
+!eUSCI_A0_SFR    .equ 0500h           ; eUSCI_A0
+!eUSCI_B0_SFR    .equ 0540h           ; eUSCI_B0
+!eUSCI_A1_SFR    .equ 0580h           ; eUSCI_A1
+!eUSCI_B1_SFR    .equ 05C0h           ; eUSCI_B1
+!BACK_MEM_SFR    .equ 0660h
+!ICC_SFR         .equ 06C0h
+!ADC10_B_SFR     .equ 0700h
+!eCOMP0_SFR      .equ 08E0h
+!eCOMP1_SFR      .equ 0900h
+!SAC0_SFR        .equ 0C80h
+!SAC1_SFR        .equ 0C90h
+!SAC2_SFR        .equ 0CA0h
+!SAC3_SFR        .equ 0CB0h
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMCTL1=\$122!      \ PMM Control 0
+PMMCTL2=\$124!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+SYSCTL=\$140!       \ System control 
+SYSBSLC=\$142!      \ Bootstrap loader configuration area             
+SYSJMBC=\$146!      \ JTAG mailbox control        
+SYSJMBI0=\$148!     \ JTAG mailbox input 0        
+SYSJMBI1=\$14A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$14C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$14E!     \ JTAG mailbox output 1       
+SYSUNIV=\$15A!      \ User NMI vector generator   
+SYSSNIV=\$15C!      \ System NMI vector generator 
+SYSRSTIV=\$15E!     \ Reset vector generator      
+SYSCFG0=\$160!      \ System configuration 0 
+SYSCFG1=\$162!      \ System configuration 1 
+SYSCFG2=\$164!      \ System configuration 2 
+SYSCFG3=\$166!      \ System configuration 3 
+
+CSCTL0=\$180!       \ CS control 0 
+CSCTL1=\$182!       \ CS control 1 
+CSCTL2=\$184!       \ CS control 2 
+CSCTL3=\$186!       \ CS control 3 
+CSCTL4=\$188!       \ CS control 4 
+CSCTL5=\$18A!       \ CS control 5 
+CSCTL6=\$18C!       \ CS control 6 
+CSCTL7=\$18E!       \ CS control 7 
+CSCTL8=\$190!       \ CS control 8 
+
+
+FRCTLCTL0=\$1A0!    \ FRAM control 0    
+GCCTL0=\$1A4!       \ General control 0 
+GCCTL1=\$1A6!       \ General control 1 
+
+CRC16DI=\$1C0!      \ CRC data input                  
+CRCDIRB=\$1C2!      \ CRC data input reverse byte     
+CRCINIRES=\$1C4!    \ CRC initialization and result   
+CRCRESR=\$1C6!      \ CRC result reverse byte  
+
+WDTCTL=\$1CC!        \ WDT control register
+
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+PBSEL1=\$22C!
+PBIES=\$238!
+PBIE=\$23A!
+PBIFG=\$23C!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+P3IV=\$22E!
+P3IES=\$238!
+P3IE=\$23A!
+P3IFG=\$23C!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+P4SEL1=\$22D!
+P4IES=\$239!
+P4IE=\$23B!
+P4IFG=\$23D!
+P4IV=\$23E!
+
+PCIN=\$240!
+PCOUT=\$242!
+PCDIR=\$244!
+PCREN=\$246!
+PCSEL0=\$24A!
+PCSEL1=\$24C!
+
+P5IN=\$240!
+P5OUT=\$242!
+P5DIR=\$244!
+P5REN=\$246!
+P5SEL0=\$24A!
+P5SEL1=\$24C!
+
+P6IN=\$241!
+P6OUT=\$243!
+P6DIR=\$245!
+P6REN=\$247!
+P6SEL0=\$249!
+P6SEL1=\$24B!
+
+
+RTCCTL=\$300!       \ RTC control                                  
+RTCIV=\$304!        \ RTC interrupt vector word                       
+RTCMOD=\$308!       \ RTC modulo                                       
+RTCCNT=\$30C!       \ RTC counter register    
+
+
+TBCLR=4!
+TBIFG=1!
+CCIFG=1!
+
+TB0CTL=\$380!       \ TB0 control                 
+TB0CCTL0=\$382!     \ Capture/compare control 0   
+TB0CCTL1=\$384!     \ Capture/compare control 1   
+TB0CCTL2=\$386!     \ Capture/compare control 2   
+TB0R=\$390!         \ TB0 counter register        
+TB0CCR0=\$392!      \ Capture/compare register 0  
+TB0CCR1=\$394!      \ Capture/compare register 1  
+TB0CCR2=\$396!      \ Capture/compare register 2  
+TB0EX0=\$3A0!       \ TB0 expansion register 0    
+TB0IV=\$3AE!        \ TB0 interrupt vector        
+
+TB1CTL=\$3C0!       \ TB1 control                 
+TB1CCTL0=\$3C2!     \ Capture/compare control 0   
+TB1CCTL1=\$3C4!     \ Capture/compare control 1   
+TB1CCTL2=\$3C6!     \ Capture/compare control 2   
+TB1R=\$3D0!         \ TB0 counter register        
+TB1CCR0=\$3D2!      \ Capture/compare register 0  
+TB1CCR1=\$3D4!      \ Capture/compare register 1  
+TB1CCR2=\$3D6!      \ Capture/compare register 2  
+TB1EX0=\$3E0!       \ TB0 expansion register 0    
+TB1IV=\$3EE!        \ TB0 interrupt vector        
+
+TB2CTL=\$400!       \ TB2 control                 
+TB2CCTL0=\$402!     \ Capture/compare control 0   
+TB2CCTL1=\$404!     \ Capture/compare control 1   
+TB2CCTL2=\$406!     \ Capture/compare control 2   
+TB2R=\$410!         \ TB0 counter register        
+TB2CCR0=\$412!      \ Capture/compare register 0  
+TB2CCR1=\$414!      \ Capture/compare register 1  
+TB2CCR2=\$416!      \ Capture/compare register 2  
+TB2EX0=\$420!       \ TB0 expansion register 0    
+TB2IV=\$42E!        \ TB0 interrupt vector        
+
+TB3CTL=\$440!       \ TB3 control                 
+TB3CCTL0=\$442!     \ Capture/compare control 0   
+TB3CCTL1=\$444!     \ Capture/compare control 1   
+TB3CCTL2=\$446!     \ Capture/compare control 2   
+TB3CCTL3=\$448!     \ Capture/compare control 3   
+TB3CCTL4=\$44A!     \ Capture/compare control 4   
+TB3CCTL6=\$44C!     \ Capture/compare control 5   
+TB3CCTL6=\$44E!     \ Capture/compare control 6   
+TB3R=\$450!         \ TB0 counter register        
+TB3CCR0=\$452!      \ Capture/compare register 0  
+TB3CCR1=\$454!      \ Capture/compare register 1  
+TB3CCR2=\$456!      \ Capture/compare register 2  
+TB3CCR3=\$456!      \ Capture/compare register 3  
+TB3CCR4=\$456!      \ Capture/compare register 4  
+TB3CCR5=\$456!      \ Capture/compare register 5  
+TB3CCR6=\$456!      \ Capture/compare register 6  
+TB3EX0=\$460!       \ TB0 expansion register 0    
+TB3IV=\$46E!        \ TB0 interrupt vector        
+
+
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word            
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+
+
+UCA0CTLW0=\$500!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$502!    \ eUSCI_A control word 1        
+UCA0BRW=\$506!         
+UCA0BR0=\$506!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$507!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$508!    \ eUSCI_A modulation control    
+UCA0STAT=\$50A!     \ eUSCI_A status                
+UCA0RXBUF=\$50C!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$50E!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$510!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$512!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$513!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$51A!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$51C!      \ eUSCI_A interrupt flags       
+UCA0IV=\$51E!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$580!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$582!    \ eUSCI_A control word 1        
+UCA1BRW=\$586!         
+UCA1BR0=\$586!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$587!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$588!    \ eUSCI_A modulation control    
+UCA1STAT=\$58A!     \ eUSCI_A status                
+UCA1RXBUF=\$58C!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$58E!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$590!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$592!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$593!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$59A!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$59C!      \ eUSCI_A interrupt flags       
+UCA1IV=\$59E!       \ eUSCI_A interrupt vector word 
+
+
+UCB0CTLW0=\$540!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$542!    \ eUSCI_B control word 1 
+UCB0BRW=\$546!         
+UCB0BR0=\$546!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$547!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$548!    \ eUSCI_B status word 
+UCBCNT0=\$549!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$54A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$54C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$54E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$554!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$556!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$558!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$55A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$55C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$55E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$560!    \ eUSCI I2C slave address         
+UCB0IE=\$56A!       \ eUSCI interrupt enable          
+UCB0IFG=\$56C!      \ eUSCI interrupt flags           
+UCB0IV=\$56E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+UCB1CTLW0=\$5C0!    \ eUSCI_B control word 0          
+UCB1CTLW1=\$5C2!    \ eUSCI_B control word 1 
+UCB1BRW=\$5C6!         
+UCB1BR0=\$5C6!      \ eUSCI_B bit rate 0              
+UCB1BR1=\$5C7!      \ eUSCI_B bit rate 1              
+UCB1STATW=\$5C8!    \ eUSCI_B status word 
+UCB1NT0=\$5C9!      \ eUSCI_B hardware count           
+UCB1TBCNT=\$5CA!    \ eUSCI_B byte counter threshold  
+UCB1RXBUF=\$5CC!    \ eUSCI_B receive buffer          
+UCB1TXBUF=\$5CE!    \ eUSCI_B transmit buffer         
+UCB1I2COA0=\$5D4!   \ eUSCI_B I2C own address 0       
+UCB1I2COA1=\$5D6!   \ eUSCI_B I2C own address 1       
+UCB1I2COA2=\$5D8!   \ eUSCI_B I2C own address 2       
+UCB1I2COA3=\$5DA!   \ eUSCI_B I2C own address 3       
+UCB1ADDRX=\$5DC!    \ eUSCI_B received address        
+UCB1ADDMASK=\$5DE!  \ eUSCI_B address mask            
+UCB1I2CSA=\$5E0!    \ eUSCI I2C slave address         
+UCB1IE=\$5EA!       \ eUSCI interrupt enable          
+UCB1IFG=\$5EC!      \ eUSCI interrupt flags           
+UCB1IV=\$5EE!       \ eUSCI interrupt vector word  
+
+BAKMEM0=\$660!      \ Backup Memory 0     
+BAKMEM1=\$662!      \ Backup Memory 1     
+BAKMEM2=\$664!      \ Backup Memory 2     
+BAKMEM3=\$666!      \ Backup Memory 3     
+BAKMEM4=\$668!      \ Backup Memory 4     
+BAKMEM5=\$66A!      \ Backup Memory 5     
+BAKMEM6=\$66C!      \ Backup Memory 6     
+BAKMEM7=\$66E!      \ Backup Memory 7     
+BAKMEM8=\$670!      \ Backup Memory 8     
+BAKMEM9=\$672!      \ Backup Memory 9     
+BAKMEM10=\$674!     \ Backup Memory 10    
+BAKMEM11=\$676!     \ Backup Memory 11    
+BAKMEM12=\$678!     \ Backup Memory 12    
+BAKMEM13=\$67A!     \ Backup Memory 13    
+BAKMEM14=\$67C!     \ Backup Memory 14    
+BAKMEM15=\$67E!     \ Backup Memory 15    
+
+ICCSC=\$6C00!    \ Interrupt Compare Controller Status and Control Register
+ICCMVS=\$6C02!   \ Interrupt Compare Controller Mask Virtual Stack Register
+ICCILSR0=\$6C04! \ Interrupt Compare Controller Interrupt Level Setting Register 0
+ICCILSR1=\$6C06! \ Interrupt Compare Controller Interrupt Level Setting Register 1
+ICCILSR2=\$6C08! \ Interrupt Compare Controller Interrupt Level Setting Register 2
+ICCILSR3=\$6C0A! \ Interrupt Compare Controller Interrupt Level Setting Register 3
+ICCILSR4=\$6C0C! \ Interrupt Compare Controller Interrupt Level Setting Register 4
+ICCILSR5=\$6C0E! \ Interrupt Compare Controller Interrupt Level Setting Register 5
+ICCILSR6=\$6C10! \ Interrupt Compare Controller Interrupt Level Setting Register 6
+ICCILSR7=\$6C12! \ Interrupt Compare Controller Interrupt Level Setting Register 7
+
+
+
+ADC10CTL0=\$700!    \ ADC10_B Control register 0               
+ADC10CTL1=\$702!    \ ADC10_B Control register 1               
+ADC10CTL2=\$704!    \ ADC10_B Control register 2               
+ADC10LO=\$706!      \ ADC10_B Window Comparator Low Threshold  
+ADC10HI=\$708!      \ ADC10_B Window Comparator High Threshold 
+ADC10MCTL0=\$70A!   \ ADC10_B Memory Control Register 0        
+ADC10MEM0=\$712!    \ ADC10_B Conversion Memory Register       
+ADC10IE=\$71A!      \ ADC10_B Interrupt Enable                 
+ADC10IFG=\$71C!     \ ADC10_B Interrupt Flags                  
+ADC10IV=\$71E!      \ ADC10_B Interrupt Vector Word            
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+
+
+CP0CTL0=\$8E0!      \ Comparator control 0            
+CP0CTL1=\$8E2!      \ Comparator control 1            
+CP0INT=\$8E6!       \ Comparator interrupt            
+CP0IV=\$8E8!        \ Comparator interrupt vector     
+CP0DACCTL=\$8EA!    \ Comparator built-in DAC control 
+CP0DACDATA=\$8EC!   \ Comparator built-in DAC data    
+
+CP1CTL0=\$900!      \ Comparator control 0            
+CP1CTL1=\$902!      \ Comparator control 1            
+CP1INT=\$906!       \ Comparator interrupt            
+CP1IV=\$908!        \ Comparator interrupt vector     
+CP1DACCTL=\$90A!    \ Comparator built-in DAC control 
+CP1DACDATA=\$90C!   \ Comparator built-in DAC data    
+
+SAC0OA=\$0C80!    SAC0 OA control         
+SAC0PGA=\$0C82!    SAC0 PGA control        
+SAC0DAC=\$0C84!    SAC0 DAC control        
+SAC0DAT=\$0C86!    SAC0 DAC data           
+SAC0DATSTS=\$0C88!    SAC0 DAC status         
+SAC0IV=\$0C8A!    SAC0 interrupt vector   
+
+SAC1OA=\$0C90!    SAC1 OA control         
+SAC1PGA=\$0C92!    SAC1 PGA control        
+SAC1DAC=\$0C94!    SAC1 DAC control        
+SAC1DAT=\$0C96!    SAC1 DAC data           
+SAC1DATSTS=\$0C98!    SAC1 DAC status         
+SAC1IV=\$0C9A!    SAC1 interrupt vector   
+
+SAC2OA=\$0CA0!    SAC2 OA control         
+SAC2PGA=\$0CA2!    SAC2 PGA control        
+SAC2DAC=\$0CA4!    SAC2 DAC control        
+SAC2DAT=\$0CA6!    SAC2 DAC data           
+SAC2DATSTS=\$0CA8!    SAC2 DAC status         
+SAC2IV=\$0CAA!    SAC2 interrupt vector   
+
+SAC3OA=\$0CB0!    SAC3 OA control         
+SAC3PGA=\$0CB2!    SAC3 PGA control        
+SAC3DAC=\$0CB4!    SAC3 DAC control        
+SAC3DAT=\$0CB6!    SAC3 DAC data           
+SAC3DATSTS=\$0CB8!    SAC3 DAC status         
+SAC3IV=\$0CBA!    SAC3 interrupt vector   
+
index d5d5a93..c3f4916 100644 (file)
@@ -1,7 +1,5 @@
 !MSP430FR2433.pat
 
-@define{@read{@mergepath{@inpath{};MSP430FR2x4x.pat;}}}
-
 ! ----------------------------------------------
 ! MSP430FR2433 MEMORY MAP
 ! ----------------------------------------------
@@ -71,3 +69,538 @@ U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! ===========================================================
+! MSP430FR2xxx and FR4xxx DEVICES HAVE SPECIFIC RAM ADDRESSES
+! ===========================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 1k):
+! ============================================
+
+
+LEAVEPTR=\$2000!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$2000!      \ leave stack,      grow up
+PSTACK=\$2080!      \ parameter stack,  grow down
+RSTACK=\$20E0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$20E0!  \ RX I2C address
+PAD_I2CCNT=\$20E2!  \ count max
+PAD_ORG=\$20E4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$2138!  \ TX I2C address 
+TIB_I2CCNT=\$213A!  \ count of bytes
+TIB_ORG=\$213C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$2190!   \ a good address for HOLDS
+BASE_HOLD=\$21B2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$21B2!              HOLD ptr
+CAPS=\$21B4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$21B6!
+LAST_THREAD=\$21B8!
+LAST_CFA=\$21BA!
+LAST_PSP=\$21BC!
+
+!STATE=\$21BE!           Interpreter state
+
+SAV_CURRENT=\$21C0!     preserve CURRENT when create assembler words
+OPCODE=\$21C2!          OPCODE adr
+ASMTYPE=\$21C4!         keep the opcode complement
+
+SOURCE_LEN=\$21C6!      len of input stream
+SOURCE_ADR=\$21C8!      adr of input stream
+TOIN=\$21CA!            >IN
+DP=\$21CC!              dictionary ptr
+LASTVOC=\$21CE!         keep VOC-LINK
+CONTEXT=\$21D0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$21E0!         CURRENT dictionnary ptr
+
+!BASE=\$21E2!           numeric base, must be defined before first reset !
+LINE=\$21E4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+! ---------------------------------------
+!21E6! 22 RAM bytes free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$21FC!
+SD_BUF_I2CNT=\$21FE!
+SD_BUF=\$2200!      \ SD_Card buffer
+BUFEND=\$2400!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2402!
+BS_FirstSectorL=\$2404!
+BS_FirstSectorH=\$2406!
+OrgFAT1=\$2408!
+FATSize=\$240A!
+OrgFAT2=\$240C!
+OrgRootDir=\$240E!
+OrgClusters=\$2410!         Sector of Cluster 0
+SecPerClus=\$2412!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2414!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2414! CRC:ll  word access
+SD_CMD_FRM1=\$2415! ll      byte access
+SD_CMD_FRM2=\$2416! LL:hh   word access
+SD_CMD_FRM3=\$2417! hh      byte access
+SD_CMD_FRM4=\$2418! HH:CMD  word access
+SD_CMD_FRM5=\$2419! CMD     byte access
+SectorL=\$241A!     2 words
+SectorH=\$241C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$241E! 
+BufferLen=\$2420!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2422!     16 bits wide (FAT16)
+ClusterH=\$2424!     16 bits wide (FAT16)
+NewClusterL=\$2426!  16 bits wide (FAT16) 
+NewClusterH=\$2428!  16 bits wide (FAT16) 
+CurFATsector=\$242A!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$242C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$242E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2430!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2432!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2434!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2440!
+HandleEnd=\$2500!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2500!
+LOAD_STACK=\$2502!
+LOAD_STACK_END=\$2538!
+
+!SD_card Input Buffer
+SDIB_I2CADR=\$2538!
+SDIB_I2CCNT=\$253A!
+SDIB_ORG=\$253C!
+
+SD_END_DATA=\$2590!
+
+
+
+! ----------------------------------------------------------------------
+! MSP430FR2433 Peripheral File Map
+! ----------------------------------------------------------------------
+!SFR_SFR         .equ 0100h           ; Special function
+!PMM_SFR         .equ 0120h           ; PMM
+!SYS_SFR         .equ 0140h           ; SYS
+!CS_SFR          .equ 0180h           ; Clock System
+!FRAM_SFR        .equ 01A0h           ; FRAM control
+!CRC16_SFR       .equ 01C0h
+!WDT_A_SFR       .equ 01CCh           ; Watchdog
+!PA_SFR          .equ 0200h           ; PORT1/2
+!PB_SFR          .equ 0220h           ; PORT3
+!RTC_SFR         .equ 0300h
+!TA0_SFR         .equ 0380h
+!TA1_SFR         .equ 03C0h
+!TA2_SFR         .equ 0400h
+!TA3_SFR         .equ 0440h
+!MPY_SFR         .equ 04C0h
+!eUSCI_A0_SFR    .equ 0500h           ; eUSCI_A0
+!eUSCI_A1_SFR    .equ 0520h           ; eUSCI_A1
+!eUSCI_B0_SFR    .equ 0540h           ; eUSCI_B0
+!BACK_MEM_SFR    .equ 0660h
+!ADC10_B_SFR     .equ 0700h
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMCTL1=\$122!      \ PMM Control 0
+PMMCTL2=\$124!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+SYSCTL=\$140!       \ System control 
+SYSBSLC=\$142!      \ Bootstrap loader configuration area             
+SYSJMBC=\$146!      \ JTAG mailbox control        
+SYSJMBI0=\$148!     \ JTAG mailbox input 0        
+SYSJMBI1=\$14A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$14C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$14E!     \ JTAG mailbox output 1       
+SYSUNIV=\$15A!      \ User NMI vector generator   
+SYSSNIV=\$15C!      \ System NMI vector generator 
+SYSRSTIV=\$15E!     \ Reset vector generator      
+SYSCFG0=\$160!      \ System configuration 0 
+SYSCFG1=\$162!      \ System configuration 1 
+SYSCFG2=\$164!      \ System configuration 2 
+
+CSCTL0=\$180!       \ CS control 0 
+CSCTL1=\$182!       \ CS control 1 
+CSCTL2=\$184!       \ CS control 2 
+CSCTL3=\$186!       \ CS control 3 
+CSCTL4=\$188!       \ CS control 4 
+CSCTL5=\$18A!       \ CS control 5 
+CSCTL6=\$18C!       \ CS control 6 
+CSCTL7=\$18E!       \ CS control 7 
+CSCTL8=\$190!       \ CS control 8 
+
+FRCTLCTL0=\$1A0!    \ FRAM control 0    
+GCCTL0=\$1A4!       \ General control 0 
+GCCTL1=\$1A6!       \ General control 1 
+
+CRC16DI=\$1C0!      \ CRC data input                  
+CRCDIRB=\$1C2!      \ CRC data input reverse byte     
+CRCINIRES=\$1C4!    \ CRC initialization and result   
+CRCRESR=\$1C6!      \ CRC result reverse byte  
+
+WDTCTL=\$1CC!        \ WDT control register
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+
+RTCCTL=\$300!       \ RTC control                                  
+RTCIV=\$304!        \ RTC interrupt vector word                       
+RTCMOD=\$308!       \ RTC modulo                                       
+RTCCNT=\$30C!       \ RTC counter register    
+
+TACLR=4!
+TAIFG=1!
+CCIFG=1!
+
+TA0CTL=\$380!       \ TA0 control                 
+TA0CCTL0=\$382!     \ Capture/compare control 0   
+TA0CCTL1=\$384!     \ Capture/compare control 1   
+TA0CCTL2=\$386!     \ Capture/compare control 2   
+TA0R=\$390!         \ TA0 counter register        
+TA0CCR0=\$392!      \ Capture/compare register 0  
+TA0CCR1=\$394!      \ Capture/compare register 1  
+TA0CCR2=\$396!      \ Capture/compare register 2  
+TA0EX0=\$3A0!       \ TA0 expansion register 0    
+TA0IV=\$3AE!        \ TA0 interrupt vector        
+
+TA1CTL=\$3C0!       \ TA1 control                 
+TA1CCTL0=\$3C2!     \ Capture/compare control 0   
+TA1CCTL1=\$3C4!     \ Capture/compare control 1   
+TA1CCTL2=\$3C6!     \ Capture/compare control 2   
+TA1R=\$3D0!         \ TA1 counter register        
+TA1CCR0=\$3D2!      \ Capture/compare register 0  
+TA1CCR1=\$3D4!      \ Capture/compare register 1  
+TA1CCR2=\$3D6!      \ Capture/compare register 2  
+TA1EX0=\$3E0!       \ TA1 expansion register 0    
+TA1IV=\$3EE!        \ TA1 interrupt vector        
+
+TA2CTL=\$400!       \ TA2 control                 
+TA2CCTL0=\$402!     \ Capture/compare control 0   
+TA2CCTL1=\$404!     \ Capture/compare control 1   
+TA2R=\$410!         \ TA2 counter register        
+TA2CCR0=\$412!      \ Capture/compare register 0  
+TA2CCR1=\$414!      \ Capture/compare register 1  
+TA2EX0=\$420!       \ TA2 expansion register 0    
+TA2IV=\$42E!        \ TA2 interrupt vector        
+
+TA3CTL=\$440!       \ TA3 control                 
+TA3CCTL0=\$442!     \ Capture/compare control 0   
+TA3CCTL1=\$444!     \ Capture/compare control 1   
+TA3R=\$450!         \ TA3 counter register        
+TA3CCR0=\$452!      \ Capture/compare register 0  
+TA3CCR1=\$454!      \ Capture/compare register 1  
+TA3EX0=\$460!       \ TA3 expansion register 0    
+TA3IV=\$46E!        \ TA3 interrupt vector        
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word            
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+
+UCA0CTLW0=\$500!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$502!    \ eUSCI_A control word 1        
+UCA0BRW=\$506!         
+UCA0BR0=\$506!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$507!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$508!    \ eUSCI_A modulation control    
+UCA0STAT=\$50A!     \ eUSCI_A status                
+UCA0RXBUF=\$50C!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$50E!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$510!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$512!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$513!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$51A!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$51C!      \ eUSCI_A interrupt flags       
+UCA0IV=\$51E!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$520!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$522!    \ eUSCI_A control word 1        
+UCA1BRW=\$526!         
+UCA1BR0=\$526!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$527!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$528!    \ eUSCI_A modulation control    
+UCA1STAT=\$52A!     \ eUSCI_A status                
+UCA1RXBUF=\$52C!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$52E!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$530!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$532!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$533!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$53A!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$53C!      \ eUSCI_A interrupt flags       
+UCA1IV=\$53E!       \ eUSCI_A interrupt vector word 
+
+UCB0CTLW0=\$540!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$542!    \ eUSCI_B control word 1 
+UCB0BRW=\$546!         
+UCB0BR0=\$546!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$547!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$548!    \ eUSCI_B status word 
+UCBCNT0=\$549!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$54A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$54C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$54E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$554!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$556!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$558!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$55A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$55C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$55E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$560!    \ eUSCI I2C slave address         
+UCB0IE=\$56A!       \ eUSCI interrupt enable          
+UCB0IFG=\$56C!      \ eUSCI interrupt flags           
+UCB0IV=\$56E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+BAKMEM0=\$660!      \ Backup Memory 0     
+BAKMEM1=\$662!      \ Backup Memory 1     
+BAKMEM2=\$664!      \ Backup Memory 2     
+BAKMEM3=\$666!      \ Backup Memory 3     
+BAKMEM4=\$668!      \ Backup Memory 4     
+BAKMEM5=\$66A!      \ Backup Memory 5     
+BAKMEM6=\$66C!      \ Backup Memory 6     
+BAKMEM7=\$66E!      \ Backup Memory 7     
+BAKMEM8=\$670!      \ Backup Memory 8     
+BAKMEM9=\$672!      \ Backup Memory 9     
+BAKMEM10=\$674!     \ Backup Memory 10    
+BAKMEM11=\$676!     \ Backup Memory 11    
+BAKMEM12=\$678!     \ Backup Memory 12    
+BAKMEM13=\$67A!     \ Backup Memory 13    
+BAKMEM14=\$67C!     \ Backup Memory 14    
+BAKMEM15=\$67E!     \ Backup Memory 15    
+
+ADC10CTL0=\$700!    \ ADC10_B Control register 0               
+ADC10CTL1=\$702!    \ ADC10_B Control register 1               
+ADC10CTL2=\$704!    \ ADC10_B Control register 2               
+ADC10LO=\$706!      \ ADC10_B Window Comparator Low Threshold  
+ADC10HI=\$708!      \ ADC10_B Window Comparator High Threshold 
+ADC10MCTL0=\$70A!   \ ADC10_B Memory Control Register 0        
+ADC10MEM0=\$712!    \ ADC10_B Conversion Memory Register       
+ADC10IE=\$71A!      \ ADC10_B Interrupt Enable                 
+ADC10IFG=\$71C!     \ ADC10_B Interrupt Flags                  
+ADC10IV=\$71E!      \ ADC10_B Interrupt Vector Word            
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+
index cb85da4..20ce604 100644 (file)
@@ -1,8 +1,5 @@
 !MSP430FR2633.pat
 
-!@define{@read{/config/gema/MSP430FR2x4x.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR2x4x.pat;}}}
-
 ! ----------------------------------------------
 ! MSP430FR2633 MEMORY MAP
 ! ----------------------------------------------
@@ -57,3 +54,530 @@ U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+
+!MSP430FR2xxx.pat
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! ===========================================================
+! MSP430FR2xxx and FR4xxx DEVICES HAVE SPECIFIC RAM ADDRESSES
+! ===========================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 1k):
+! ============================================
+
+
+LEAVEPTR=\$2000!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$2000!      \ leave stack,      grow up
+PSTACK=\$2080!      \ parameter stack,  grow down
+RSTACK=\$20E0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$20E0!  \ RX I2C address
+PAD_I2CCNT=\$20E2!  \ count max
+PAD_ORG=\$20E4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$2138!  \ TX I2C address 
+TIB_I2CCNT=\$213A!  \ count of bytes
+TIB_ORG=\$213C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$2190!   \ a good address for HOLDS
+BASE_HOLD=\$21B2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$21B2!              HOLD ptr
+CAPS=\$21B4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$21B6!
+LAST_THREAD=\$21B8!
+LAST_CFA=\$21BA!
+LAST_PSP=\$21BC!
+
+!STATE=\$21BE!           Interpreter state
+
+SAV_CURRENT=\$21C0!     preserve CURRENT when create assembler words
+OPCODE=\$21C2!          OPCODE adr
+ASMTYPE=\$21C4!         keep the opcode complement
+
+SOURCE_LEN=\$21C6!      len of input stream
+SOURCE_ADR=\$21C8!      adr of input stream
+TOIN=\$21CA!            >IN
+DP=\$21CC!              dictionary ptr
+LASTVOC=\$21CE!         keep VOC-LINK
+CONTEXT=\$21D0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$21E0!         CURRENT dictionnary ptr
+
+!BASE=\$21E2!           numeric base, must be defined before first reset !
+LINE=\$21E4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+! ---------------------------------------
+!21E6! 22 RAM bytes free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$21FC!
+SD_BUF_I2CNT=\$21FE!
+SD_BUF=\$2200!      \ SD_Card buffer
+BUFEND=\$2400!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2402!
+BS_FirstSectorL=\$2404!
+BS_FirstSectorH=\$2406!
+OrgFAT1=\$2408!
+FATSize=\$240A!
+OrgFAT2=\$240C!
+OrgRootDir=\$240E!
+OrgClusters=\$2410!         Sector of Cluster 0
+SecPerClus=\$2412!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2414!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2414! CRC:ll  word access
+SD_CMD_FRM1=\$2415! ll      byte access
+SD_CMD_FRM2=\$2416! LL:hh   word access
+SD_CMD_FRM3=\$2417! hh      byte access
+SD_CMD_FRM4=\$2418! HH:CMD  word access
+SD_CMD_FRM5=\$2419! CMD     byte access
+SectorL=\$241A!     2 words
+SectorH=\$241C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$241E! 
+BufferLen=\$2420!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2422!     16 bits wide (FAT16)
+ClusterH=\$2424!     16 bits wide (FAT16)
+NewClusterL=\$2426!  16 bits wide (FAT16) 
+NewClusterH=\$2428!  16 bits wide (FAT16) 
+CurFATsector=\$242A!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$242C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$242E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2430!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2432!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2434!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2440!
+HandleEnd=\$2500!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2500!
+LOAD_STACK=\$2502!
+LOAD_STACK_END=\$2538!
+
+!SD_card Input Buffer
+SDIB_I2CADR=\$2538!
+SDIB_I2CCNT=\$253A!
+SDIB_ORG=\$253C!
+
+SD_END_DATA=\$2590!
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMCTL1=\$122!      \ PMM Control 0
+PMMCTL2=\$124!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+SYSCTL=\$140!       \ System control 
+SYSBSLC=\$142!      \ Bootstrap loader configuration area             
+SYSJMBC=\$146!      \ JTAG mailbox control        
+SYSJMBI0=\$148!     \ JTAG mailbox input 0        
+SYSJMBI1=\$14A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$14C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$14E!     \ JTAG mailbox output 1       
+SYSUNIV=\$15A!      \ User NMI vector generator   
+SYSSNIV=\$15C!      \ System NMI vector generator 
+SYSRSTIV=\$15E!     \ Reset vector generator      
+SYSCFG0=\$160!      \ System configuration 0 
+SYSCFG1=\$162!      \ System configuration 1 
+SYSCFG2=\$164!      \ System configuration 2 
+
+CSCTL0=\$180!       \ CS control 0 
+CSCTL1=\$182!       \ CS control 1 
+CSCTL2=\$184!       \ CS control 2 
+CSCTL3=\$186!       \ CS control 3 
+CSCTL4=\$188!       \ CS control 4 
+CSCTL5=\$18A!       \ CS control 5 
+CSCTL6=\$18C!       \ CS control 6 
+CSCTL7=\$18E!       \ CS control 7 
+CSCTL8=\$190!       \ CS control 8 
+
+
+FRCTLCTL0=\$1A0!    \ FRAM control 0    
+GCCTL0=\$1A4!       \ General control 0 
+GCCTL1=\$1A6!       \ General control 1 
+
+CRC16DI=\$1C0!      \ CRC data input                  
+CRCDIRB=\$1C2!      \ CRC data input reverse byte     
+CRCINIRES=\$1C4!    \ CRC initialization and result   
+CRCRESR=\$1C6!      \ CRC result reverse byte  
+
+WDTCTL=\$1CC!        \ WDT control register
+
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+
+
+RTCCTL=\$300!       \ RTC control                                  
+RTCIV=\$304!        \ RTC interrupt vector word                       
+RTCMOD=\$308!       \ RTC modulo                                       
+RTCCNT=\$30C!       \ RTC counter register    
+
+
+TACLR=4!
+TAIFG=1!
+CCIFG=1!
+
+TA0CTL=\$380!       \ TA0 control                 
+TA0CCTL0=\$382!     \ Capture/compare control 0   
+TA0CCTL1=\$384!     \ Capture/compare control 1   
+TA0CCTL2=\$386!     \ Capture/compare control 2   
+TA0R=\$390!         \ TA0 counter register        
+TA0CCR0=\$392!      \ Capture/compare register 0  
+TA0CCR1=\$394!      \ Capture/compare register 1  
+TA0CCR2=\$396!      \ Capture/compare register 2  
+TA0EX0=\$3A0!       \ TA0 expansion register 0    
+TA0IV=\$3AE!        \ TA0 interrupt vector        
+
+TA1CTL=\$3C0!       \ TA1 control                 
+TA1CCTL0=\$3C2!     \ Capture/compare control 0   
+TA1CCTL1=\$3C4!     \ Capture/compare control 1   
+TA1CCTL2=\$3C6!     \ Capture/compare control 2   
+TA1R=\$3D0!         \ TA1 counter register        
+TA1CCR0=\$3D2!      \ Capture/compare register 0  
+TA1CCR1=\$3D4!      \ Capture/compare register 1  
+TA1CCR2=\$3D6!      \ Capture/compare register 2  
+TA1EX0=\$3E0!       \ TA1 expansion register 0    
+TA1IV=\$3EE!        \ TA1 interrupt vector        
+
+TA2CTL=\$400!       \ TA2 control                 
+TA2CCTL0=\$402!     \ Capture/compare control 0   
+TA2CCTL1=\$404!     \ Capture/compare control 1   
+TA2R=\$410!         \ TA2 counter register        
+TA2CCR0=\$412!      \ Capture/compare register 0  
+TA2CCR1=\$414!      \ Capture/compare register 1  
+TA2EX0=\$420!       \ TA2 expansion register 0    
+TA2IV=\$42E!        \ TA2 interrupt vector        
+
+TA3CTL=\$440!       \ TA3 control                 
+TA3CCTL0=\$442!     \ Capture/compare control 0   
+TA3CCTL1=\$444!     \ Capture/compare control 1   
+TA3R=\$450!         \ TA3 counter register        
+TA3CCR0=\$452!      \ Capture/compare register 0  
+TA3CCR1=\$454!      \ Capture/compare register 1  
+TA3EX0=\$460!       \ TA3 expansion register 0    
+TA3IV=\$46E!        \ TA3 interrupt vector        
+
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word            
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+
+
+UCA0CTLW0=\$500!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$502!    \ eUSCI_A control word 1        
+UCA0BRW=\$506!         
+UCA0BR0=\$506!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$507!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$508!    \ eUSCI_A modulation control    
+UCA0STAT=\$50A!     \ eUSCI_A status                
+UCA0RXBUF=\$50C!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$50E!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$510!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$512!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$513!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$51A!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$51C!      \ eUSCI_A interrupt flags       
+UCA0IV=\$51E!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$520!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$522!    \ eUSCI_A control word 1        
+UCA1BRW=\$526!         
+UCA1BR0=\$526!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$527!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$528!    \ eUSCI_A modulation control    
+UCA1STAT=\$52A!     \ eUSCI_A status                
+UCA1RXBUF=\$52C!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$52E!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$530!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$532!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$533!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$53A!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$53C!      \ eUSCI_A interrupt flags       
+UCA1IV=\$53E!       \ eUSCI_A interrupt vector word 
+
+
+UCB0CTLW0=\$540!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$542!    \ eUSCI_B control word 1 
+UCB0BRW=\$546!         
+UCB0BR0=\$546!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$547!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$548!    \ eUSCI_B status word 
+UCBCNT0=\$549!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$54A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$54C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$54E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$554!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$556!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$558!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$55A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$55C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$55E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$560!    \ eUSCI I2C slave address         
+UCB0IE=\$56A!       \ eUSCI interrupt enable          
+UCB0IFG=\$56C!      \ eUSCI interrupt flags           
+UCB0IV=\$56E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+
+BAKMEM0=\$660!      \ Backup Memory 0     
+BAKMEM1=\$662!      \ Backup Memory 1     
+BAKMEM2=\$664!      \ Backup Memory 2     
+BAKMEM3=\$666!      \ Backup Memory 3     
+BAKMEM4=\$668!      \ Backup Memory 4     
+BAKMEM5=\$66A!      \ Backup Memory 5     
+BAKMEM6=\$66C!      \ Backup Memory 6     
+BAKMEM7=\$66E!      \ Backup Memory 7     
+BAKMEM8=\$670!      \ Backup Memory 8     
+BAKMEM9=\$672!      \ Backup Memory 9     
+BAKMEM10=\$674!     \ Backup Memory 10    
+BAKMEM11=\$676!     \ Backup Memory 11    
+BAKMEM12=\$678!     \ Backup Memory 12    
+BAKMEM13=\$67A!     \ Backup Memory 13    
+BAKMEM14=\$67C!     \ Backup Memory 14    
+BAKMEM15=\$67E!     \ Backup Memory 15    
+
+
+ADC10CTL0=\$700!    \ ADC10_B Control register 0               
+ADC10CTL1=\$702!    \ ADC10_B Control register 1               
+ADC10CTL2=\$704!    \ ADC10_B Control register 2               
+ADC10LO=\$706!      \ ADC10_B Window Comparator Low Threshold  
+ADC10HI=\$708!      \ ADC10_B Window Comparator High Threshold 
+ADC10MCTL0=\$70A!   \ ADC10_B Memory Control Register 0        
+ADC10MEM0=\$712!    \ ADC10_B Conversion Memory Register       
+ADC10IE=\$71A!      \ ADC10_B Interrupt Enable                 
+ADC10IFG=\$71C!     \ ADC10_B Interrupt Flags                  
+ADC10IV=\$71E!      \ ADC10_B Interrupt Vector Word            
+
+ADCON=\$10!
+ADCSTART=\$03!
+
index 32dd211..97d1c0b 100644 (file)
@@ -7,6 +7,268 @@ LPM1=\$58! SR(LPM1+GIE)
 LPM0=\$18! SR(LPM0+GIE)
 
 
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! ===========================================================
+! MSP430FR2xxx and FR4xxx DEVICES HAVE SPECIFIC RAM ADDRESSES
+! ===========================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 1k):
+! ============================================
+
+
+LEAVEPTR=\$2000!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$2000!      \ leave stack,      grow up
+PSTACK=\$2080!      \ parameter stack,  grow down
+RSTACK=\$20E0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$20E0!  \ RX I2C address
+PAD_I2CCNT=\$20E2!  \ count max
+PAD_ORG=\$20E4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$2138!  \ TX I2C address 
+TIB_I2CCNT=\$213A!  \ count of bytes
+TIB_ORG=\$213C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$2190!   \ a good address for HOLDS
+BASE_HOLD=\$21B2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$21B2!              HOLD ptr
+CAPS=\$21B4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$21B6!
+LAST_THREAD=\$21B8!
+LAST_CFA=\$21BA!
+LAST_PSP=\$21BC!
+
+!STATE=\$21BE!           Interpreter state
+
+SAV_CURRENT=\$21C0!     preserve CURRENT when create assembler words
+OPCODE=\$21C2!          OPCODE adr
+ASMTYPE=\$21C4!         keep the opcode complement
+
+SOURCE_LEN=\$21C6!      len of input stream
+SOURCE_ADR=\$21C8!      adr of input stream
+TOIN=\$21CA!            >IN
+DP=\$21CC!              dictionary ptr
+LASTVOC=\$21CE!         keep VOC-LINK
+CONTEXT=\$21D0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$21E0!         CURRENT dictionnary ptr
+
+!BASE=\$21E2!           numeric base, must be defined before first reset !
+LINE=\$21E4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+! ---------------------------------------
+!21E6! 22 RAM bytes free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$21FC!
+SD_BUF_I2CNT=\$21FE!
+BUFFER=\$2200!      \ SD_Card buffer
+BUFEND=\$2400!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2402!
+BS_FirstSectorL=\$2404!
+BS_FirstSectorH=\$2406!
+OrgFAT1=\$2408!
+FATSize=\$240A!
+OrgFAT2=\$240C!
+OrgRootDir=\$240E!
+OrgClusters=\$2410!         Sector of Cluster 0
+SecPerClus=\$2412!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2414!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2414! CRC:ll  word access
+SD_CMD_FRM1=\$2415! ll      byte access
+SD_CMD_FRM2=\$2416! LL:hh   word access
+SD_CMD_FRM3=\$2417! hh      byte access
+SD_CMD_FRM4=\$2418! HH:CMD  word access
+SD_CMD_FRM5=\$2419! CMD     byte access
+SectorL=\$241A!     2 words
+SectorH=\$241C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$241E! 
+BufferLen=\$2420!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2422!     16 bits wide (FAT16)
+ClusterH=\$2424!     16 bits wide (FAT16)
+NewClusterL=\$2426!  16 bits wide (FAT16) 
+NewClusterH=\$2428!  16 bits wide (FAT16) 
+CurFATsector=\$242A!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$242C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$242E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2430!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2432!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2434!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2440!
+HandleEnd=\$2500!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2500!
+LOAD_STACK=\$2502!
+LOAD_STACK_END=\$2538!
+
+!SD_card Input Buffer
+SDIB_I2CADR=\$2538!
+SDIB_I2CCNT=\$253A!
+SDIB_ORG=\$253C!
+
+SD_END_DATA=\$2590!
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+
 SFRIE1=\$100!       \ SFR enable register
 SFRIFG1=\$102!      \ SFR flag register
 SFRRPCR=\$104!      \ SFR reset pin control
@@ -24,13 +286,13 @@ SYSJMBI0=\$148!     \ JTAG mailbox input 0
 SYSJMBI1=\$14A!     \ JTAG mailbox input 1        
 SYSJMBO0=\$14C!     \ JTAG mailbox output 0       
 SYSJMBO1=\$14E!     \ JTAG mailbox output 1       
-SYSBERRIV=\$158!    \ Bus Error vector generator  
 SYSUNIV=\$15A!      \ User NMI vector generator   
 SYSSNIV=\$15C!      \ System NMI vector generator 
 SYSRSTIV=\$15E!     \ Reset vector generator      
 SYSCFG0=\$160!      \ System configuration 0 
 SYSCFG1=\$162!      \ System configuration 1 
 SYSCFG2=\$164!      \ System configuration 2 
+SYSCFG3=\$166!      \ System configuration 3 
 
 CSCTL0=\$180!       \ CS control 0 
 CSCTL1=\$182!       \ CS control 1 
@@ -42,6 +304,7 @@ CSCTL6=\$18C!       \ CS control 6
 CSCTL7=\$18E!       \ CS control 7 
 CSCTL8=\$190!       \ CS control 8 
 
+
 FRCTLCTL0=\$1A0!    \ FRAM control 0    
 GCCTL0=\$1A4!       \ General control 0 
 GCCTL1=\$1A6!       \ General control 1 
@@ -60,12 +323,9 @@ PADIR=\$204!
 PAREN=\$206!
 PASEL0=\$20A!
 PASEL1=\$20C!
-P1IV=\$20E!
-PASELC=\$216!
 PAIES=\$218!
 PAIE=\$21A!
 PAIFG=\$21C!
-P2IV=\$21E!
 
 P1IN=\$200!
 P1OUT=\$202!
@@ -73,7 +333,7 @@ P1DIR=\$204!
 P1REN=\$206!
 P1SEL0=\$20A!
 P1SEL1=\$20C!
-P1SELC=\$216!
+P1IV=\$20E!
 P1IES=\$218!
 P1IE=\$21A!
 P1IFG=\$21C!
@@ -84,18 +344,86 @@ P2DIR=\$205!
 P2REN=\$207!
 P2SEL0=\$20B!
 P2SEL1=\$20D!
-P2SELC=\$217!
 P2IES=\$219!
 P2IE=\$21B!
 P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+PBSEL1=\$22C!
+PBIES=\$238!
+PBIE=\$23A!
+PBIFG=\$23C!
 
 P3IN=\$220!
 P3OUT=\$222!
 P3DIR=\$224!
 P3REN=\$226!
+P3IV=\$22E!
 P3SEL0=\$22A!
 P3SEL1=\$22C!
-
+P3IES=\$238!
+P3IE=\$23A!
+P3IFG=\$23C!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+P4SEL1=\$22D!
+P4IES=\$239!
+P4IE=\$23B!
+P4IFG=\$23D!
+P4IV=\$23E!
+
+PCIN=\$240!
+PCOUT=\$242!
+PCDIR=\$244!
+PCREN=\$246!
+PCSEL0=\$24A!
+PCSEL1=\$24C!
+
+P5IN=\$240!
+P5OUT=\$242!
+P5DIR=\$244!
+P5REN=\$246!
+P5SEL0=\$24A!
+P5SEL1=\$24C!
+
+P6IN=\$241!
+P6OUT=\$243!
+P6DIR=\$245!
+P6REN=\$247!
+P6SEL0=\$249!
+P6SEL1=\$24B!
+
+
+PDIN=\$260!
+PDOUT=\$262!
+PDDIR=\$264!
+PDREN=\$266!
+PDSEL0=\$26A!
+PDSEL1=\$26C!
+
+P7IN=\$260!
+P7OUT=\$262!
+P7DIR=\$264!
+P7REN=\$266!
+P7SEL0=\$26A!
+P7SEL1=\$26C!
+
+P8IN=\$261!
+P8OUT=\$263!
+P8DIR=\$265!
+P8REN=\$267!
+P8SEL0=\$26B!
+
+CAPTIO0CTL=\$2EE!   \ Capacitive Touch IO 0 control      
 
 RTCCTL=\$300!       \ RTC control                                  
 RTCIV=\$304!        \ RTC interrupt vector word                       
@@ -147,6 +475,60 @@ TA3CCR1=\$454!      \ Capture/compare register 1
 TA3EX0=\$460!       \ TA3 expansion register 0    
 TA3IV=\$46E!        \ TA3 interrupt vector        
 
+TB0CTL=\$380!       \ TB0 control                 
+TB0CCTL0=\$382!     \ Capture/compare control 0   
+TB0CCTL1=\$384!     \ Capture/compare control 1   
+TB0CCTL2=\$386!     \ Capture/compare control 2   
+TB0R=\$390!         \ TB0 counter register        
+TB0CCR0=\$392!      \ Capture/compare register 0  
+TB0CCR1=\$394!      \ Capture/compare register 1  
+TB0CCR2=\$396!      \ Capture/compare register 2  
+TB0EX0=\$3A0!       \ TB0 expansion register 0    
+TB0IV=\$3AE!        \ TB0 interrupt vector        
+
+TB1CTL=\$3C0!       \ TB1 control                 
+TB1CCTL0=\$3C2!     \ Capture/compare control 0   
+TB1CCTL1=\$3C4!     \ Capture/compare control 1   
+TB1CCTL2=\$3C6!     \ Capture/compare control 2   
+TB1R=\$3D0!         \ TB0 counter register        
+TB1CCR0=\$3D2!      \ Capture/compare register 0  
+TB1CCR1=\$3D4!      \ Capture/compare register 1  
+TB1CCR2=\$3D6!      \ Capture/compare register 2  
+TB1EX0=\$3E0!       \ TB0 expansion register 0    
+TB1IV=\$3EE!        \ TB0 interrupt vector        
+
+TB2CTL=\$400!       \ TB2 control                 
+TB2CCTL0=\$402!     \ Capture/compare control 0   
+TB2CCTL1=\$404!     \ Capture/compare control 1   
+TB2CCTL2=\$406!     \ Capture/compare control 2   
+TB2R=\$410!         \ TB0 counter register        
+TB2CCR0=\$412!      \ Capture/compare register 0  
+TB2CCR1=\$414!      \ Capture/compare register 1  
+TB2CCR2=\$416!      \ Capture/compare register 2  
+TB2EX0=\$420!       \ TB0 expansion register 0    
+TB2IV=\$42E!        \ TB0 interrupt vector        
+
+TB3CTL=\$440!       \ TB3 control                 
+TB3CCTL0=\$442!     \ Capture/compare control 0   
+TB3CCTL1=\$444!     \ Capture/compare control 1   
+TB3CCTL2=\$446!     \ Capture/compare control 2   
+TB3CCTL3=\$448!     \ Capture/compare control 3   
+TB3CCTL4=\$44A!     \ Capture/compare control 4   
+TB3CCTL6=\$44C!     \ Capture/compare control 5   
+TB3CCTL6=\$44E!     \ Capture/compare control 6   
+TB3R=\$450!         \ TB0 counter register        
+TB3CCR0=\$452!      \ Capture/compare register 0  
+TB3CCR1=\$454!      \ Capture/compare register 1  
+TB3CCR2=\$456!      \ Capture/compare register 2  
+TB3CCR3=\$456!      \ Capture/compare register 3  
+TB3CCR4=\$456!      \ Capture/compare register 4  
+TB3CCR5=\$456!      \ Capture/compare register 5  
+TB3CCR6=\$456!      \ Capture/compare register 6  
+TB3EX0=\$460!       \ TB0 expansion register 0    
+TB3IV=\$46E!        \ TB0 interrupt vector        
+
+
+
 MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
 MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
 MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
@@ -322,6 +704,19 @@ BAKMEM14=\$67C!     \ Backup Memory 14
 BAKMEM15=\$67E!     \ Backup Memory 15    
 
 
+ICCSC=\$6C00!    \ Interrupt Compare Controller Status and Control Register
+ICCMVS=\$6C02!   \ Interrupt Compare Controller Mask Virtual Stack Register
+ICCILSR0=\$6C04! \ Interrupt Compare Controller Interrupt Level Setting Register 0
+ICCILSR1=\$6C06! \ Interrupt Compare Controller Interrupt Level Setting Register 1
+ICCILSR2=\$6C08! \ Interrupt Compare Controller Interrupt Level Setting Register 2
+ICCILSR3=\$6C0A! \ Interrupt Compare Controller Interrupt Level Setting Register 3
+ICCILSR4=\$6C0C! \ Interrupt Compare Controller Interrupt Level Setting Register 4
+ICCILSR5=\$6C0E! \ Interrupt Compare Controller Interrupt Level Setting Register 5
+ICCILSR6=\$6C10! \ Interrupt Compare Controller Interrupt Level Setting Register 6
+ICCILSR7=\$6C12! \ Interrupt Compare Controller Interrupt Level Setting Register 7
+
+
+
 ADC10CTL0=\$700!    \ ADC10_B Control register 0               
 ADC10CTL1=\$702!    \ ADC10_B Control register 1               
 ADC10CTL2=\$704!    \ ADC10_B Control register 2               
@@ -336,3 +731,51 @@ ADC10IV=\$71E!      \ ADC10_B Interrupt Vector Word
 ADCON=\$10!
 ADCSTART=\$03!
 
+
+
+CP0CTL0=\$8E0!      \ Comparator control 0            
+CP0CTL1=\$8E2!      \ Comparator control 1            
+CP0INT=\$8E6!       \ Comparator interrupt            
+CP0IV=\$8E8!        \ Comparator interrupt vector     
+CP0DACCTL=\$8EA!    \ Comparator built-in DAC control 
+CP0DACDATA=\$8EC!   \ Comparator built-in DAC data    
+
+CP1CTL0=\$900!      \ Comparator control 0            
+CP1CTL1=\$902!      \ Comparator control 1            
+CP1INT=\$906!       \ Comparator interrupt            
+CP1IV=\$908!        \ Comparator interrupt vector     
+CP1DACCTL=\$90A!    \ Comparator built-in DAC control 
+CP1DACDATA=\$90C!   \ Comparator built-in DAC data    
+
+SAC0OA=\$0C80!    SAC0 OA control         
+SAC0PGA=\$0C82!    SAC0 PGA control        
+SAC0DAC=\$0C84!    SAC0 DAC control        
+SAC0DAT=\$0C86!    SAC0 DAC data           
+SAC0DATSTS=\$0C88!    SAC0 DAC status         
+SAC0IV=\$0C8A!    SAC0 interrupt vector   
+
+SAC1OA=\$0C90!    SAC1 OA control         
+SAC1PGA=\$0C92!    SAC1 PGA control        
+SAC1DAC=\$0C94!    SAC1 DAC control        
+SAC1DAT=\$0C96!    SAC1 DAC data           
+SAC1DATSTS=\$0C98!    SAC1 DAC status         
+SAC1IV=\$0C9A!    SAC1 interrupt vector   
+
+SAC2OA=\$0CA0!    SAC2 OA control         
+SAC2PGA=\$0CA2!    SAC2 PGA control        
+SAC2DAC=\$0CA4!    SAC2 DAC control        
+SAC2DAT=\$0CA6!    SAC2 DAC data           
+SAC2DATSTS=\$0CA8!    SAC2 DAC status         
+SAC2IV=\$0CAA!    SAC2 interrupt vector   
+
+SAC3OA=\$0CB0!    SAC3 OA control         
+SAC3PGA=\$0CB2!    SAC3 PGA control        
+SAC3DAC=\$0CB4!    SAC3 DAC control        
+SAC3DAT=\$0CB6!    SAC3 DAC data           
+SAC3DATSTS=\$0CB8!    SAC3 DAC status         
+SAC3IV=\$0CBA!    SAC3 interrupt vector   
+
+
+
+   
+
diff --git a/config/gema/MSP430FR2x4x_FastForth.pat b/config/gema/MSP430FR2x4x_FastForth.pat
deleted file mode 100644 (file)
index ee08a5b..0000000
+++ /dev/null
@@ -1,297 +0,0 @@
-!MSP430FR2x4x_FastForth.pat
-
-
-! ===========================================================
-! MSP430FR2xxx and FR4xxx DEVICES HAVE SPECIFIC RAM ADDRESSES
-! ===========================================================
-
-
-! ============================================
-! SR bits :
-! ============================================
-\#C=\#1!        = SR(0) Carry flag
-\#Z=\#2!        = SR(1) Zero flag
-\#N=\#4!        = SR(2) Negative flag
-\#GIE=\#8!      = SR(3) Enable Int
-\#CPUOFF=\#\$10!= SR(4) CPUOFF    
-\#OSCOFF=\#\$20!= SR(5) OSCOFF
-\#SCG0=\#\$40!  = SR(6) SCG0     
-\#SCG1=\#\$80!  = SR(7) SCG1
-\#V=\#\$100!    = SR(8) oVerflow flag
-\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
-\#UF10=\#\$400! = SR(10) User Flag 2  
-\#UF11=\#\$800! = SR(11) User Flag 3  
-
-! ============================================
-! PORTx, Reg  bits :
-! ============================================
-BIT0=1!
-BIT1=2!
-BIT2=4!
-BIT3=8!
-BIT4=\$10!
-BIT5=\$20!
-BIT6=\$40!
-BIT7=\$80!
-BIT8=\$100!
-BIT9=\$200!
-BIT10=\$400!
-BIT11=\$800!
-BIT12=\$1000!
-BIT13=\$2000!
-BIT14=\$4000!
-BIT15=\$8000!
-
-! ============================================
-! symbolic codes :
-! ============================================
-RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
-NOP=MOV \#0,R3!     \                one word one cycle
-NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
-NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
-NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
-SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
-
-
-! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
-! those addresses are usable with the symbolic assembler
-
-! ============================================
-! FastForth INFO(DCBA) memory map (256 bytes):
-! ============================================
-
-! ----------------------
-! KERNEL CONSTANTS
-! ----------------------
-INI_THREAD=\$1800!      .word THREADS
-TERMINAL_INT=\$1802!    .word TERMINAL_INT
-FREQ_KHZ=\$1804!        .word FREQUENCY
-HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
-! ----------------------
-! SAVED VARIABLES
-! ----------------------
-SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
-LPM_MODE=\$180A!        LPM0+GIE is the default mode
-INIDP=\$180C!           define RST_STATE, init by wipe
-INIVOC=\$180E!          define RST_STATE, init by wipe
-
-RXON=\$1810!
-RXOFF=\$1812!
-
-ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
-WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
-GPFLAGS=\$1818!
-
-
-! ============================================
-! FORTH RAM areas :
-! ============================================
-LSTACK_SIZE=\#16! words
-PSTACK_SIZE=\#48! words
-RSTACK_SIZE=\#48! words
-PAD_LEN=\#84! bytes
-TIB_LEN=\#84! bytes
-HOLD_SIZE=\#34! bytes
-
-! ============================================
-! FastForth RAM memory map (>= 1k):
-! ============================================
-
-!LEAVEPTR=\$2000!    \ Leave-stack pointer, init by QUIT
-!LSATCK=\$2000!      \ leave stack,      grow up
-!PSTACK=\$2080!      \ parameter stack,  grow down
-!RSTACK=\$20E0!      \ Return stack,     grow down
-!PAD_ORG=\$20E0!     \ user scratch pad buffer, grow up
-!TIB_ORG=\$2134!     \ Terminal input buffer, grow up
-!HOLDS_ORG=\$2188!   \ a good address for HOLDS
-!BASE_HOLD=\$21AA!   \ BASE HOLD area, grow down
-!
-!! ----------------------
-!! NOT SAVED VARIABLES
-!! ----------------------
-!
-!HP=\$21AA!              HOLD ptr
-!CAPS=\$21AC!            CAPS ON/OFF flag, must be set to -1 before first reset !
-!LAST_NFA=\$21AE!
-!LAST_THREAD=\$21B0!
-!LAST_CFA=\$21B2!
-!LAST_PSP=\$21B4!
-!
-!!STATE=\$21B6!           Interpreter state
-!
-!SAV_CURRENT=\$21B8!     preserve CURRENT when create assembler words
-!OPCODE=\$21BA!          OPCODE adr
-!ASMTYPE=\$21BC!         keep the opcode complement
-!
-!SOURCE_LEN=\$21BE!      len of input stream
-!SOURCE_ADR=\$21C0!      adr of input stream
-!!\>IN=\$21C2!            >IN
-!DP=\$21C4!              dictionary ptr
-!LASTVOC=\$21C6!         keep VOC-LINK
-!CURRENT=\$21C8!         CURRENT dictionnary ptr
-!CONTEXT=\$21CA!         CONTEXT dictionnary space (8 CELLS)
-!
-!!BASE=\$21DA!            numeric base, must be defined before first reset !
-!
-!!21DC! 34 RAM bytes free
-!
-!!BUFFER-2 is reserved
-!BUFFER=\$2200!      \ SD_Card buffer
-!BUFEND=\$2400!
-
-LEAVEPTR=\$2000!    \ Leave-stack pointer, init by QUIT
-LSATCK=\$2000!      \ leave stack,      grow up
-PSTACK=\$2080!      \ parameter stack,  grow down
-RSTACK=\$20E0!      \ Return stack,     grow down
-
-PAD_I2CADR=\$20E0!  \ RX I2C address
-PAD_I2CCNT=\$20E2!  \ count max
-PAD_ORG=\$20E4!     \ user scratch pad buffer, 84 bytes, grow up
-
-TIB_I2CADR=\$2138!  \ TX I2C address 
-TIB_I2CCNT=\$213A!  \ count of bytes
-TIB_ORG=\$213C!     \ Terminal input buffer, 84 bytes, grow up
-
-HOLDS_ORG=\$2190!   \ a good address for HOLDS
-BASE_HOLD=\$21B2!   \ BASE HOLD area, grow down
-
-! ----------------------
-! NOT SAVED VARIABLES
-! ----------------------
-
-HP=\$21B2!              HOLD ptr
-CAPS=\$21B4!            CAPS ON/OFF flag, must be set to -1 before first reset !
-LAST_NFA=\$21B6!
-LAST_THREAD=\$21B8!
-LAST_CFA=\$21BA!
-LAST_PSP=\$21BC!
-
-!STATE=\$21BE!           Interpreter state
-
-SAV_CURRENT=\$21C0!     preserve CURRENT when create assembler words
-OPCODE=\$21C2!          OPCODE adr
-ASMTYPE=\$21C4!         keep the opcode complement
-
-SOURCE_LEN=\$21C6!      len of input stream
-SOURCE_ADR=\$21C8!      adr of input stream
-!\>IN=\$21CA!            >IN
-DP=\$21CC!              dictionary ptr
-LASTVOC=\$21CE!         keep VOC-LINK
-CONTEXT=\$21D0!         CONTEXT dictionnary space (8 CELLS)
-CURRENT=\$21E0!         CURRENT dictionnary ptr
-
-!BASE=\$21E2!           numeric base, must be defined before first reset !
-LINE=\$21E4!           line in interpretation, activated with NOECHO, desactivated with ECHO
-! ---------------------------------------
-!21E6! 22 RAM bytes free
-! ---------------------------------------
-
-! ---------------------------------------
-! SD buffer
-! ---------------------------------------
-SD_BUF_I2ADR=\$21FC!
-SD_BUF_I2CNT=\$21FE!
-BUFFER=\$2200!      \ SD_Card buffer
-BUFEND=\$2400!
-
-! ---------------------------------------
-! FAT16 FileSystemInfos 
-! ---------------------------------------
-FATtype=\$2402!
-BS_FirstSectorL=\$2404!
-BS_FirstSectorH=\$2406!
-OrgFAT1=\$2408!
-FATSize=\$240A!
-OrgFAT2=\$240C!
-OrgRootDir=\$240E!
-OrgClusters=\$2410!         Sector of Cluster 0
-SecPerClus=\$2412!
-
-! ---------------------------------------
-! SD command
-! ---------------------------------------
-SD_CMD_FRM=\$2414!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
-SD_CMD_FRM0=\$2414! CRC:ll  word access
-SD_CMD_FRM1=\$2415! ll      byte access
-SD_CMD_FRM2=\$2416! LL:hh   word access
-SD_CMD_FRM3=\$2417! hh      byte access
-SD_CMD_FRM4=\$2418! HH:CMD  word access
-SD_CMD_FRM5=\$2419! CMD     byte access
-SectorL=\$241A!     2 words
-SectorH=\$241C!
-
-! ---------------------------------------
-! BUFFER management
-! ---------------------------------------
-BufferPtr=\$241E! 
-BufferLen=\$2420!
-
-! ---------------------------------------
-! FAT entry
-! ---------------------------------------
-ClusterL=\$2422!     16 bits wide (FAT16)
-ClusterH=\$2424!     16 bits wide (FAT16)
-NewClusterL=\$2426!  16 bits wide (FAT16) 
-NewClusterH=\$2428!  16 bits wide (FAT16) 
-CurFATsector=\$242A!
-
-! ---------------------------------------
-! DIR entry
-! ---------------------------------------
-DIRclusterL=\$242C!  contains the Cluster of current directory ; 1 if FAT16 root directory
-DIRclusterH=\$242E!  contains the Cluster of current directory ; 1 if FAT16 root directory
-EntryOfst=\$2430!  
-
-! ---------------------------------------
-! Handle Pointer
-! ---------------------------------------
-CurrentHdl=\$2432!  contains the address of the last opened file structure, or 0
-
-! ---------------------------------------
-! Load file operation
-! ---------------------------------------
-pathname=\$2434!
-EndOfPath=\$2436!
-
-! ---------------------------------------
-! Handle structure
-! ---------------------------------------
-! three handle tokens : 
-! token = 0 : free handle
-! token = 1 : file to read
-! token = 2 : file updated (write)
-! token =-1 : LOAD"ed file (source file)
-
-! offset values
-HDLW_PrevHDL=0!     previous handle ; used by LOAD"
-HDLB_Token=2!       token
-HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
-HDLL_DIRsect=4!     Dir SectorL (Long)
-HDLH_DIRsect=6!
-HDLW_DIRofst=8!     BUFFER offset of Dir entry
-HDLL_FirstClus=10!  File First ClusterLo (identify the file)
-HDLH_FirstClus=12!  File First ClusterHi (byte)
-HDLL_CurClust=14!   Current ClusterLo
-HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
-HDLL_CurSize=18!    written size / not yet read size (Long)
-HDLH_CurSize=20!    written size / not yet read size (Long)
-HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
-
-
-!OpenedFirstFile     ; "openedFile" structure 
-HandleMax=8!
-HandleLenght=24!
-FirstHandle=\$2440!
-HandleEnd=\$2500!
-
-!Stack of return IP for LOADed files, preincrement stack structure
-LOADPTR=\$2500!
-LOAD_STACK=\$2502!
-LOAD_STACK_END=\$2538!
-
-!SD_card Input Buffer
-SDIB_I2CADR=\$2538!
-SDIB_I2CCNT=\$253A!
-SDIB_ORG=\$253C!
-
-SD_END_DATA=\$2590!
index 0697bba..a7d42c2 100644 (file)
@@ -1,8 +1,5 @@
 !MSP430FR4133.pat
 
-!@define{@read{/config/gema/MSP430FR2x4x.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR2x4x.pat;}}}
-
 ! ----------------------------------------------
 ! MSP430FR5739 MEMORY MAP
 ! ----------------------------------------------
@@ -53,3 +50,589 @@ U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+
+
+
+!MSP430FR2xxx.pat
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! ===========================================================
+! MSP430FR2xxx and FR4xxx DEVICES HAVE SPECIFIC RAM ADDRESSES
+! ===========================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 1k):
+! ============================================
+
+
+LEAVEPTR=\$2000!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$2000!      \ leave stack,      grow up
+PSTACK=\$2080!      \ parameter stack,  grow down
+RSTACK=\$20E0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$20E0!  \ RX I2C address
+PAD_I2CCNT=\$20E2!  \ count max
+PAD_ORG=\$20E4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$2138!  \ TX I2C address 
+TIB_I2CCNT=\$213A!  \ count of bytes
+TIB_ORG=\$213C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$2190!   \ a good address for HOLDS
+BASE_HOLD=\$21B2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$21B2!              HOLD ptr
+CAPS=\$21B4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$21B6!
+LAST_THREAD=\$21B8!
+LAST_CFA=\$21BA!
+LAST_PSP=\$21BC!
+
+!STATE=\$21BE!           Interpreter state
+
+SAV_CURRENT=\$21C0!     preserve CURRENT when create assembler words
+OPCODE=\$21C2!          OPCODE adr
+ASMTYPE=\$21C4!         keep the opcode complement
+
+SOURCE_LEN=\$21C6!      len of input stream
+SOURCE_ADR=\$21C8!      adr of input stream
+TOIN=\$21CA!            >IN
+DP=\$21CC!              dictionary ptr
+LASTVOC=\$21CE!         keep VOC-LINK
+CONTEXT=\$21D0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$21E0!         CURRENT dictionnary ptr
+
+!BASE=\$21E2!           numeric base, must be defined before first reset !
+LINE=\$21E4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+! ---------------------------------------
+!21E6! 22 RAM bytes free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$21FC!
+SD_BUF_I2CNT=\$21FE!
+SD_BUF=\$2200!      \ SD_Card buffer
+BUFEND=\$2400!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2402!
+BS_FirstSectorL=\$2404!
+BS_FirstSectorH=\$2406!
+OrgFAT1=\$2408!
+FATSize=\$240A!
+OrgFAT2=\$240C!
+OrgRootDir=\$240E!
+OrgClusters=\$2410!         Sector of Cluster 0
+SecPerClus=\$2412!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2414!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2414! CRC:ll  word access
+SD_CMD_FRM1=\$2415! ll      byte access
+SD_CMD_FRM2=\$2416! LL:hh   word access
+SD_CMD_FRM3=\$2417! hh      byte access
+SD_CMD_FRM4=\$2418! HH:CMD  word access
+SD_CMD_FRM5=\$2419! CMD     byte access
+SectorL=\$241A!     2 words
+SectorH=\$241C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$241E! 
+BufferLen=\$2420!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2422!     16 bits wide (FAT16)
+ClusterH=\$2424!     16 bits wide (FAT16)
+NewClusterL=\$2426!  16 bits wide (FAT16) 
+NewClusterH=\$2428!  16 bits wide (FAT16) 
+CurFATsector=\$242A!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$242C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$242E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2430!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2432!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2434!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2440!
+HandleEnd=\$2500!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2500!
+LOAD_STACK=\$2502!
+LOAD_STACK_END=\$2538!
+
+!SD_card Input Buffer
+SDIB_I2CADR=\$2538!
+SDIB_I2CCNT=\$253A!
+SDIB_ORG=\$253C!
+
+SD_END_DATA=\$2590!
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMCTL1=\$122!      \ PMM Control 0
+PMMCTL2=\$124!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+SYSCTL=\$140!       \ System control 
+SYSBSLC=\$142!      \ Bootstrap loader configuration area             
+SYSJMBC=\$146!      \ JTAG mailbox control        
+SYSJMBI0=\$148!     \ JTAG mailbox input 0        
+SYSJMBI1=\$14A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$14C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$14E!     \ JTAG mailbox output 1       
+SYSUNIV=\$15A!      \ User NMI vector generator   
+SYSSNIV=\$15C!      \ System NMI vector generator 
+SYSRSTIV=\$15E!     \ Reset vector generator      
+SYSCFG0=\$160!      \ System configuration 0 
+SYSCFG1=\$162!      \ System configuration 1 
+SYSCFG2=\$164!      \ System configuration 2 
+
+CSCTL0=\$180!       \ CS control 0 
+CSCTL1=\$182!       \ CS control 1 
+CSCTL2=\$184!       \ CS control 2 
+CSCTL3=\$186!       \ CS control 3 
+CSCTL4=\$188!       \ CS control 4 
+CSCTL5=\$18A!       \ CS control 5 
+CSCTL6=\$18C!       \ CS control 6 
+CSCTL7=\$18E!       \ CS control 7 
+CSCTL8=\$190!       \ CS control 8 
+
+
+FRCTLCTL0=\$1A0!    \ FRAM control 0    
+GCCTL0=\$1A4!       \ General control 0 
+GCCTL1=\$1A6!       \ General control 1 
+
+CRC16DI=\$1C0!      \ CRC data input                  
+CRCDIRB=\$1C2!      \ CRC data input reverse byte     
+CRCINIRES=\$1C4!    \ CRC initialization and result   
+CRCRESR=\$1C6!      \ CRC result reverse byte  
+
+WDTCTL=\$1CC!        \ WDT control register
+
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+
+PCIN=\$240!
+PCOUT=\$242!
+PCDIR=\$244!
+PCREN=\$246!
+PCSEL0=\$24A!
+PCSEL1=\$24C!
+
+P5IN=\$240!
+P5OUT=\$242!
+P5DIR=\$244!
+P5REN=\$246!
+P5SEL0=\$24A!
+
+P6IN=\$241!
+P6OUT=\$243!
+P6DIR=\$245!
+P6REN=\$247!
+
+PDIN=\$260!
+PDOUT=\$262!
+PDDIR=\$264!
+PDREN=\$266!
+PDSEL0=\$26A!
+
+P7IN=\$260!
+P7OUT=\$262!
+P7DIR=\$264!
+P7REN=\$266!
+
+P8IN=\$261!
+P8OUT=\$263!
+P8DIR=\$265!
+P8REN=\$267!
+P8SEL0=\$26B!
+
+CAPTIO0CTL=\$2EE!   \ Capacitive Touch IO 0 control      
+
+
+
+TACLR=4!
+TAIFG=1!
+CCIFG=1!
+
+TA0CTL=\$300!       \ TA0 control                 
+TA0CCTL0=\$302!     \ Capture/compare control 0   
+TA0CCTL1=\$304!     \ Capture/compare control 1   
+TA0CCTL2=\$306!     \ Capture/compare control 2   
+TA0R=\$310!         \ TA0 counter register        
+TA0CCR0=\$312!      \ Capture/compare register 0  
+TA0CCR1=\$314!      \ Capture/compare register 1  
+TA0CCR2=\$316!      \ Capture/compare register 2  
+TA0EX0=\$320!       \ TA0 expansion register 0    
+TA0IV=\$32E!        \ TA0 interrupt vector        
+
+TA1CTL=\$340!       \ TA1 control                 
+TA1CCTL0=\$342!     \ Capture/compare control 0   
+TA1CCTL1=\$344!     \ Capture/compare control 1   
+TA1CCTL2=\$346!     \ Capture/compare control 2   
+TA1R=\$350!         \ TA1 counter register        
+TA1CCR0=\$352!      \ Capture/compare register 0  
+TA1CCR1=\$354!      \ Capture/compare register 1  
+TA1CCR2=\$356!      \ Capture/compare register 2  
+TA1EX0=\$360!       \ TA1 expansion register 0    
+TA1IV=\$36E!        \ TA1 interrupt vector        
+
+RTCCTL=\$3C0!       \ RTC control                                  
+RTCIV=\$3C4!        \ RTC interrupt vector word                       
+RTCMOD=\$3C8!       \ RTC modulo                                       
+RTCCNT=\$3CC!       \ RTC counter register    
+
+
+UCA0CTLW0=\$500!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$502!    \ eUSCI_A control word 1        
+UCA0BRW=\$506!         
+UCA0BR0=\$506!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$507!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$508!    \ eUSCI_A modulation control    
+UCA0STAT=\$50A!     \ eUSCI_A status                
+UCA0RXBUF=\$50C!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$50E!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$510!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$512!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$513!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$51A!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$51C!      \ eUSCI_A interrupt flags       
+UCA0IV=\$51E!       \ eUSCI_A interrupt vector word 
+
+
+UCB0CTLW0=\$540!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$542!    \ eUSCI_B control word 1 
+UCB0BRW=\$546!         
+UCB0BR0=\$546!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$547!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$548!    \ eUSCI_B status word 
+UCBCNT0=\$549!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$54A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$54C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$54E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$554!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$556!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$558!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$55A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$55C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$55E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$560!    \ eUSCI I2C slave address         
+UCB0IE=\$56A!       \ eUSCI interrupt enable          
+UCB0IFG=\$56C!      \ eUSCI interrupt flags           
+UCB0IV=\$56E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+LCDCTL0=\$600!      \ LCD control register 0   
+LCDCTL1=\$602!      \ LCD control register 1   
+LCDBLKCTL=\$604!    \ LCD blink control register     
+LCDMEMCTL=\$606!    \ LCD memory control register     
+LCDVCTL=\$608!      \ LCD voltage control register   
+LCDPCTL0=\$60A!     \ LCD port control 0    
+LCDPCTL1=\$60C!     \ LCD port control 1    
+LCDPCTL2=\$60E!     \ LCD port control 2    
+LCDCSS0=\$614!      \ LCD COM/SEG select register   
+LCDCSS1=\$616!      \ LCD COM/SEG select register   
+LCDCSS2=\$618!      \ LCD COM/SEG select register   
+LCDIV=\$61E!        \ LCD interrupt vector 
+LCDM0=\$620!        \ LCD memory 0 
+LCDM1=\$621!        \ LCD memory 1 
+LCDM2=\$622!        \ LCD memory 2 
+LCDM3=\$623!        \ LCD memory 3 
+LCDM4=\$624!        \ LCD memory 4 
+LCDM5=\$625!        \ LCD memory 5 
+LCDM6=\$626!        \ LCD memory 6 
+LCDM7=\$627!        \ LCD memory 7 
+LCDM8=\$628!        \ LCD memory 8 
+LCDM9=\$629!        \ LCD memory 9 
+LCDM10=\$62A!       \ LCD memory 10 
+LCDM11=\$62B!       \ LCD memory 11 
+LCDM12=\$62C!       \ LCD memory 12 
+LCDM13=\$62D!       \ LCD memory 13 
+LCDM14=\$62E!       \ LCD memory 14 
+LCDM15=\$62F!       \ LCD memory 15 
+LCDM16=\$630!       \ LCD memory 16 
+LCDM17=\$631!       \ LCD memory 17 
+LCDM18=\$632!       \ LCD memory 18 
+LCDM19=\$633!       \ LCD memory 19  
+LCDM20=\$634!       \ LCD memory 20 
+LCDM21=\$635!       \ LCD memory 21 
+LCDM22=\$636!       \ LCD memory 22 
+LCDM23=\$637!       \ LCD memory 23 
+LCDM24=\$638!       \ LCD memory 24 
+LCDM25=\$639!       \ LCD memory 25 
+LCDM26=\$63A!       \ LCD memory 26 
+LCDM27=\$63B!       \ LCD memory 27 
+LCDM28=\$63C!       \ LCD memory 28 
+LCDM29=\$63D!       \ LCD memory 29  
+LCDM30=\$63E!       \ LCD memory 30 
+LCDM31=\$63F!       \ LCD memory 31 
+LCDM32=\$640!       \ LCD memory 32 
+LCDM33=\$641!       \ LCD memory 33 
+LCDM34=\$642!       \ LCD memory 34 
+LCDM35=\$643!       \ LCD memory 35 
+LCDM36=\$644!       \ LCD memory 36 
+LCDM37=\$645!       \ LCD memory 37 
+LCDM38=\$646!       \ LCD memory 38 
+LCDM39=\$647!       \ LCD memory 39  
+LCDBM0=\$640!       \ LCD blinking memory 0 
+LCDBM1=\$641!       \ LCD blinking memory 1 
+LCDBM2=\$642!       \ LCD blinking memory 2 
+LCDBM3=\$643!       \ LCD blinking memory 3 
+LCDBM4=\$644!       \ LCD blinking memory 4 
+LCDBM5=\$645!       \ LCD blinking memory 5 
+LCDBM6=\$646!       \ LCD blinking memory 6 
+LCDBM7=\$647!       \ LCD blinking memory 7 
+LCDBM8=\$648!       \ LCD blinking memory 8 
+LCDBM9=\$649!       \ LCD blinking memory 9 
+LCDBM10=\$64A!      \ LCD blinking memory 10 
+LCDBM11=\$64B!      \ LCD blinking memory 11 
+LCDBM12=\$64C!      \ LCD blinking memory 12 
+LCDBM13=\$64D!      \ LCD blinking memory 13 
+LCDBM14=\$64E!      \ LCD blinking memory 14 
+LCDBM15=\$64F!      \ LCD blinking memory 15 
+LCDBM16=\$650!      \ LCD blinking memory 16 
+LCDBM17=\$651!      \ LCD blinking memory 17 
+LCDBM18=\$652!      \ LCD blinking memory 18 
+LCDBM19=\$653!      \ LCD blinking memory 19 
+
+
+BAKMEM0=\$660!      \ Backup Memory 0     
+BAKMEM1=\$662!      \ Backup Memory 1     
+BAKMEM2=\$664!      \ Backup Memory 2     
+BAKMEM3=\$666!      \ Backup Memory 3     
+BAKMEM4=\$668!      \ Backup Memory 4     
+BAKMEM5=\$66A!      \ Backup Memory 5     
+BAKMEM6=\$66C!      \ Backup Memory 6     
+BAKMEM7=\$66E!      \ Backup Memory 7     
+BAKMEM8=\$670!      \ Backup Memory 8     
+BAKMEM9=\$672!      \ Backup Memory 9     
+BAKMEM10=\$674!     \ Backup Memory 10    
+BAKMEM11=\$676!     \ Backup Memory 11    
+BAKMEM12=\$678!     \ Backup Memory 12    
+BAKMEM13=\$67A!     \ Backup Memory 13    
+BAKMEM14=\$67C!     \ Backup Memory 14    
+BAKMEM15=\$67E!     \ Backup Memory 15    
+
+
+
+ADC10CTL0=\$700!    \ ADC10_B Control register 0               
+ADC10CTL1=\$702!    \ ADC10_B Control register 1               
+ADC10CTL2=\$704!    \ ADC10_B Control register 2               
+ADC10LO=\$706!      \ ADC10_B Window Comparator Low Threshold  
+ADC10HI=\$708!      \ ADC10_B Window Comparator High Threshold 
+ADC10MCTL0=\$70A!   \ ADC10_B Memory Control Register 0        
+ADC10MEM0=\$712!    \ ADC10_B Conversion Memory Register       
+ADC10IE=\$71A!      \ ADC10_B Interrupt Enable                 
+ADC10IFG=\$71C!     \ ADC10_B Interrupt Flags                  
+ADC10IV=\$71E!      \ ADC10_B Interrupt Vector Word            
+
+ADCON=\$10!
+ADCSTART=\$03!
+
index f0d5b7d..9f9c3aa 100644 (file)
@@ -1,10 +1,5 @@
 !MSP430fr5738.pat
 
-!@define{@read{/config/gema/MSP430FR57xx.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR57xx.pat;}}}
-
-
-
 ! ----------------------------------------------
 ! MSP430FR5738 MEMORY MAP
 ! ----------------------------------------------
@@ -67,3 +62,539 @@ USER_NMI_Vec=\$FFFA!
 SYS_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+! =================================================
+! MSP430FR57xx DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$181A!
+BS_FirstSectorL=\$181C!
+BS_FirstSectorH=\$181E!
+OrgFAT1=\$1820!
+FATSize=\$1822!
+OrgFAT2=\$1824!
+OrgRootDir=\$1826!
+OrgClusters=\$1828!         Sector of Cluster 0
+SecPerClus=\$182A!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$182C!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$182C! CRC:ll  word access
+SD_CMD_FRM1=\$182D! ll      byte access
+SD_CMD_FRM2=\$182E! LL:hh   word access
+SD_CMD_FRM3=\$182F! hh      byte access
+SD_CMD_FRM4=\$1830! HH:CMD  word access
+SD_CMD_FRM5=\$1831! CMD     byte access
+SectorL=\$1832!     2 words
+SectorH=\$1834!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$1836! 
+BufferLen=\$1838!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$183A!     16 bits wide (FAT16)
+ClusterH=\$183C!     16 bits wide (FAT16)
+NewClusterL=\$183E!  16 bits wide (FAT16) 
+NewClusterH=\$1840!  16 bits wide (FAT16) 
+CurFATsector=\$1842!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$1844!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$1846!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$1848!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$184A!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$184C!    address of pathname string
+EndOfPath=\$184E!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=5!
+HandleLenght=24!
+FirstHandle=\$1858!
+HandleEnd=\$18D0!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$18D0!
+LOAD_STACK=\$18D2!
+LOAD_STACK_END=\$18F6!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (= 1k):
+! ============================================
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ a good address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!          Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+SD_BUF=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+FRCTLCTL0=\$140!    \ FRAM control 0    
+GCCTL0=\$144!       \ General control 0 
+GCCTL1=\$146!       \ General control 1 
+
+CRC16DI=\$150!      \ CRC data input                  
+CRCDIRB=\$152!      \ CRC data input reverse byte     
+CRCINIRES=\$154!    \ CRC initialization and result   
+CRCRESR=\$156!      \ CRC result reverse byte  
+
+WDTCTL=\$15C!        \ WDT control register
+
+CSCTL0=\$160!       \ CS control 0
+CSCTL0_H=\$161!     \ 
+CSCTL1=\$162!       \ CS control 1 
+CSCTL2=\$164!       \ CS control 2 
+CSCTL3=\$166!       \ CS control 3 
+CSCTL4=\$168!       \ CS control 4 
+CSCTL5=\$16A!       \ CS control 5 
+CSCTL6=\$16C!       \ CS control 6 
+
+SYSCTL=\$180!       \ System control              
+SYSJMBC=\$186!      \ JTAG mailbox control        
+SYSJMBI0=\$188!     \ JTAG mailbox input 0        
+SYSJMBI1=\$18A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$18C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$18E!     \ JTAG mailbox output 1       
+SYSBERRIV=\$198!    \ Bus Error vector generator  
+SYSUNIV=\$19A!      \ User NMI vector generator   
+SYSSNIV=\$19C!      \ System NMI vector generator 
+SYSRSTIV=\$19E!     \ Reset vector generator      
+
+REFCTL=\$1b0!       \ Shared reference control 
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PASELC=\$216!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1SELC=\$216!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2SELC=\$217!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+
+PJIN=\$320!
+PJOUT=\$322!
+PJDIR=\$324!
+PJREN=\$326!
+PJSEL0=\$32A!
+PJSEL1=\$32C!
+PJSELC=\$336!
+
+
+TACLR=4!
+TAIFG=1!
+TBCLR=4!
+TBIFG=1!
+CCIFG=1!
+
+TA0CTL=\$340!       \ TA0 control                 
+TA0CCTL0=\$342!     \ Capture/compare control 0   
+TA0CCTL1=\$344!     \ Capture/compare control 1   
+TA0CCTL2=\$346!     \ Capture/compare control 2   
+TA0R=\$350!         \ TA0 counter register        
+TA0CCR0=\$352!      \ Capture/compare register 0  
+TA0CCR1=\$354!      \ Capture/compare register 1  
+TA0CCR2=\$356!      \ Capture/compare register 2  
+TA0EX0=\$360!       \ TA0 expansion register 0    
+TA0IV=\$36E!        \ TA0 interrupt vector        
+
+TA1CTL=\$380!       \ TA1 control                 
+TA1CCTL0=\$382!     \ Capture/compare control 0   
+TA1CCTL1=\$384!     \ Capture/compare control 1   
+TA1CCTL2=\$386!     \ Capture/compare control 2   
+TA1R=\$390!         \ TA1 counter register        
+TA1CCR0=\$392!      \ Capture/compare register 0  
+TA1CCR1=\$394!      \ Capture/compare register 1  
+TA1CCR2=\$396!      \ Capture/compare register 2  
+TA1EX0=\$3A0!       \ TA1 expansion register 0    
+TA1IV=\$3AE!        \ TA1 interrupt vector        
+
+TB0CTL=\$3C0!       \ TB0 control                 
+TB0CCTL0=\$3C2!     \ Capture/compare control 0   
+TB0CCTL1=\$3C4!     \ Capture/compare control 1   
+TB0CCTL2=\$3C6!     \ Capture/compare control 2   
+TB0R=\$3D0!         \ TB0 counter register        
+TB0CCR0=\$3D2!      \ Capture/compare register 0  
+TB0CCR1=\$3D4!      \ Capture/compare register 1  
+TB0CCR2=\$3D6!      \ Capture/compare register 2  
+TB0EX0=\$3E0!       \ TB0 expansion register 0    
+TB0IV=\$3EE!        \ TB0 interrupt vector        
+
+
+! RTC_B
+RTCCTL0=\$4A0!      \ RTC control 0                                   
+RTCCTL1=\$4A1!      \ RTC control 1                                   
+RTCCTL2=\$4A2!      \ RTC control 2                                   
+RTCCTL3=\$4A3!      \ RTC control 3                                   
+RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
+RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control                         
+RTCPS0=\$4AC!       \ RTC prescaler 0                                 
+RTCPS1=\$4AD!       \ RTC prescaler 1                                 
+RTCIV=\$4AE!        \ RTC interrupt vector word                       
+RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
+RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
+RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
+RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
+RTCDAY=\$4B4!       \ RTC days                                        
+RTCMON=\$4B5!       \ RTC month
+RTCYEAR=\$4B6!                                       
+RTCYEARL=\$4B6!     \ RTC year low                                    
+RTCYEARH=\$4B7!     \ RTC year high                                   
+RTCAMIN=\$4B8!      \ RTC alarm minutes                               
+RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
+RTCADOW=\$4BA!      \ RTC alarm day of week                           
+RTCADAY=\$4BB!      \ RTC alarm days                                  
+BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
+BCD2BIN=\$4BE!      \ BCD-to-binary conversion register               
+RTCHOLD=\$40!
+RTCRDY=\$10!
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+DMAIFG=8!
+
+DMA0CTL=\$500!      \ DMA channel 0 control                   
+DMA0SAL=\$502!      \ DMA channel 0 source address low        
+DMA0SAH=\$504!      \ DMA channel 0 source address high       
+DMA0DAL=\$506!      \ DMA channel 0 destination address low   
+DMA0DAH=\$508!      \ DMA channel 0 destination address high  
+DMA0SZ=\$50A!       \ DMA channel 0 transfer size             
+DMA1CTL=\$510!      \ DMA channel 1 control                   
+DMA1SAL=\$512!      \ DMA channel 1 source address low        
+DMA1SAH=\$514!      \ DMA channel 1 source address high       
+DMA1DAL=\$516!      \ DMA channel 1 destination address low   
+DMA1DAH=\$518!      \ DMA channel 1 destination address high  
+DMA1SZ=\$51A!       \ DMA channel 1 transfer size             
+DMA2CTL=\$520!      \ DMA channel 2 control                   
+DMA2SAL=\$522!      \ DMA channel 2 source address low        
+DMA2SAH=\$524!      \ DMA channel 2 source address high       
+DMA2DAL=\$526!      \ DMA channel 2 destination address low   
+DMA2DAH=\$528!      \ DMA channel 2 destination address high  
+DMA2SZ=\$52A!       \ DMA channel 2 transfer size             
+DMACTL0=\$530!      \ DMA module control 0                    
+DMACTL1=\$532!      \ DMA module control 1                    
+DMACTL2=\$534!      \ DMA module control 2                    
+DMACTL3=\$536!      \ DMA module control 3                    
+DMACTL4=\$538!      \ DMA module control 4                    
+DMAIV=\$53A!        \ DMA interrupt vector                    
+
+MPUCTL0=\$5A0!      \ MPU control 0             
+MPUCTL1=\$5A2!      \ MPU control 1             
+MPUSEG=\$5A4!       \ MPU Segmentation Register 
+MPUSAM=\$5A6!       \ MPU access management     
+
+UCA0CTLW0=\$5C0!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$5C2!    \ eUSCI_A control word 1        
+UCA0BRW=\$5C6!         
+UCA0BR0=\$5C6!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$5C7!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$5C8!    \ eUSCI_A modulation control    
+UCA0STAT=\$5CA!     \ eUSCI_A status                
+UCA0RXBUF=\$5CC!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$5CE!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$5D0!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$5D2!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$5D3!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$5DA!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$5DC!      \ eUSCI_A interrupt flags       
+UCA0IV=\$5DE!       \ eUSCI_A interrupt vector word 
+
+UCB0CTLW0=\$640!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$642!    \ eUSCI_B control word 1 
+UCB0BRW=\$646!         
+UCB0BR0=\$646!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$647!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$648!    \ eUSCI_B status word 
+UCBCNT0=\$649!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$64A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$64C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$64E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$654!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$656!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$658!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$65A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$65C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$65E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$660!    \ eUSCI I2C slave address         
+UCB0IE=\$66A!       \ eUSCI interrupt enable          
+UCB0IFG=\$66C!      \ eUSCI interrupt flags           
+UCB0IV=\$66E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+ADC10CTL0=\$700!    \ ADC10_B Control register 0               
+ADC10CTL1=\$702!    \ ADC10_B Control register 1               
+ADC10CTL2=\$704!    \ ADC10_B Control register 2               
+ADC10LO=\$706!      \ ADC10_B Window Comparator Low Threshold  
+ADC10HI=\$708!      \ ADC10_B Window Comparator High Threshold 
+ADC10MCTL0=\$70A!   \ ADC10_B Memory Control Register 0        
+ADC10MEM0=\$712!    \ ADC10_B Conversion Memory Register       
+ADC10IE=\$71A!      \ ADC10_B Interrupt Enable                 
+ADC10IFG=\$71C!     \ ADC10_B Interrupt Flags                  
+ADC10IV=\$71E!      \ ADC10_B Interrupt Vector Word            
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+CDIFG=1!
+CDIIFG=2!
+
+CDCTL0=\$8C0!       \ Comparator_D control register 0     
+CDCTL1=\$8C2!       \ Comparator_D control register 1     
+CDCTL2=\$8C4!       \ Comparator_D control register 2     
+CDCTL3=\$8C6!       \ Comparator_D control register 3     
+CDINT=\$8CC!        \ Comparator_D interrupt register     
+CDIV=\$8CE!         \ Comparator_D interrupt vector word  
index 8996bec..e27f064 100644 (file)
@@ -1,9 +1,5 @@
 !MSP430fr5739.pat
 
-!@define{@read{/config/gema/MSP430FR57xx.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR57xx.pat;}}}
-
-
 ! ----------------------------------------------
 ! MSP430FR5739 MEMORY MAP
 ! ----------------------------------------------
@@ -65,3 +61,613 @@ U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+
+
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+! =================================================
+! MSP430FR57xx DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$181A!
+BS_FirstSectorL=\$181C!
+BS_FirstSectorH=\$181E!
+OrgFAT1=\$1820!
+FATSize=\$1822!
+OrgFAT2=\$1824!
+OrgRootDir=\$1826!
+OrgClusters=\$1828!         Sector of Cluster 0
+SecPerClus=\$182A!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$182C!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$182C! CRC:ll  word access
+SD_CMD_FRM1=\$182D! ll      byte access
+SD_CMD_FRM2=\$182E! LL:hh   word access
+SD_CMD_FRM3=\$182F! hh      byte access
+SD_CMD_FRM4=\$1830! HH:CMD  word access
+SD_CMD_FRM5=\$1831! CMD     byte access
+SectorL=\$1832!     2 words
+SectorH=\$1834!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$1836! 
+BufferLen=\$1838!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$183A!     16 bits wide (FAT16)
+ClusterH=\$183C!     16 bits wide (FAT16)
+NewClusterL=\$183E!  16 bits wide (FAT16) 
+NewClusterH=\$1840!  16 bits wide (FAT16) 
+CurFATsector=\$1842!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$1844!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$1846!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$1848!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$184A!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$184C!    address of pathname string
+EndOfPath=\$184E!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=5!
+HandleLenght=24!
+FirstHandle=\$1858!
+HandleEnd=\$18D0!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$18D0!
+LOAD_STACK=\$18D2!
+LOAD_STACK_END=\$18F6!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (= 1k):
+! ============================================
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ a good address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!          Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+SD_BUF=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+FRCTLCTL0=\$140!    \ FRAM control 0    
+GCCTL0=\$144!       \ General control 0 
+GCCTL1=\$146!       \ General control 1 
+
+CRC16DI=\$150!      \ CRC data input                  
+CRCDIRB=\$152!      \ CRC data input reverse byte     
+CRCINIRES=\$154!    \ CRC initialization and result   
+CRCRESR=\$156!      \ CRC result reverse byte  
+
+WDTCTL=\$15C!        \ WDT control register
+
+CSCTL0=\$160!       \ CS control 0
+CSCTL0_H=\$161!     \ 
+CSCTL1=\$162!       \ CS control 1 
+CSCTL2=\$164!       \ CS control 2 
+CSCTL3=\$166!       \ CS control 3 
+CSCTL4=\$168!       \ CS control 4 
+CSCTL5=\$16A!       \ CS control 5 
+CSCTL6=\$16C!       \ CS control 6 
+
+SYSCTL=\$180!       \ System control              
+SYSJMBC=\$186!      \ JTAG mailbox control        
+SYSJMBI0=\$188!     \ JTAG mailbox input 0        
+SYSJMBI1=\$18A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$18C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$18E!     \ JTAG mailbox output 1       
+SYSBERRIV=\$198!    \ Bus Error vector generator  
+SYSUNIV=\$19A!      \ User NMI vector generator   
+SYSSNIV=\$19C!      \ System NMI vector generator 
+SYSRSTIV=\$19E!     \ Reset vector generator      
+
+REFCTL=\$1b0!       \ Shared reference control 
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PASELC=\$216!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1SELC=\$216!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2SELC=\$217!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+PBSEL1=\$22C!
+PBSELC=\$236!
+PBIES=\$238!
+PBIE=\$23A!
+PBIFG=\$23C!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+P3IV=\$22E!
+P3SELC=\$236!
+P3IES=\$238!
+P3IE=\$23A!
+P3IFG=\$23C!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+P4SEL1=\$22D!
+P4SELC=\$237!
+P4IES=\$239!
+P4IE=\$23B!
+P4IFG=\$23D!
+P4IV=\$23E!
+
+PJIN=\$320!
+PJOUT=\$322!
+PJDIR=\$324!
+PJREN=\$326!
+PJSEL0=\$32A!
+PJSEL1=\$32C!
+PJSELC=\$336!
+
+
+TACLR=4!
+TAIFG=1!
+TBCLR=4!
+TBIFG=1!
+CCIFG=1!
+
+TA0CTL=\$340!       \ TA0 control                 
+TA0CCTL0=\$342!     \ Capture/compare control 0   
+TA0CCTL1=\$344!     \ Capture/compare control 1   
+TA0CCTL2=\$346!     \ Capture/compare control 2   
+TA0R=\$350!         \ TA0 counter register        
+TA0CCR0=\$352!      \ Capture/compare register 0  
+TA0CCR1=\$354!      \ Capture/compare register 1  
+TA0CCR2=\$356!      \ Capture/compare register 2  
+TA0EX0=\$360!       \ TA0 expansion register 0    
+TA0IV=\$36E!        \ TA0 interrupt vector        
+
+TA1CTL=\$380!       \ TA1 control                 
+TA1CCTL0=\$382!     \ Capture/compare control 0   
+TA1CCTL1=\$384!     \ Capture/compare control 1   
+TA1CCTL2=\$386!     \ Capture/compare control 2   
+TA1R=\$390!         \ TA1 counter register        
+TA1CCR0=\$392!      \ Capture/compare register 0  
+TA1CCR1=\$394!      \ Capture/compare register 1  
+TA1CCR2=\$396!      \ Capture/compare register 2  
+TA1EX0=\$3A0!       \ TA1 expansion register 0    
+TA1IV=\$3AE!        \ TA1 interrupt vector        
+
+TB0CTL=\$3C0!       \ TB0 control                 
+TB0CCTL0=\$3C2!     \ Capture/compare control 0   
+TB0CCTL1=\$3C4!     \ Capture/compare control 1   
+TB0CCTL2=\$3C6!     \ Capture/compare control 2   
+TB0R=\$3D0!         \ TB0 counter register        
+TB0CCR0=\$3D2!      \ Capture/compare register 0  
+TB0CCR1=\$3D4!      \ Capture/compare register 1  
+TB0CCR2=\$3D6!      \ Capture/compare register 2  
+TB0EX0=\$3E0!       \ TB0 expansion register 0    
+TB0IV=\$3EE!        \ TB0 interrupt vector        
+
+TB1CTL=\$400!       \ TB1 control                 
+TB1CCTL0=\$402!     \ Capture/compare control 0   
+TB1CCTL1=\$404!     \ Capture/compare control 1   
+TB1CCTL2=\$406!     \ Capture/compare control 2   
+TB1R=\$410!         \ TB1 counter register        
+TB1CCR0=\$412!      \ Capture/compare register 0  
+TB1CCR1=\$414!      \ Capture/compare register 1  
+TB1CCR2=\$416!      \ Capture/compare register 2  
+TB1EX0=\$420!       \ TB1 expansion register 0    
+TB1IV=\$42E!        \ TB1 interrupt vector        
+
+TB2CTL=\$440!       \ TB2 control                 
+TB2CCTL0=\$442!     \ Capture/compare control 0   
+TB2CCTL1=\$444!     \ Capture/compare control 1   
+TB2CCTL2=\$446!     \ Capture/compare control 2   
+TB2R=\$450!         \ TB2 counter register        
+TB2CCR0=\$452!      \ Capture/compare register 0  
+TB2CCR1=\$454!      \ Capture/compare register 1  
+TB2CCR2=\$456!      \ Capture/compare register 2  
+TB2EX0=\$460!       \ TB2 expansion register 0    
+TB2IV=\$46E!        \ TB2 interrupt vector        
+
+! RTC_B
+RTCCTL0=\$4A0!      \ RTC control 0                                   
+RTCCTL1=\$4A1!      \ RTC control 1                                   
+RTCCTL2=\$4A2!      \ RTC control 2                                   
+RTCCTL3=\$4A3!      \ RTC control 3                                   
+RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
+RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control                         
+RTCPS0=\$4AC!       \ RTC prescaler 0                                 
+RTCPS1=\$4AD!       \ RTC prescaler 1                                 
+RTCIV=\$4AE!        \ RTC interrupt vector word                       
+RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
+RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
+RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
+RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
+RTCDAY=\$4B4!       \ RTC days                                        
+RTCMON=\$4B5!       \ RTC month
+RTCYEAR=\$4B6!                                       
+RTCYEARL=\$4B6!     \ RTC year low                                    
+RTCYEARH=\$4B7!     \ RTC year high                                   
+RTCAMIN=\$4B8!      \ RTC alarm minutes                               
+RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
+RTCADOW=\$4BA!      \ RTC alarm day of week                           
+RTCADAY=\$4BB!      \ RTC alarm days                                  
+BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
+BCD2BIN=\$4BE!      \ BCD-to-binary conversion register               
+RTCHOLD=\$40!
+RTCRDY=\$10!
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+DMAIFG=8!
+
+DMA0CTL=\$500!      \ DMA channel 0 control                   
+DMA0SAL=\$502!      \ DMA channel 0 source address low        
+DMA0SAH=\$504!      \ DMA channel 0 source address high       
+DMA0DAL=\$506!      \ DMA channel 0 destination address low   
+DMA0DAH=\$508!      \ DMA channel 0 destination address high  
+DMA0SZ=\$50A!       \ DMA channel 0 transfer size             
+DMA1CTL=\$510!      \ DMA channel 1 control                   
+DMA1SAL=\$512!      \ DMA channel 1 source address low        
+DMA1SAH=\$514!      \ DMA channel 1 source address high       
+DMA1DAL=\$516!      \ DMA channel 1 destination address low   
+DMA1DAH=\$518!      \ DMA channel 1 destination address high  
+DMA1SZ=\$51A!       \ DMA channel 1 transfer size             
+DMA2CTL=\$520!      \ DMA channel 2 control                   
+DMA2SAL=\$522!      \ DMA channel 2 source address low        
+DMA2SAH=\$524!      \ DMA channel 2 source address high       
+DMA2DAL=\$526!      \ DMA channel 2 destination address low   
+DMA2DAH=\$528!      \ DMA channel 2 destination address high  
+DMA2SZ=\$52A!       \ DMA channel 2 transfer size             
+DMACTL0=\$530!      \ DMA module control 0                    
+DMACTL1=\$532!      \ DMA module control 1                    
+DMACTL2=\$534!      \ DMA module control 2                    
+DMACTL3=\$536!      \ DMA module control 3                    
+DMACTL4=\$538!      \ DMA module control 4                    
+DMAIV=\$53A!        \ DMA interrupt vector                    
+
+MPUCTL0=\$5A0!      \ MPU control 0             
+MPUCTL1=\$5A2!      \ MPU control 1             
+MPUSEG=\$5A4!       \ MPU Segmentation Register 
+MPUSAM=\$5A6!       \ MPU access management     
+
+UCA0CTLW0=\$5C0!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$5C2!    \ eUSCI_A control word 1        
+UCA0BRW=\$5C6!         
+UCA0BR0=\$5C6!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$5C7!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$5C8!    \ eUSCI_A modulation control    
+UCA0STAT=\$5CA!     \ eUSCI_A status                
+UCA0RXBUF=\$5CC!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$5CE!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$5D0!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$5D2!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$5D3!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$5DA!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$5DC!      \ eUSCI_A interrupt flags       
+UCA0IV=\$5DE!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$5E0!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$5E2!    \ eUSCI_A control word 1        
+UCA1BRW=\$5E6!         
+UCA1BR0=\$5E6!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$5E7!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$5E8!    \ eUSCI_A modulation control    
+UCA1STAT=\$5EA!     \ eUSCI_A status                
+UCA1RXBUF=\$5EC!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$5EE!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$5F0!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$5F2!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$5F3!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$5FA!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$5FC!      \ eUSCI_A interrupt flags       
+UCA1IV=\$5FE!       \ eUSCI_A interrupt vector word 
+
+UCB0CTLW0=\$640!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$642!    \ eUSCI_B control word 1 
+UCB0BRW=\$646!         
+UCB0BR0=\$646!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$647!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$648!    \ eUSCI_B status word 
+UCBCNT0=\$649!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$64A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$64C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$64E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$654!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$656!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$658!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$65A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$65C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$65E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$660!    \ eUSCI I2C slave address         
+UCB0IE=\$66A!       \ eUSCI interrupt enable          
+UCB0IFG=\$66C!      \ eUSCI interrupt flags           
+UCB0IV=\$66E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+ADC10CTL0=\$700!    \ ADC10_B Control register 0               
+ADC10CTL1=\$702!    \ ADC10_B Control register 1               
+ADC10CTL2=\$704!    \ ADC10_B Control register 2               
+ADC10LO=\$706!      \ ADC10_B Window Comparator Low Threshold  
+ADC10HI=\$708!      \ ADC10_B Window Comparator High Threshold 
+ADC10MCTL0=\$70A!   \ ADC10_B Memory Control Register 0        
+ADC10MEM0=\$712!    \ ADC10_B Conversion Memory Register       
+ADC10IE=\$71A!      \ ADC10_B Interrupt Enable                 
+ADC10IFG=\$71C!     \ ADC10_B Interrupt Flags                  
+ADC10IV=\$71E!      \ ADC10_B Interrupt Vector Word            
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+CDIFG=1!
+CDIIFG=2!
+
+CDCTL0=\$8C0!       \ Comparator_D control register 0     
+CDCTL1=\$8C2!       \ Comparator_D control register 1     
+CDCTL2=\$8C4!       \ Comparator_D control register 2     
+CDCTL3=\$8C6!       \ Comparator_D control register 3     
+CDINT=\$8CC!        \ Comparator_D interrupt register     
+CDIV=\$8CE!         \ Comparator_D interrupt vector word  
index 082c678..6018905 100644 (file)
@@ -7,6 +7,261 @@ LPM1=\$58! SR(LPM1+GIE)
 LPM0=\$18! SR(LPM0+GIE)
 
 
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+! =================================================
+! MSP430FR57xx DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$181A!
+BS_FirstSectorL=\$181C!
+BS_FirstSectorH=\$181E!
+OrgFAT1=\$1820!
+FATSize=\$1822!
+OrgFAT2=\$1824!
+OrgRootDir=\$1826!
+OrgClusters=\$1828!         Sector of Cluster 0
+SecPerClus=\$182A!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$182C!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$182C! CRC:ll  word access
+SD_CMD_FRM1=\$182D! ll      byte access
+SD_CMD_FRM2=\$182E! LL:hh   word access
+SD_CMD_FRM3=\$182F! hh      byte access
+SD_CMD_FRM4=\$1830! HH:CMD  word access
+SD_CMD_FRM5=\$1831! CMD     byte access
+SectorL=\$1832!     2 words
+SectorH=\$1834!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$1836! 
+BufferLen=\$1838!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$183A!     16 bits wide (FAT16)
+ClusterH=\$183C!     16 bits wide (FAT16)
+NewClusterL=\$183E!  16 bits wide (FAT16) 
+NewClusterH=\$1840!  16 bits wide (FAT16) 
+CurFATsector=\$1842!
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$1844!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$1846!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$1848!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$184A!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$184C!    address of pathname string
+EndOfPath=\$184E!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=5!
+HandleLenght=24!
+FirstHandle=\$1858!
+HandleEnd=\$18D0!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$18D0!
+LOAD_STACK=\$18D2!
+LOAD_STACK_END=\$18F6!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (= 1k):
+! ============================================
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ a good address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!          Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+BUFFER=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
 
 SFRIE1=\$100!       \ SFR enable register
 SFRIFG1=\$102!      \ SFR flag register
@@ -55,12 +310,10 @@ PADIR=\$204!
 PAREN=\$206!
 PASEL0=\$20A!
 PASEL1=\$20C!
-P1IV=\$20E!
 PASELC=\$216!
 PAIES=\$218!
 PAIE=\$21A!
 PAIFG=\$21C!
-P2IV=\$21E!
 
 P1IN=\$200!
 P1OUT=\$202!
@@ -68,6 +321,7 @@ P1DIR=\$204!
 P1REN=\$206!
 P1SEL0=\$20A!
 P1SEL1=\$20C!
+P1IV=\$20E!
 P1SELC=\$216!
 P1IES=\$218!
 P1IE=\$21A!
@@ -83,6 +337,7 @@ P2SELC=\$217!
 P2IES=\$219!
 P2IE=\$21B!
 P2IFG=\$21D!
+P2IV=\$21E!
 
 PBIN=\$220!
 PBOUT=\$222!
@@ -90,12 +345,10 @@ PBDIR=\$224!
 PBREN=\$226!
 PBSEL0=\$22A!
 PBSEL1=\$22C!
-P3IV=\$22E!
 PBSELC=\$236!
 PBIES=\$238!
 PBIE=\$23A!
 PBIFG=\$23C!
-P4IV=\$23E!
 
 P3IN=\$220!
 P3OUT=\$222!
@@ -103,6 +356,7 @@ P3DIR=\$224!
 P3REN=\$226!
 P3SEL0=\$22A!
 P3SEL1=\$22C!
+P3IV=\$22E!
 P3SELC=\$236!
 P3IES=\$238!
 P3IE=\$23A!
@@ -118,6 +372,7 @@ P4SELC=\$237!
 P4IES=\$239!
 P4IE=\$23B!
 P4IFG=\$23D!
+P4IV=\$23E!
 
 PJIN=\$320!
 PJOUT=\$322!
diff --git a/config/gema/MSP430FR57xx_FastForth.pat b/config/gema/MSP430FR57xx_FastForth.pat
deleted file mode 100644 (file)
index 26ba8cf..0000000
+++ /dev/null
@@ -1,251 +0,0 @@
-!MSP430FR57xx_FastForth.pat
-
-! =================================================
-! MSP430FR57xx DEVICES HAVE SPECIFIC RAM ADDRESSES!
-! =================================================
-
-
-! ============================================
-! SR bits :
-! ============================================
-\#C=\#1!        = SR(0) Carry flag
-\#Z=\#2!        = SR(1) Zero flag
-\#N=\#4!        = SR(2) Negative flag
-\#GIE=\#8!      = SR(3) Enable Int
-\#CPUOFF=\#\$10!= SR(4) CPUOFF    
-\#OSCOFF=\#\$20!= SR(5) OSCOFF
-\#SCG0=\#\$40!  = SR(6) SCG0     
-\#SCG1=\#\$80!  = SR(7) SCG1
-\#V=\#\$100!    = SR(8) oVerflow flag
-\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
-\#UF10=\#\$400! = SR(10) User Flag 2  
-\#UF11=\#\$800! = SR(11) User Flag 3  
-
-! ============================================
-! PORTx, Reg  bits :
-! ============================================
-BIT0=1!
-BIT1=2!
-BIT2=4!
-BIT3=8!
-BIT4=\$10!
-BIT5=\$20!
-BIT6=\$40!
-BIT7=\$80!
-BIT8=\$100!
-BIT9=\$200!
-BIT10=\$400!
-BIT11=\$800!
-BIT12=\$1000!
-BIT13=\$2000!
-BIT14=\$4000!
-BIT15=\$8000!
-
-! ============================================
-! symbolic codes :
-! ============================================
-RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
-NOP=MOV \#0,R3!     \                one word one cycle
-NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
-NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
-NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
-SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
-
-
-! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
-! those addresses are usable with the symbolic assembler
-
-! ============================================
-! FastForth INFO(DCBA) memory map (256 bytes):
-! ============================================
-
-! ----------------------
-! KERNEL CONSTANTS
-! ----------------------
-INI_THREAD=\$1800!      .word THREADS
-TERMINAL_INT=\$1802!    .word TERMINAL_INT
-FREQ_KHZ=\$1804!        .word FREQUENCY
-HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
-
-! ----------------------
-! SAVED VARIABLES
-! ----------------------
-
-SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
-LPM_MODE=\$180A!        LPM0+GIE is the default mode
-INIDP=\$180C!           define RST_STATE, init by wipe
-INIVOC=\$180E!          define RST_STATE, init by wipe
-
-RXON=\$1810!
-RXOFF=\$1812!
-
-ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
-WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
-GPFLAGS=\$1818!
-
-
-! ---------------------------------------
-! FAT16 FileSystemInfos 
-! ---------------------------------------
-FATtype=\$181A!
-BS_FirstSectorL=\$181C!
-BS_FirstSectorH=\$181E!
-OrgFAT1=\$1820!
-FATSize=\$1822!
-OrgFAT2=\$1824!
-OrgRootDir=\$1826!
-OrgClusters=\$1828!         Sector of Cluster 0
-SecPerClus=\$182A!
-
-! ---------------------------------------
-! SD command
-! ---------------------------------------
-SD_CMD_FRM=\$182C!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
-SD_CMD_FRM0=\$182C! CRC:ll  word access
-SD_CMD_FRM1=\$182D! ll      byte access
-SD_CMD_FRM2=\$182E! LL:hh   word access
-SD_CMD_FRM3=\$182F! hh      byte access
-SD_CMD_FRM4=\$1830! HH:CMD  word access
-SD_CMD_FRM5=\$1831! CMD     byte access
-SectorL=\$1832!     2 words
-SectorH=\$1834!
-
-! ---------------------------------------
-! BUFFER management
-! ---------------------------------------
-BufferPtr=\$1836! 
-BufferLen=\$1838!
-
-! ---------------------------------------
-! FAT entry
-! ---------------------------------------
-ClusterL=\$183A!     16 bits wide (FAT16)
-ClusterH=\$183C!     16 bits wide (FAT16)
-NewClusterL=\$183E!  16 bits wide (FAT16) 
-NewClusterH=\$1840!  16 bits wide (FAT16) 
-CurFATsector=\$1842!
-
-! ---------------------------------------
-! DIR entry
-! ---------------------------------------
-DIRclusterL=\$1844!  contains the Cluster of current directory ; 1 if FAT16 root directory
-DIRclusterH=\$1846!  contains the Cluster of current directory ; 1 if FAT16 root directory
-EntryOfst=\$1848!  
-
-! ---------------------------------------
-! Handle Pointer
-! ---------------------------------------
-CurrentHdl=\$184A!  contains the address of the last opened file structure, or 0
-
-! ---------------------------------------
-! Load file operation
-! ---------------------------------------
-pathname=\$184C!    address of pathname string
-EndOfPath=\$184E!
-
-! ---------------------------------------
-! Handle structure
-! ---------------------------------------
-! three handle tokens : 
-! token = 0 : free handle
-! token = 1 : file to read
-! token = 2 : file updated (write)
-! token =-1 : LOAD"ed file (source file)
-
-! offset values
-HDLW_PrevHDL=0!     previous handle ; used by LOAD"
-HDLB_Token=2!       token
-HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
-HDLL_DIRsect=4!     Dir SectorL (Long)
-HDLH_DIRsect=6!
-HDLW_DIRofst=8!     BUFFER offset of Dir entry
-HDLL_FirstClus=10!  File First ClusterLo (identify the file)
-HDLH_FirstClus=12!  File First ClusterHi (byte)
-HDLL_CurClust=14!   Current ClusterLo
-HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
-HDLL_CurSize=18!    written size / not yet read size (Long)
-HDLH_CurSize=20!    written size / not yet read size (Long)
-HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
-
-
-!OpenedFirstFile     ; "openedFile" structure 
-HandleMax=5!
-HandleLenght=24!
-FirstHandle=\$1858!
-HandleEnd=\$18D0!
-
-!Stack of return IP for LOADed files, preincrement stack structure
-LOADPTR=\$18D0!
-LOAD_STACK=\$18D2!
-LOAD_STACK_END=\$18F6!
-
-! ============================================
-! FORTH RAM areas :
-! ============================================
-LSTACK_SIZE=\#16! words
-PSTACK_SIZE=\#48! words
-RSTACK_SIZE=\#48! words
-PAD_LEN=\#84! bytes
-TIB_LEN=\#84! bytes
-HOLD_SIZE=\#34! bytes
-
-! ============================================
-! FastForth RAM memory map (= 1k):
-! ============================================
-
-LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
-LSATCK=\$1C00!      \ leave stack,      grow up
-PSTACK=\$1C80!      \ parameter stack,  grow down
-RSTACK=\$1CE0!      \ Return stack,     grow down
-
-PAD_I2CADR=\$1CE0!  \ RX I2C address
-PAD_I2CCNT=\$1CE2!  \ count max
-PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
-
-TIB_I2CADR=\$1D38!  \ TX I2C address 
-TIB_I2CCNT=\$1D3A!  \ count of bytes
-TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
-
-HOLDS_ORG=\$1D90!   \ a good address for HOLDS
-BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
-
-! ----------------------
-! NOT SAVED VARIABLES
-! ----------------------
-
-HP=\$1DB2!              HOLD ptr
-CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
-LAST_NFA=\$1DB6!
-LAST_THREAD=\$1DB8!
-LAST_CFA=\$1DBA!
-LAST_PSP=\$1DBC!
-
-!STATE=\$1DBE!          Interpreter state
-
-SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
-OPCODE=\$1DC2!          OPCODE adr
-ASMTYPE=\$1DC4!         keep the opcode complement
-
-SOURCE_LEN=\$1DC6!      len of input stream
-SOURCE_ADR=\$1DC8!      adr of input stream
-!\>IN=\$1DCA!            >IN
-DP=\$1DCC!              dictionary ptr
-LASTVOC=\$1DCE!         keep VOC-LINK
-CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
-CURRENT=\$1DE0!         CURRENT dictionnary ptr
-
-!BASE=\$1DE2!           numeric base, must be defined before first reset !
-LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
-
-! ---------------------------------------
-!1DE6! 22 bytes RAM free
-! ---------------------------------------
-
-! ---------------------------------------
-! SD buffer
-! ---------------------------------------
-SD_BUF_I2ADR=\$1DFC!
-SD_BUF_I2CNT=\$1DFE!
-BUFFER=\$1E00!      \ SD_Card buffer
-BUFEND=\$2000!
-
index 5f9a791..52ed2a6 100644 (file)
@@ -1,36 +1,5 @@
 !MSP430fr5948.pat
 
-!@define{@read{/config/gema/MSP430FR5x6x.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR5x6x.pat;}}}
-
-!                   \ RTC_B
-RTCCTL0=\$4A0!      \ RTC control 0                     
-RTCCTL1=\$4A1!      \ RTC control 1                                
-RTCCTL2=\$4A2!      \ RTC control 2                              
-RTCCTL3=\$4A3!      \ RTC control 3                       
-RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
-RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control                         
-RTCPS0=\$4AC!       \ RTC prescaler 0                                 
-RTCPS1=\$4AD!       \ RTC prescaler 1                                 
-RTCIV=\$4AE!        \ RTC interrupt vector word                       
-RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
-RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
-RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
-RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
-RTCDAY=\$4B4!       \ RTC days                                        
-RTCMON=\$4B5!       \ RTC month                                       
-RTCYEAR=\$4B6!                                       
-RTCYEARL=\$4B6!     \ RTC year low                                    
-RTCYEARH=\$4B7!     \ RTC year high                                   
-RTCAMIN=\$4B8!      \ RTC alarm minutes                               
-RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
-RTCADOW=\$4BA!      \ RTC alarm day of week                           
-RTCADAY=\$4BB!      \ RTC alarm days                                  
-BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
-BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
-RTCHOLD=\$40!
-RTCRDY=\$10!
-
 ! ----------------------------------------------
 ! MSP430fr5948 MEMORY MAP
 ! ----------------------------------------------
@@ -99,3 +68,706 @@ U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! =================================================
+! MSP430FR5x6x DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 2k):
+! ============================================
+
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ base address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!           Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+SD_BUF=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2002!
+BS_FirstSectorL=\$2004!
+BS_FirstSectorH=\$2006!
+OrgFAT1=\$2008!
+FATSize=\$200A!
+OrgFAT2=\$200C!
+OrgRootDir=\$200E!
+OrgClusters=\$2010!         Sector of Cluster 0
+SecPerClus=\$2012!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2014!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2014! CRC:ll  word access
+SD_CMD_FRM1=\$2015! ll      byte access
+SD_CMD_FRM2=\$2016! LL:hh   word access
+SD_CMD_FRM3=\$2017! hh      byte access
+SD_CMD_FRM4=\$2018! HH:CMD  word access
+SD_CMD_FRM5=\$2019! CMD     byte access
+SectorL=\$201A!     2 words
+SectorH=\$201C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$201E! 
+BufferLen=\$2020!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2022!     16 bits wide (FAT16)
+ClusterH=\$2024!     16 bits wide (FAT16)
+NewClusterL=\$2026!  16 bits wide (FAT16) 
+NewClusterH=\$2028!  16 bits wide (FAT16) 
+CurFATsector=\$202A! 
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$202C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$202E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2030!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2032!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2034!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2040!
+HandleEnd=\$2100!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2100!
+LOAD_STACK=\$2102!
+LOAD_STACK_END=\$2138!
+
+!SD_card Input Buffer, lenght = CPL = 84
+SDIB_I2CADR=\$2138!
+SDIB_I2CCNT=\$213A!
+SDIB_ORG=\$213C!
+
+SD_END_DATA=\$2190!
+
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+FRCTLCTL0=\$140!    \ FRAM control 0    
+GCCTL0=\$144!       \ General control 0 
+GCCTL1=\$146!       \ General control 1 
+
+CRC16DI=\$150!      \ CRC data input                  
+CRCDIRB=\$152!      \ CRC data input reverse byte     
+CRCINIRES=\$154!    \ CRC initialization and result   
+CRCRESR=\$156!      \ CRC result reverse byte  
+
+WDTCTL=\$15C!        \ WDT control register
+
+CSCTL0=\$160!       \ CS control 0 
+CSCTL1=\$162!       \ CS control 1 
+CSCTL2=\$164!       \ CS control 2 
+CSCTL3=\$166!       \ CS control 3 
+CSCTL4=\$168!       \ CS control 4 
+CSCTL5=\$16A!       \ CS control 5 
+CSCTL6=\$16C!       \ CS control 6 
+
+SYSCTL=\$180!       \ System control              
+SYSJMBC=\$186!      \ JTAG mailbox control        
+SYSJMBI0=\$188!     \ JTAG mailbox input 0        
+SYSJMBI1=\$18A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$18C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$18E!     \ JTAG mailbox output 1       
+SYSUNIV=\$19A!      \ User NMI vector generator   
+SYSSNIV=\$19C!      \ System NMI vector generator 
+SYSRSTIV=\$19E!     \ Reset vector generator      
+
+REFCTL=\$1B0!       \ Shared reference control 
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PASELC=\$216!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1SELC=\$216!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2SELC=\$217!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+PBSEL1=\$22C!
+PBSELC=\$236!
+PBIES=\$238!
+PBIE=\$23A!
+PBIFG=\$23C!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+P3IV=\$22E!
+P3SELC=\$236!
+P3IES=\$238!
+P3IE=\$23A!
+P3IFG=\$23C!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+P4SEL1=\$22D!
+P4SELC=\$237!
+P4IES=\$239!
+P4IE=\$23B!
+P4IFG=\$23D!
+P4IV=\$23E!
+
+PJIN=\$320!
+PJOUT=\$322!
+PJDIR=\$324!
+PJREN=\$326!
+PJSEL0=\$32A!
+PJSEL1=\$32C!
+PJSELC=\$336!
+
+
+TACLR=4!
+TAIFG=1!
+TBCLR=2!
+TBIFG=1!
+CCIFG=1!
+
+TA0CTL=\$340!       \ TA0 control                 
+TA0CCTL0=\$342!     \ Capture/compare control 0   
+TA0CCTL1=\$344!     \ Capture/compare control 1   
+TA0CCTL2=\$346!     \ Capture/compare control 2   
+TA0R=\$350!         \ TA0 counter register        
+TA0CCR0=\$352!      \ Capture/compare register 0  
+TA0CCR1=\$354!      \ Capture/compare register 1  
+TA0CCR2=\$356!      \ Capture/compare register 2  
+TA0EX0=\$360!       \ TA0 expansion register 0    
+TA0IV=\$36E!        \ TA0 interrupt vector        
+
+TA1CTL=\$380!       \ TA1 control                 
+TA1CCTL0=\$382!     \ Capture/compare control 0   
+TA1CCTL1=\$384!     \ Capture/compare control 1   
+TA1CCTL2=\$386!     \ Capture/compare control 2   
+TA1R=\$390!         \ TA1 counter register        
+TA1CCR0=\$392!      \ Capture/compare register 0  
+TA1CCR1=\$394!      \ Capture/compare register 1  
+TA1CCR2=\$396!      \ Capture/compare register 2  
+TA1EX0=\$3A0!       \ TA1 expansion register 0    
+TA1IV=\$3AE!        \ TA1 interrupt vector        
+
+TB0CTL=\$3C0!       \ TB0 control                 
+TB0CCTL0=\$3C2!     \ Capture/compare control 0   
+TB0CCTL1=\$3C4!     \ Capture/compare control 1   
+TB0CCTL2=\$3C6!     \ Capture/compare control 2   
+TB0CCTL3=\$3C8!     \ Capture/compare control 3   
+TB0CCTL4=\$3CA!     \ Capture/compare control 4   
+TB0CCTL5=\$3CC!     \ Capture/compare control 5   
+TB0CCTL6=\$3CE!     \ Capture/compare control 6   
+TB0R=\$3D0!         \ TB0 counter register        
+TB0CCR0=\$3D2!      \ Capture/compare register 0  
+TB0CCR1=\$3D4!      \ Capture/compare register 1  
+TB0CCR2=\$3D6!      \ Capture/compare register 2  
+TB0CCR3=\$3D8!      \ Capture/compare register 3  
+TB0CCR5=\$3DA!      \ Capture/compare register 4 
+TB0CCR5=\$3DC!      \ Capture/compare register 5  
+TB0CCR6=\$3DE!      \ Capture/compare register 6  
+TB0EX0=\$3E0!       \ TB0 expansion register 0    
+TB0IV=\$3EE!        \ TB0 interrupt vector        
+
+TA2CTL=\$400!       \ TA2 control                 
+TA2CCTL0=\$402!     \ Capture/compare control 0   
+TA2CCTL1=\$404!     \ Capture/compare control 1   
+TA2R=\$410!         \ TA2 counter register        
+TA2CCR0=\$412!      \ Capture/compare register 0  
+TA2CCR1=\$414!      \ Capture/compare register 1  
+TA2EX0=\$420!       \ TA2 expansion register 0    
+TA2IV=\$42E!        \ TA2 interrupt vector  
+
+TA3CTL=\$440!       \ TA3 control                 
+TA3CCTL0=\$442!     \ Capture/compare control 0   
+TA3CCTL1=\$444!     \ Capture/compare control 1   
+TA3R=\$450!         \ TA3 counter register        
+TA3CCR0=\$452!      \ Capture/compare register 0  
+TA3CCR1=\$454!      \ Capture/compare register 1  
+TA3EX0=\$460!       \ TA3 expansion register 0    
+TA3IV=\$46E!        \ TA3 interrupt vector  
+
+!                   \ RTC_B
+RTCCTL0=\$4A0!      \ RTC control 0                     
+RTCCTL1=\$4A1!      \ RTC control 1                                
+RTCCTL2=\$4A2!      \ RTC control 2                              
+RTCCTL3=\$4A3!      \ RTC control 3                       
+RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
+RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control                         
+RTCPS0=\$4AC!       \ RTC prescaler 0                                 
+RTCPS1=\$4AD!       \ RTC prescaler 1                                 
+RTCIV=\$4AE!        \ RTC interrupt vector word                       
+RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
+RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
+RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
+RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
+RTCDAY=\$4B4!       \ RTC days                                        
+RTCMON=\$4B5!       \ RTC month                                       
+RTCYEAR=\$4B6!                                       
+RTCYEARL=\$4B6!     \ RTC year low                                    
+RTCYEARH=\$4B7!     \ RTC year high                                   
+RTCAMIN=\$4B8!      \ RTC alarm minutes                               
+RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
+RTCADOW=\$4BA!      \ RTC alarm day of week                           
+RTCADAY=\$4BB!      \ RTC alarm days                                  
+BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
+BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
+RTCHOLD=\$40!
+RTCRDY=\$10!
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word            
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+DMAIFG=8!
+
+DMACTL0=\$500!      \ DMA module control 0                    
+DMACTL1=\$502!      \ DMA module control 1                    
+DMACTL2=\$504!      \ DMA module control 2                    
+DMACTL3=\$506!      \ DMA module control 3                    
+DMACTL4=\$508!      \ DMA module control 4                    
+DMAIV=\$50A!        \ DMA interrupt vector                    
+
+DMA0CTL=\$510!      \ DMA channel 0 control                   
+DMA0SAL=\$512!      \ DMA channel 0 source address low        
+DMA0SAH=\$514!      \ DMA channel 0 source address high       
+DMA0DAL=\$516!      \ DMA channel 0 destination address low   
+DMA0DAH=\$518!      \ DMA channel 0 destination address high  
+DMA0SZ=\$51A!       \ DMA channel 0 transfer size             
+
+DMA1CTL=\$520!      \ DMA channel 1 control                   
+DMA1SAL=\$522!      \ DMA channel 1 source address low        
+DMA1SAH=\$524!      \ DMA channel 1 source address high       
+DMA1DAL=\$526!      \ DMA channel 1 destination address low   
+DMA1DAH=\$528!      \ DMA channel 1 destination address high  
+DMA1SZ=\$52A!       \ DMA channel 1 transfer size             
+
+DMA2CTL=\$530!      \ DMA channel 2 control                   
+DMA2SAL=\$532!      \ DMA channel 2 source address low        
+DMA2SAH=\$534!      \ DMA channel 2 source address high       
+DMA2DAL=\$536!      \ DMA channel 2 destination address low   
+DMA2DAH=\$538!      \ DMA channel 2 destination address high  
+DMA2SZ=\$53A!       \ DMA channel 2 transfer size             
+
+
+MPUCTL0=\$5A0!      \ MPU control 0             
+MPUCTL1=\$5A2!      \ MPU control 1             
+MPUSEG=\$5A4!       \ MPU Segmentation Register 
+MPUSAM=\$5A6!       \ MPU access management     
+MPUIPC0=\$5AA!      \ MPU IP control 0                      
+MPUIPSEGB2=\$5AC!   \ MPU IP Encapsulation Segment Border 2 
+MPUIPSEGB1=\$5AE!   \ MPU IP Encapsulation Segment Border 1 
+
+UCA0CTLW0=\$5C0!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$5C2!    \ eUSCI_A control word 1        
+UCA0BRW=\$5C6!         
+UCA0BR0=\$5C6!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$5C7!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$5C8!    \ eUSCI_A modulation control    
+UCA0STAT=\$5CA!     \ eUSCI_A status                
+UCA0RXBUF=\$5CC!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$5CE!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$5D0!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$5D2!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$5D3!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$5DA!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$5DC!      \ eUSCI_A interrupt flags       
+UCA0IV=\$5DE!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$5E0!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$5E2!    \ eUSCI_A control word 1        
+UCA1BRW=\$5E6!         
+UCA1BR0=\$5E6!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$5E7!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$5E8!    \ eUSCI_A modulation control    
+UCA1STAT=\$5EA!     \ eUSCI_A status                
+UCA1RXBUF=\$5EC!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$5EE!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$5F0!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$5F2!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$5F3!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$5FA!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$5FC!      \ eUSCI_A interrupt flags       
+UCA1IV=\$5FE!       \ eUSCI_A interrupt vector word 
+
+UCB0CTLW0=\$640!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$642!    \ eUSCI_B control word 1 
+UCB0BRW=\$646!         
+UCB0BR0=\$646!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$647!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$648!    \ eUSCI_B status word 
+UCBCNT0=\$649!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$64A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$64C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$64E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$654!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$656!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$658!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$65A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$65C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$65E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$660!    \ eUSCI I2C slave address         
+UCB0IE=\$66A!       \ eUSCI interrupt enable          
+UCB0IFG=\$66C!      \ eUSCI interrupt flags           
+UCB0IV=\$66E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+
+ADC12CTL0=\$800!    \ ADC12_B Control 0                                 
+ADC12CTL1=\$802!    \ ADC12_B Control 1                                 
+ADC12CTL2=\$804!    \ ADC12_B Control 2                                 
+ADC12CTL3=\$806!    \ ADC12_B Control 3                                 
+ADC12LO=\$808!      \ ADC12_B Window Comparator Low Threshold Register  
+ADC12HI=\$80A!      \ ADC12_B Window Comparator High Threshold Register 
+ADC12IFGR0=\$80C!   \ ADC12_B Interrupt Flag Register 0                 
+ADC12IFGR1=\$80E!   \ ADC12_B Interrupt Flag Register 1                 
+ADC12IFGR2=\$810!   \ ADC12_B Interrupt Flag Register 2                 
+ADC12IER0=\$812!    \ ADC12_B Interrupt Enable Register 0               
+ADC12IER1=\$814!    \ ADC12_B Interrupt Enable Register 1               
+ADC12IER2=\$816!    \ ADC12_B Interrupt Enable Register 2               
+ADC12IV=\$818!      \ ADC12_B Interrupt Vector                          
+ADC12MCTL0=\$820!   \ ADC12_B Memory Control 0                          
+ADC12MCTL1=\$822!   \ ADC12_B Memory Control 1                          
+ADC12MCTL2=\$824!   \ ADC12_B Memory Control 2                          
+ADC12MCTL3=\$826!   \ ADC12_B Memory Control 3                          
+ADC12MCTL4=\$828!   \ ADC12_B Memory Control 4                          
+ADC12MCTL5=\$82A!   \ ADC12_B Memory Control 5                          
+ADC12MCTL6=\$82C!   \ ADC12_B Memory Control 6                          
+ADC12MCTL7=\$82E!   \ ADC12_B Memory Control 7                          
+ADC12MCTL8=\$830!   \ ADC12_B Memory Control 8                          
+ADC12MCTL9=\$832!   \ ADC12_B Memory Control 9                          
+ADC12MCTL10=\$834!  \ ADC12_B Memory Control 10                         
+ADC12MCTL11=\$836!  \ ADC12_B Memory Control 11                         
+ADC12MCTL12=\$838!  \ ADC12_B Memory Control 12                         
+ADC12MCTL13=\$83A!  \ ADC12_B Memory Control 13 
+ADC12MCTL14=\$83C!  \ ADC12_B Memory Control 14 
+ADC12MCTL15=\$83E!  \ ADC12_B Memory Control 15 
+ADC12MCTL16=\$840!  \ ADC12_B Memory Control 16 
+ADC12MCTL17=\$842!  \ ADC12_B Memory Control 17 
+ADC12MCTL18=\$844!  \ ADC12_B Memory Control 18 
+ADC12MCTL19=\$846!  \ ADC12_B Memory Control 19 
+ADC12MCTL20=\$848!  \ ADC12_B Memory Control 20 
+ADC12MCTL21=\$84A!  \ ADC12_B Memory Control 21 
+ADC12MCTL22=\$84C!  \ ADC12_B Memory Control 22 
+ADC12MCTL23=\$84E!  \ ADC12_B Memory Control 23 
+ADC12MCTL24=\$850!  \ ADC12_B Memory Control 24 
+ADC12MCTL25=\$852!  \ ADC12_B Memory Control 25 
+ADC12MCTL26=\$854!  \ ADC12_B Memory Control 26 
+ADC12MCTL27=\$856!  \ ADC12_B Memory Control 27 
+ADC12MCTL28=\$858!  \ ADC12_B Memory Control 28 
+ADC12MCTL29=\$85A!  \ ADC12_B Memory Control 29 
+ADC12MCTL30=\$85C!  \ ADC12_B Memory Control 30 
+ADC12MCTL31=\$85E!  \ ADC12_B Memory Control 31 
+ADC12MEM0=\$860!    \ ADC12_B Memory 0 
+ADC12MEM1=\$862!    \ ADC12_B Memory 1 
+ADC12MEM2=\$864!    \ ADC12_B Memory 2 
+ADC12MEM3=\$866!    \ ADC12_B Memory 3 
+ADC12MEM4=\$868!    \ ADC12_B Memory 4 
+ADC12MEM5=\$86A!    \ ADC12_B Memory 5 
+ADC12MEM6=\$86C!    \ ADC12_B Memory 6 
+ADC12MEM7=\$86E!    \ ADC12_B Memory 7 
+ADC12MEM8=\$870!    \ ADC12_B Memory 8 
+ADC12MEM9=\$872!    \ ADC12_B Memory 9 
+ADC12MEM10=\$874!   \ ADC12_B Memory 10 
+ADC12MEM11=\$876!   \ ADC12_B Memory 11 
+ADC12MEM12=\$878!   \ ADC12_B Memory 12 
+ADC12MEM13=\$87A!   \ ADC12_B Memory 13 
+ADC12MEM14=\$87C!   \ ADC12_B Memory 14 
+ADC12MEM15=\$87E!   \ ADC12_B Memory 15 
+ADC12MEM16=\$880!   \ ADC12_B Memory 16 
+ADC12MEM17=\$882!   \ ADC12_B Memory 17 
+ADC12MEM18=\$884!   \ ADC12_B Memory 18 
+ADC12MEM19=\$886!   \ ADC12_B Memory 19 
+ADC12MEM20=\$888!   \ ADC12_B Memory 20 
+ADC12MEM21=\$88A!   \ ADC12_B Memory 21 
+ADC12MEM22=\$88C!   \ ADC12_B Memory 22 
+ADC12MEM23=\$88E!   \ ADC12_B Memory 23 
+ADC12MEM24=\$890!   \ ADC12_B Memory 24 
+ADC12MEM25=\$892!   \ ADC12_B Memory 25 
+ADC12MEM26=\$894!   \ ADC12_B Memory 26 
+ADC12MEM27=\$896!   \ ADC12_B Memory 27 
+ADC12MEM28=\$898!   \ ADC12_B Memory 28 
+ADC12MEM29=\$89A!   \ ADC12_B Memory 29 
+ADC12MEM30=\$89C!   \ ADC12_B Memory 30 
+ADC12MEM31=\$89E!   \ ADC12_B Memory 31 
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+CDIFG=1!
+CDIIFG=2!
+
+CDCTL0=\$8C0!       \ Comparator_E control register 0     
+CDCTL1=\$8C2!       \ Comparator_E control register 1     
+CDCTL2=\$8C4!       \ Comparator_E control register 2     
+CDCTL3=\$8C6!       \ Comparator_E control register 3     
+CDINT=\$8CC!        \ Comparator_E interrupt register     
+CDIV=\$8CE!         \ Comparator_E interrupt vector word  
+
+
+AESACTL0=\$9C0!     \ AES accelerator control register 0                  
+AESASTAT=\$9C4!     \ AES accelerator status register                     
+AESAKEY=\$9C6!      \ AES accelerator key register                        
+AESADIN=\$9C8!      \ AES accelerator data in register                    
+AESADOUT=\$9CA!     \ AES accelerator data out register                   
+AESAXDIN=\$9CC!     \ AES accelerator XORed data in register              
+AESAXIN =\$9CE!     \ AES accelerator XORed data in register (no trigger) 
+
index 7f1ca87..653f796 100644 (file)
@@ -1,37 +1,5 @@
 !MSP430fr5969.pat
 
-!@define{@read{/config/gema/MSP430FR5x6x.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR5x6x.pat;}}}
-
-
-!                   \ RTC_B
-RTCCTL0=\$4A0!      \ RTC control 0                     
-RTCCTL1=\$4A1!      \ RTC control 1                                
-RTCCTL2=\$4A2!      \ RTC control 2                              
-RTCCTL3=\$4A3!      \ RTC control 3                       
-RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
-RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control                         
-RTCPS0=\$4AC!       \ RTC prescaler 0                                 
-RTCPS1=\$4AD!       \ RTC prescaler 1                                 
-RTCIV=\$4AE!        \ RTC interrupt vector word                       
-RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
-RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
-RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
-RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
-RTCDAY=\$4B4!       \ RTC days                                        
-RTCMON=\$4B5!       \ RTC month                                       
-RTCYEAR=\$4B6!                                       
-RTCYEARL=\$4B6!     \ RTC year low                                    
-RTCYEARH=\$4B7!     \ RTC year high                                   
-RTCAMIN=\$4B8!      \ RTC alarm minutes                               
-RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
-RTCADOW=\$4BA!      \ RTC alarm day of week                           
-RTCADAY=\$4BB!      \ RTC alarm days                                  
-BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
-BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
-RTCHOLD=\$40!
-RTCRDY=\$10!
-
 ! ----------------------------------------------
 ! MSP430FR5969 MEMORY MAP
 ! ----------------------------------------------
@@ -97,3 +65,706 @@ U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! =================================================
+! MSP430FR5x6x DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 2k):
+! ============================================
+
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ base address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!           Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+SD_BUF=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2002!
+BS_FirstSectorL=\$2004!
+BS_FirstSectorH=\$2006!
+OrgFAT1=\$2008!
+FATSize=\$200A!
+OrgFAT2=\$200C!
+OrgRootDir=\$200E!
+OrgClusters=\$2010!         Sector of Cluster 0
+SecPerClus=\$2012!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2014!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2014! CRC:ll  word access
+SD_CMD_FRM1=\$2015! ll      byte access
+SD_CMD_FRM2=\$2016! LL:hh   word access
+SD_CMD_FRM3=\$2017! hh      byte access
+SD_CMD_FRM4=\$2018! HH:CMD  word access
+SD_CMD_FRM5=\$2019! CMD     byte access
+SectorL=\$201A!     2 words
+SectorH=\$201C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$201E! 
+BufferLen=\$2020!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2022!     16 bits wide (FAT16)
+ClusterH=\$2024!     16 bits wide (FAT16)
+NewClusterL=\$2026!  16 bits wide (FAT16) 
+NewClusterH=\$2028!  16 bits wide (FAT16) 
+CurFATsector=\$202A! 
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$202C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$202E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2030!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2032!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2034!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2040!
+HandleEnd=\$2100!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2100!
+LOAD_STACK=\$2102!
+LOAD_STACK_END=\$2138!
+
+!SD_card Input Buffer, lenght = CPL = 84
+SDIB_I2CADR=\$2138!
+SDIB_I2CCNT=\$213A!
+SDIB_ORG=\$213C!
+
+SD_END_DATA=\$2190!
+
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+FRCTLCTL0=\$140!    \ FRAM control 0    
+GCCTL0=\$144!       \ General control 0 
+GCCTL1=\$146!       \ General control 1 
+
+CRC16DI=\$150!      \ CRC data input                  
+CRCDIRB=\$152!      \ CRC data input reverse byte     
+CRCINIRES=\$154!    \ CRC initialization and result   
+CRCRESR=\$156!      \ CRC result reverse byte  
+
+WDTCTL=\$15C!        \ WDT control register
+
+CSCTL0=\$160!       \ CS control 0 
+CSCTL1=\$162!       \ CS control 1 
+CSCTL2=\$164!       \ CS control 2 
+CSCTL3=\$166!       \ CS control 3 
+CSCTL4=\$168!       \ CS control 4 
+CSCTL5=\$16A!       \ CS control 5 
+CSCTL6=\$16C!       \ CS control 6 
+
+SYSCTL=\$180!       \ System control              
+SYSJMBC=\$186!      \ JTAG mailbox control        
+SYSJMBI0=\$188!     \ JTAG mailbox input 0        
+SYSJMBI1=\$18A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$18C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$18E!     \ JTAG mailbox output 1       
+SYSUNIV=\$19A!      \ User NMI vector generator   
+SYSSNIV=\$19C!      \ System NMI vector generator 
+SYSRSTIV=\$19E!     \ Reset vector generator      
+
+REFCTL=\$1B0!       \ Shared reference control 
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PASELC=\$216!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1SELC=\$216!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2SELC=\$217!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+PBSEL1=\$22C!
+PBSELC=\$236!
+PBIES=\$238!
+PBIE=\$23A!
+PBIFG=\$23C!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+P3IV=\$22E!
+P3SELC=\$236!
+P3IES=\$238!
+P3IE=\$23A!
+P3IFG=\$23C!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+P4SEL1=\$22D!
+P4SELC=\$237!
+P4IES=\$239!
+P4IE=\$23B!
+P4IFG=\$23D!
+P4IV=\$23E!
+
+PJIN=\$320!
+PJOUT=\$322!
+PJDIR=\$324!
+PJREN=\$326!
+PJSEL0=\$32A!
+PJSEL1=\$32C!
+PJSELC=\$336!
+
+
+TACLR=4!
+TAIFG=1!
+TBCLR=2!
+TBIFG=1!
+CCIFG=1!
+
+TA0CTL=\$340!       \ TA0 control                 
+TA0CCTL0=\$342!     \ Capture/compare control 0   
+TA0CCTL1=\$344!     \ Capture/compare control 1   
+TA0CCTL2=\$346!     \ Capture/compare control 2   
+TA0R=\$350!         \ TA0 counter register        
+TA0CCR0=\$352!      \ Capture/compare register 0  
+TA0CCR1=\$354!      \ Capture/compare register 1  
+TA0CCR2=\$356!      \ Capture/compare register 2  
+TA0EX0=\$360!       \ TA0 expansion register 0    
+TA0IV=\$36E!        \ TA0 interrupt vector        
+
+TA1CTL=\$380!       \ TA1 control                 
+TA1CCTL0=\$382!     \ Capture/compare control 0   
+TA1CCTL1=\$384!     \ Capture/compare control 1   
+TA1CCTL2=\$386!     \ Capture/compare control 2   
+TA1R=\$390!         \ TA1 counter register        
+TA1CCR0=\$392!      \ Capture/compare register 0  
+TA1CCR1=\$394!      \ Capture/compare register 1  
+TA1CCR2=\$396!      \ Capture/compare register 2  
+TA1EX0=\$3A0!       \ TA1 expansion register 0    
+TA1IV=\$3AE!        \ TA1 interrupt vector        
+
+TB0CTL=\$3C0!       \ TB0 control                 
+TB0CCTL0=\$3C2!     \ Capture/compare control 0   
+TB0CCTL1=\$3C4!     \ Capture/compare control 1   
+TB0CCTL2=\$3C6!     \ Capture/compare control 2   
+TB0CCTL3=\$3C8!     \ Capture/compare control 3   
+TB0CCTL4=\$3CA!     \ Capture/compare control 4   
+TB0CCTL5=\$3CC!     \ Capture/compare control 5   
+TB0CCTL6=\$3CE!     \ Capture/compare control 6   
+TB0R=\$3D0!         \ TB0 counter register        
+TB0CCR0=\$3D2!      \ Capture/compare register 0  
+TB0CCR1=\$3D4!      \ Capture/compare register 1  
+TB0CCR2=\$3D6!      \ Capture/compare register 2  
+TB0CCR3=\$3D8!      \ Capture/compare register 3  
+TB0CCR5=\$3DA!      \ Capture/compare register 4 
+TB0CCR5=\$3DC!      \ Capture/compare register 5  
+TB0CCR6=\$3DE!      \ Capture/compare register 6  
+TB0EX0=\$3E0!       \ TB0 expansion register 0    
+TB0IV=\$3EE!        \ TB0 interrupt vector        
+
+TA2CTL=\$400!       \ TA2 control                 
+TA2CCTL0=\$402!     \ Capture/compare control 0   
+TA2CCTL1=\$404!     \ Capture/compare control 1   
+TA2R=\$410!         \ TA2 counter register        
+TA2CCR0=\$412!      \ Capture/compare register 0  
+TA2CCR1=\$414!      \ Capture/compare register 1  
+TA2EX0=\$420!       \ TA2 expansion register 0    
+TA2IV=\$42E!        \ TA2 interrupt vector  
+
+TA3CTL=\$440!       \ TA3 control                 
+TA3CCTL0=\$442!     \ Capture/compare control 0   
+TA3CCTL1=\$444!     \ Capture/compare control 1   
+TA3R=\$450!         \ TA3 counter register        
+TA3CCR0=\$452!      \ Capture/compare register 0  
+TA3CCR1=\$454!      \ Capture/compare register 1  
+TA3EX0=\$460!       \ TA3 expansion register 0    
+TA3IV=\$46E!        \ TA3 interrupt vector  
+
+!                   \ RTC_B
+RTCCTL0=\$4A0!      \ RTC control 0                     
+RTCCTL1=\$4A1!      \ RTC control 1                                
+RTCCTL2=\$4A2!      \ RTC control 2                              
+RTCCTL3=\$4A3!      \ RTC control 3                       
+RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
+RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control                         
+RTCPS0=\$4AC!       \ RTC prescaler 0                                 
+RTCPS1=\$4AD!       \ RTC prescaler 1                                 
+RTCIV=\$4AE!        \ RTC interrupt vector word                       
+RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
+RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
+RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
+RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
+RTCDAY=\$4B4!       \ RTC days                                        
+RTCMON=\$4B5!       \ RTC month                                       
+RTCYEAR=\$4B6!                                       
+RTCYEARL=\$4B6!     \ RTC year low                                    
+RTCYEARH=\$4B7!     \ RTC year high                                   
+RTCAMIN=\$4B8!      \ RTC alarm minutes                               
+RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
+RTCADOW=\$4BA!      \ RTC alarm day of week                           
+RTCADAY=\$4BB!      \ RTC alarm days                                  
+BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
+BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
+RTCHOLD=\$40!
+RTCRDY=\$10!
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word            
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+DMAIFG=8!
+
+DMACTL0=\$500!      \ DMA module control 0                    
+DMACTL1=\$502!      \ DMA module control 1                    
+DMACTL2=\$504!      \ DMA module control 2                    
+DMACTL3=\$506!      \ DMA module control 3                    
+DMACTL4=\$508!      \ DMA module control 4                    
+DMAIV=\$50A!        \ DMA interrupt vector                    
+
+DMA0CTL=\$510!      \ DMA channel 0 control                   
+DMA0SAL=\$512!      \ DMA channel 0 source address low        
+DMA0SAH=\$514!      \ DMA channel 0 source address high       
+DMA0DAL=\$516!      \ DMA channel 0 destination address low   
+DMA0DAH=\$518!      \ DMA channel 0 destination address high  
+DMA0SZ=\$51A!       \ DMA channel 0 transfer size             
+
+DMA1CTL=\$520!      \ DMA channel 1 control                   
+DMA1SAL=\$522!      \ DMA channel 1 source address low        
+DMA1SAH=\$524!      \ DMA channel 1 source address high       
+DMA1DAL=\$526!      \ DMA channel 1 destination address low   
+DMA1DAH=\$528!      \ DMA channel 1 destination address high  
+DMA1SZ=\$52A!       \ DMA channel 1 transfer size             
+
+DMA2CTL=\$530!      \ DMA channel 2 control                   
+DMA2SAL=\$532!      \ DMA channel 2 source address low        
+DMA2SAH=\$534!      \ DMA channel 2 source address high       
+DMA2DAL=\$536!      \ DMA channel 2 destination address low   
+DMA2DAH=\$538!      \ DMA channel 2 destination address high  
+DMA2SZ=\$53A!       \ DMA channel 2 transfer size             
+
+
+MPUCTL0=\$5A0!      \ MPU control 0             
+MPUCTL1=\$5A2!      \ MPU control 1             
+MPUSEG=\$5A4!       \ MPU Segmentation Register 
+MPUSAM=\$5A6!       \ MPU access management     
+MPUIPC0=\$5AA!      \ MPU IP control 0                      
+MPUIPSEGB2=\$5AC!   \ MPU IP Encapsulation Segment Border 2 
+MPUIPSEGB1=\$5AE!   \ MPU IP Encapsulation Segment Border 1 
+
+UCA0CTLW0=\$5C0!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$5C2!    \ eUSCI_A control word 1        
+UCA0BRW=\$5C6!         
+UCA0BR0=\$5C6!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$5C7!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$5C8!    \ eUSCI_A modulation control    
+UCA0STAT=\$5CA!     \ eUSCI_A status                
+UCA0RXBUF=\$5CC!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$5CE!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$5D0!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$5D2!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$5D3!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$5DA!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$5DC!      \ eUSCI_A interrupt flags       
+UCA0IV=\$5DE!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$5E0!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$5E2!    \ eUSCI_A control word 1        
+UCA1BRW=\$5E6!         
+UCA1BR0=\$5E6!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$5E7!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$5E8!    \ eUSCI_A modulation control    
+UCA1STAT=\$5EA!     \ eUSCI_A status                
+UCA1RXBUF=\$5EC!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$5EE!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$5F0!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$5F2!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$5F3!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$5FA!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$5FC!      \ eUSCI_A interrupt flags       
+UCA1IV=\$5FE!       \ eUSCI_A interrupt vector word 
+
+UCB0CTLW0=\$640!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$642!    \ eUSCI_B control word 1 
+UCB0BRW=\$646!         
+UCB0BR0=\$646!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$647!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$648!    \ eUSCI_B status word 
+UCBCNT0=\$649!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$64A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$64C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$64E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$654!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$656!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$658!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$65A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$65C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$65E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$660!    \ eUSCI I2C slave address         
+UCB0IE=\$66A!       \ eUSCI interrupt enable          
+UCB0IFG=\$66C!      \ eUSCI interrupt flags           
+UCB0IV=\$66E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+
+ADC12CTL0=\$800!    \ ADC12_B Control 0                                 
+ADC12CTL1=\$802!    \ ADC12_B Control 1                                 
+ADC12CTL2=\$804!    \ ADC12_B Control 2                                 
+ADC12CTL3=\$806!    \ ADC12_B Control 3                                 
+ADC12LO=\$808!      \ ADC12_B Window Comparator Low Threshold Register  
+ADC12HI=\$80A!      \ ADC12_B Window Comparator High Threshold Register 
+ADC12IFGR0=\$80C!   \ ADC12_B Interrupt Flag Register 0                 
+ADC12IFGR1=\$80E!   \ ADC12_B Interrupt Flag Register 1                 
+ADC12IFGR2=\$810!   \ ADC12_B Interrupt Flag Register 2                 
+ADC12IER0=\$812!    \ ADC12_B Interrupt Enable Register 0               
+ADC12IER1=\$814!    \ ADC12_B Interrupt Enable Register 1               
+ADC12IER2=\$816!    \ ADC12_B Interrupt Enable Register 2               
+ADC12IV=\$818!      \ ADC12_B Interrupt Vector                          
+ADC12MCTL0=\$820!   \ ADC12_B Memory Control 0                          
+ADC12MCTL1=\$822!   \ ADC12_B Memory Control 1                          
+ADC12MCTL2=\$824!   \ ADC12_B Memory Control 2                          
+ADC12MCTL3=\$826!   \ ADC12_B Memory Control 3                          
+ADC12MCTL4=\$828!   \ ADC12_B Memory Control 4                          
+ADC12MCTL5=\$82A!   \ ADC12_B Memory Control 5                          
+ADC12MCTL6=\$82C!   \ ADC12_B Memory Control 6                          
+ADC12MCTL7=\$82E!   \ ADC12_B Memory Control 7                          
+ADC12MCTL8=\$830!   \ ADC12_B Memory Control 8                          
+ADC12MCTL9=\$832!   \ ADC12_B Memory Control 9                          
+ADC12MCTL10=\$834!  \ ADC12_B Memory Control 10                         
+ADC12MCTL11=\$836!  \ ADC12_B Memory Control 11                         
+ADC12MCTL12=\$838!  \ ADC12_B Memory Control 12                         
+ADC12MCTL13=\$83A!  \ ADC12_B Memory Control 13 
+ADC12MCTL14=\$83C!  \ ADC12_B Memory Control 14 
+ADC12MCTL15=\$83E!  \ ADC12_B Memory Control 15 
+ADC12MCTL16=\$840!  \ ADC12_B Memory Control 16 
+ADC12MCTL17=\$842!  \ ADC12_B Memory Control 17 
+ADC12MCTL18=\$844!  \ ADC12_B Memory Control 18 
+ADC12MCTL19=\$846!  \ ADC12_B Memory Control 19 
+ADC12MCTL20=\$848!  \ ADC12_B Memory Control 20 
+ADC12MCTL21=\$84A!  \ ADC12_B Memory Control 21 
+ADC12MCTL22=\$84C!  \ ADC12_B Memory Control 22 
+ADC12MCTL23=\$84E!  \ ADC12_B Memory Control 23 
+ADC12MCTL24=\$850!  \ ADC12_B Memory Control 24 
+ADC12MCTL25=\$852!  \ ADC12_B Memory Control 25 
+ADC12MCTL26=\$854!  \ ADC12_B Memory Control 26 
+ADC12MCTL27=\$856!  \ ADC12_B Memory Control 27 
+ADC12MCTL28=\$858!  \ ADC12_B Memory Control 28 
+ADC12MCTL29=\$85A!  \ ADC12_B Memory Control 29 
+ADC12MCTL30=\$85C!  \ ADC12_B Memory Control 30 
+ADC12MCTL31=\$85E!  \ ADC12_B Memory Control 31 
+ADC12MEM0=\$860!    \ ADC12_B Memory 0 
+ADC12MEM1=\$862!    \ ADC12_B Memory 1 
+ADC12MEM2=\$864!    \ ADC12_B Memory 2 
+ADC12MEM3=\$866!    \ ADC12_B Memory 3 
+ADC12MEM4=\$868!    \ ADC12_B Memory 4 
+ADC12MEM5=\$86A!    \ ADC12_B Memory 5 
+ADC12MEM6=\$86C!    \ ADC12_B Memory 6 
+ADC12MEM7=\$86E!    \ ADC12_B Memory 7 
+ADC12MEM8=\$870!    \ ADC12_B Memory 8 
+ADC12MEM9=\$872!    \ ADC12_B Memory 9 
+ADC12MEM10=\$874!   \ ADC12_B Memory 10 
+ADC12MEM11=\$876!   \ ADC12_B Memory 11 
+ADC12MEM12=\$878!   \ ADC12_B Memory 12 
+ADC12MEM13=\$87A!   \ ADC12_B Memory 13 
+ADC12MEM14=\$87C!   \ ADC12_B Memory 14 
+ADC12MEM15=\$87E!   \ ADC12_B Memory 15 
+ADC12MEM16=\$880!   \ ADC12_B Memory 16 
+ADC12MEM17=\$882!   \ ADC12_B Memory 17 
+ADC12MEM18=\$884!   \ ADC12_B Memory 18 
+ADC12MEM19=\$886!   \ ADC12_B Memory 19 
+ADC12MEM20=\$888!   \ ADC12_B Memory 20 
+ADC12MEM21=\$88A!   \ ADC12_B Memory 21 
+ADC12MEM22=\$88C!   \ ADC12_B Memory 22 
+ADC12MEM23=\$88E!   \ ADC12_B Memory 23 
+ADC12MEM24=\$890!   \ ADC12_B Memory 24 
+ADC12MEM25=\$892!   \ ADC12_B Memory 25 
+ADC12MEM26=\$894!   \ ADC12_B Memory 26 
+ADC12MEM27=\$896!   \ ADC12_B Memory 27 
+ADC12MEM28=\$898!   \ ADC12_B Memory 28 
+ADC12MEM29=\$89A!   \ ADC12_B Memory 29 
+ADC12MEM30=\$89C!   \ ADC12_B Memory 30 
+ADC12MEM31=\$89E!   \ ADC12_B Memory 31 
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+CDIFG=1!
+CDIIFG=2!
+
+CDCTL0=\$8C0!       \ Comparator_E control register 0     
+CDCTL1=\$8C2!       \ Comparator_E control register 1     
+CDCTL2=\$8C4!       \ Comparator_E control register 2     
+CDCTL3=\$8C6!       \ Comparator_E control register 3     
+CDINT=\$8CC!        \ Comparator_E interrupt register     
+CDIV=\$8CE!         \ Comparator_E interrupt vector word  
+
+
+AESACTL0=\$9C0!     \ AES accelerator control register 0                  
+AESASTAT=\$9C4!     \ AES accelerator status register                     
+AESAKEY=\$9C6!      \ AES accelerator key register                        
+AESADIN=\$9C8!      \ AES accelerator data in register                    
+AESADOUT=\$9CA!     \ AES accelerator data out register                   
+AESAXDIN=\$9CC!     \ AES accelerator XORed data in register              
+AESAXIN =\$9CE!     \ AES accelerator XORed data in register (no trigger) 
+
index ca3bb5e..ca55e88 100644 (file)
@@ -1,43 +1,5 @@
 !MSP430fr5994.pat
 
-!@define{@read{/config/gema/MSP430FR5x6x.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR5x6x.pat;}}}
-
-
-!                   \ RTC_C
-RTCCTL0_L=\$4A0!    \ RTCCTL0_L                     
-RTCCTL0_H=\$4A1!    \ RTCCTL0_H                                
-RTCCTL1=\$4A2!      \ RTCCTL1                                
-RTCCTL3=\$4A3!      \ RTCCTL3                       
-RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
-RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control 
-RTCPS=\$4AC!        \ RTC prescaler                        
-RT0PS=\$4AC!        \ RTC prescaler 0                                 
-RT1PS=\$4AD!        \ RTC prescaler 1                                 
-RTCIV=\$4AE!        \ RTC interrupt vector word                       
-RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
-RTCCNT1=\$4B0!      \ Real-Time Counter 1    
-RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
-RTCCNT2=\$4B1!      \ Real-Time Counter 2    
-RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
-RTCCNT3=\$4B2!      \ Real-Time Counter 3      
-RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
-RTCCNT4=\$4B3!      \ Real-Time Counter 4 
-RTCDAY=\$4B4!       \ RTC days                                        
-RTCMON=\$4B5!       \ RTC month                                       
-RTCYEAR=\$4B6!                                       
-RTCYEARL=\$4B6!     \ RTC year low                                    
-RTCYEARH=\$4B7!     \ RTC year high                                   
-RTCAMIN=\$4B8!      \ RTC alarm minutes                               
-RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
-RTCADOW=\$4BA!      \ RTC alarm day of week                           
-RTCADAY=\$4BB!      \ RTC alarm days                                  
-BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
-BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
-
-RTCHOLD=\$40!
-RTCRDY=\$10!
-
 ! ----------------------------------------------
 ! MSP430FR5994 MEMORY MAP
 ! ----------------------------------------------
@@ -141,3 +103,951 @@ COMP_E_Vec=\$FFF8!
 U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
+
+
+
+
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! =================================================
+! MSP430FR5x6x DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 2k):
+! ============================================
+
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ base address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!           Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+SD_BUF=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2002!
+BS_FirstSectorL=\$2004!
+BS_FirstSectorH=\$2006!
+OrgFAT1=\$2008!
+FATSize=\$200A!
+OrgFAT2=\$200C!
+OrgRootDir=\$200E!
+OrgClusters=\$2010!         Sector of Cluster 0
+SecPerClus=\$2012!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2014!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2014! CRC:ll  word access
+SD_CMD_FRM1=\$2015! ll      byte access
+SD_CMD_FRM2=\$2016! LL:hh   word access
+SD_CMD_FRM3=\$2017! hh      byte access
+SD_CMD_FRM4=\$2018! HH:CMD  word access
+SD_CMD_FRM5=\$2019! CMD     byte access
+SectorL=\$201A!     2 words
+SectorH=\$201C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$201E! 
+BufferLen=\$2020!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2022!     16 bits wide (FAT16)
+ClusterH=\$2024!     16 bits wide (FAT16)
+NewClusterL=\$2026!  16 bits wide (FAT16) 
+NewClusterH=\$2028!  16 bits wide (FAT16) 
+CurFATsector=\$202A! 
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$202C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$202E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2030!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2032!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2034!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2040!
+HandleEnd=\$2100!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2100!
+LOAD_STACK=\$2102!
+LOAD_STACK_END=\$2138!
+
+!SD_card Input Buffer, lenght = CPL = 84
+SDIB_I2CADR=\$2138!
+SDIB_I2CCNT=\$213A!
+SDIB_ORG=\$213C!
+
+SD_END_DATA=\$2190!
+
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+FRCTLCTL0=\$140!    \ FRAM control 0    
+GCCTL0=\$144!       \ General control 0 
+GCCTL1=\$146!       \ General control 1 
+
+CRC16DI=\$150!      \ CRC data input                  
+CRCDIRB=\$152!      \ CRC data input reverse byte     
+CRCINIRES=\$154!    \ CRC initialization and result   
+CRCRESR=\$156!      \ CRC result reverse byte  
+
+
+RCCTL0=\$158!       \ RAM controller control 0
+
+
+WDTCTL=\$15C!       \ WDT control register
+
+CSCTL0=\$160!       \ CS control 0 
+CSCTL1=\$162!       \ CS control 1 
+CSCTL2=\$164!       \ CS control 2 
+CSCTL3=\$166!       \ CS control 3 
+CSCTL4=\$168!       \ CS control 4 
+CSCTL5=\$16A!       \ CS control 5 
+CSCTL6=\$16C!       \ CS control 6 
+
+SYSCTL=\$180!       \ System control              
+SYSJMBC=\$186!      \ JTAG mailbox control        
+SYSJMBI0=\$188!     \ JTAG mailbox input 0        
+SYSJMBI1=\$18A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$18C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$18E!     \ JTAG mailbox output 1       
+SYSUNIV=\$19A!      \ User NMI vector generator   
+SYSSNIV=\$19C!      \ System NMI vector generator 
+SYSRSTIV=\$19E!     \ Reset vector generator      
+
+REFCTL=\$1B0!       \ Shared reference control 
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PASELC=\$216!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1SELC=\$216!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2SELC=\$217!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+PBSEL1=\$22C!
+PBSELC=\$236!
+PBIES=\$238!
+PBIE=\$23A!
+PBIFG=\$23C!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+P3IV=\$22E!
+P3SELC=\$236!
+P3IES=\$238!
+P3IE=\$23A!
+P3IFG=\$23C!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+P4SEL1=\$22D!
+P4SELC=\$237!
+P4IES=\$239!
+P4IE=\$23B!
+P4IFG=\$23D!
+P4IV=\$23E!
+
+PCIN=\$240!
+PCOUT=\$242!
+PCDIR=\$244!
+PCREN=\$246!
+PCSEL0=\$24A!
+PCSEL1=\$24C!
+PCSELC=\$256!
+PCIES=\$258!
+PCIE=\$25A!
+PCIFG=\$25C!
+
+P5IN=\$240!
+P5OUT=\$242!
+P5DIR=\$244!
+P5REN=\$246!
+P5SEL0=\$24A!
+P5SEL1=\$24C!
+P5IV=\$24E!
+P5SELC=\$256!
+P5IES=\$258!
+P5IE=\$25A!
+P5IFG=\$25C!
+
+P6IN=\$241!
+P6OUT=\$243!
+P6DIR=\$245!
+P6REN=\$247!
+P6SEL0=\$24B!
+P6SEL1=\$24D!
+P6SELC=\$257!
+P6IES=\$259!
+P6IE=\$25B!
+P6IFG=\$25D!
+P6IV=\$25E!
+
+PDIN=\$260!
+PDOUT=\$262!
+PDDIR=\$264!
+PDREN=\$266!
+PDSEL0=\$26A!
+PDSEL1=\$26C!
+PDSELC=\$276!
+PDIES=\$278!
+PDIE=\$27A!
+PDIFG=\$27C!
+
+P7IN=\$260!
+P7OUT=\$262!
+P7DIR=\$264!
+P7REN=\$266!
+P7SEL0=\$26A!
+P7SEL1=\$26C!
+P7IV=\$26E!
+P7SELC=\$276!
+P7IES=\$278!
+P7IE=\$27A!
+P7IFG=\$27C!
+
+P8IN=\$261!
+P8OUT=\$263!
+P8DIR=\$265!
+P8REN=\$267!
+P8SEL0=\$26B!
+P8SEL1=\$26D!
+P8SELC=\$277!
+P8IES=\$279!
+P8IE=\$27B!
+P8IFG=\$27D!
+P8IV=\$27E!
+
+PJIN=\$320!
+PJOUT=\$322!
+PJDIR=\$324!
+PJREN=\$326!
+PJSEL0=\$32A!
+PJSEL1=\$32C!
+PJSELC=\$336!
+
+
+TACLR=4!
+TAIFG=1!
+TBCLR=2!
+TBIFG=1!
+CCIFG=1!
+
+TA0CTL=\$340!       \ TA0 control                 
+TA0CCTL0=\$342!     \ Capture/compare control 0   
+TA0CCTL1=\$344!     \ Capture/compare control 1   
+TA0CCTL2=\$346!     \ Capture/compare control 2   
+TA0R=\$350!         \ TA0 counter register        
+TA0CCR0=\$352!      \ Capture/compare register 0  
+TA0CCR1=\$354!      \ Capture/compare register 1  
+TA0CCR2=\$356!      \ Capture/compare register 2  
+TA0EX0=\$360!       \ TA0 expansion register 0    
+TA0IV=\$36E!        \ TA0 interrupt vector        
+
+TA1CTL=\$380!       \ TA1 control                 
+TA1CCTL0=\$382!     \ Capture/compare control 0   
+TA1CCTL1=\$384!     \ Capture/compare control 1   
+TA1CCTL2=\$386!     \ Capture/compare control 2   
+TA1R=\$390!         \ TA1 counter register        
+TA1CCR0=\$392!      \ Capture/compare register 0  
+TA1CCR1=\$394!      \ Capture/compare register 1  
+TA1CCR2=\$396!      \ Capture/compare register 2  
+TA1EX0=\$3A0!       \ TA1 expansion register 0    
+TA1IV=\$3AE!        \ TA1 interrupt vector        
+
+TB0CTL=\$3C0!       \ TB0 control                 
+TB0CCTL0=\$3C2!     \ Capture/compare control 0   
+TB0CCTL1=\$3C4!     \ Capture/compare control 1   
+TB0CCTL2=\$3C6!     \ Capture/compare control 2   
+TB0CCTL3=\$3C8!     \ Capture/compare control 3   
+TB0CCTL4=\$3CA!     \ Capture/compare control 4   
+TB0CCTL5=\$3CC!     \ Capture/compare control 5   
+TB0CCTL6=\$3CE!     \ Capture/compare control 6   
+TB0R=\$3D0!         \ TB0 counter register        
+TB0CCR0=\$3D2!      \ Capture/compare register 0  
+TB0CCR1=\$3D4!      \ Capture/compare register 1  
+TB0CCR2=\$3D6!      \ Capture/compare register 2  
+TB0CCR3=\$3D8!      \ Capture/compare register 3  
+TB0CCR5=\$3DA!      \ Capture/compare register 4 
+TB0CCR5=\$3DC!      \ Capture/compare register 5  
+TB0CCR6=\$3DE!      \ Capture/compare register 6  
+TB0EX0=\$3E0!       \ TB0 expansion register 0    
+TB0IV=\$3EE!        \ TB0 interrupt vector        
+
+TA2CTL=\$400!       \ TA2 control                 
+TA2CCTL0=\$402!     \ Capture/compare control 0   
+TA2CCTL1=\$404!     \ Capture/compare control 1   
+TA2R=\$410!         \ TA2 counter register        
+TA2CCR0=\$412!      \ Capture/compare register 0  
+TA2CCR1=\$414!      \ Capture/compare register 1  
+TA2EX0=\$420!       \ TA2 expansion register 0    
+TA2IV=\$42E!        \ TA2 interrupt vector  
+
+CAPTIO0CTL=\$43E!   \ Capacitive Touch IO 0 control      
+
+TA3CTL=\$440!       \ TA3 control                 
+TA3CCTL0=\$442!     \ Capture/compare control 0   
+TA3CCTL1=\$444!     \ Capture/compare control 1   
+TA3R=\$450!         \ TA3 counter register        
+TA3CCR0=\$452!      \ Capture/compare register 0  
+TA3CCR1=\$454!      \ Capture/compare register 1  
+TA3EX0=\$460!       \ TA3 expansion register 0    
+TA3IV=\$46E!        \ TA3 interrupt vector  
+
+CAPTIO1CTL=\$47E!   \ Capacitive Touch IO 1 control 
+
+!                   \ RTC_C
+RTCCTL0_L=\$4A0!    \ RTCCTL0_L                     
+RTCCTL0_H=\$4A1!    \ RTCCTL0_H                                
+RTCCTL1=\$4A2!      \ RTCCTL1                                
+RTCCTL3=\$4A3!      \ RTCCTL3                       
+RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
+RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control 
+RTCPS=\$4AC!        \ RTC prescaler                        
+RT0PS=\$4AC!        \ RTC prescaler 0                                 
+RT1PS=\$4AD!        \ RTC prescaler 1                                 
+RTCIV=\$4AE!        \ RTC interrupt vector word                       
+RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
+RTCCNT1=\$4B0!      \ Real-Time Counter 1    
+RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
+RTCCNT2=\$4B1!      \ Real-Time Counter 2    
+RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
+RTCCNT3=\$4B2!      \ Real-Time Counter 3      
+RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
+RTCCNT4=\$4B3!      \ Real-Time Counter 4 
+RTCDAY=\$4B4!       \ RTC days                                        
+RTCMON=\$4B5!       \ RTC month                                       
+RTCYEAR=\$4B6!                                       
+RTCYEARL=\$4B6!     \ RTC year low                                    
+RTCYEARH=\$4B7!     \ RTC year high                                   
+RTCAMIN=\$4B8!      \ RTC alarm minutes                               
+RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
+RTCADOW=\$4BA!      \ RTC alarm day of week                           
+RTCADAY=\$4BB!      \ RTC alarm days                                  
+BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
+BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
+
+RTCHOLD=\$40!
+RTCRDY=\$10!
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word            
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+DMAIFG=8!
+
+DMACTL0=\$500!      \ DMA module control 0                    
+DMACTL1=\$502!      \ DMA module control 1                    
+DMACTL2=\$504!      \ DMA module control 2                    
+DMACTL3=\$506!      \ DMA module control 3                    
+DMACTL4=\$508!      \ DMA module control 4                    
+DMAIV=\$50A!        \ DMA interrupt vector                    
+
+DMA0CTL=\$510!      \ DMA channel 0 control                   
+DMA0SAL=\$512!      \ DMA channel 0 source address low        
+DMA0SAH=\$514!      \ DMA channel 0 source address high       
+DMA0DAL=\$516!      \ DMA channel 0 destination address low   
+DMA0DAH=\$518!      \ DMA channel 0 destination address high  
+DMA0SZ=\$51A!       \ DMA channel 0 transfer size             
+
+DMA1CTL=\$520!      \ DMA channel 1 control                   
+DMA1SAL=\$522!      \ DMA channel 1 source address low        
+DMA1SAH=\$524!      \ DMA channel 1 source address high       
+DMA1DAL=\$526!      \ DMA channel 1 destination address low   
+DMA1DAH=\$528!      \ DMA channel 1 destination address high  
+DMA1SZ=\$52A!       \ DMA channel 1 transfer size             
+
+DMA2CTL=\$530!      \ DMA channel 2 control                   
+DMA2SAL=\$532!      \ DMA channel 2 source address low        
+DMA2SAH=\$534!      \ DMA channel 2 source address high       
+DMA2DAL=\$536!      \ DMA channel 2 destination address low   
+DMA2DAH=\$538!      \ DMA channel 2 destination address high  
+DMA2SZ=\$53A!       \ DMA channel 2 transfer size             
+
+DMA3CTL=\$540!      \ DMA channel 3 control                   
+DMA3SAL=\$542!      \ DMA channel 3 source address low        
+DMA3SAH=\$544!      \ DMA channel 3 source address high       
+DMA3DAL=\$546!      \ DMA channel 3 destination address low   
+DMA3DAH=\$548!      \ DMA channel 3 destination address high  
+DMA3SZ=\$54A!       \ DMA channel 3 transfer size             
+
+DMA4CTL=\$550!      \ DMA channel 4 control                   
+DMA4SAL=\$552!      \ DMA channel 4 source address low        
+DMA4SAH=\$554!      \ DMA channel 4 source address high       
+DMA4DAL=\$556!      \ DMA channel 4 destination address low   
+DMA4DAH=\$558!      \ DMA channel 4 destination address high  
+DMA4SZ=\$55A!       \ DMA channel 4 transfer size             
+
+DMA5CTL=\$560!      \ DMA channel 5 control                   
+DMA5SAL=\$562!      \ DMA channel 5 source address low        
+DMA5SAH=\$564!      \ DMA channel 5 source address high       
+DMA5DAL=\$566!      \ DMA channel 5 destination address low   
+DMA5DAH=\$568!      \ DMA channel 5 destination address high  
+DMA5SZ=\$56A!       \ DMA channel 5 transfer size             
+
+MPUCTL0=\$5A0!      \ MPU control 0             
+MPUCTL1=\$5A2!      \ MPU control 1             
+MPUSEG=\$5A4!       \ MPU Segmentation Register 
+MPUSAM=\$5A6!       \ MPU access management     
+MPUIPC0=\$5AA!      \ MPU IP control 0                      
+MPUIPSEGB2=\$5AC!   \ MPU IP Encapsulation Segment Border 2 
+MPUIPSEGB1=\$5AE!   \ MPU IP Encapsulation Segment Border 1 
+
+
+UCA0CTLW0=\$5C0!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$5C2!    \ eUSCI_A control word 1        
+UCA0BRW=\$5C6!         
+UCA0BR0=\$5C6!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$5C7!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$5C8!    \ eUSCI_A modulation control    
+UCA0STAT=\$5CA!     \ eUSCI_A status                
+UCA0RXBUF=\$5CC!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$5CE!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$5D0!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$5D2!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$5D3!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$5DA!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$5DC!      \ eUSCI_A interrupt flags       
+UCA0IV=\$5DE!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$5E0!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$5E2!    \ eUSCI_A control word 1        
+UCA1BRW=\$5E6!         
+UCA1BR0=\$5E6!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$5E7!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$5E8!    \ eUSCI_A modulation control    
+UCA1STAT=\$5EA!     \ eUSCI_A status                
+UCA1RXBUF=\$5EC!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$5EE!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$5F0!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$5F2!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$5F3!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$5FA!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$5FC!      \ eUSCI_A interrupt flags       
+UCA1IV=\$5FE!       \ eUSCI_A interrupt vector word 
+
+UCA2CTLW0=\$600!    \ eUSCI_A control word 0        
+UCA2CTLW1=\$602!    \ eUSCI_A control word 1        
+UCA2BRW=\$606!         
+UCA2BR0=\$606!      \ eUSCI_A baud rate 0           
+UCA2BR1=\$607!      \ eUSCI_A baud rate 1           
+UCA2MCTLW=\$608!    \ eUSCI_A modulation control    
+UCA2STAT=\$60A!     \ eUSCI_A status                
+UCA2RXBUF=\$60C!    \ eUSCI_A receive buffer        
+UCA2TXBUF=\$60E!    \ eUSCI_A transmit buffer       
+UCA2ABCTL=\$610!    \ eUSCI_A LIN control           
+UCA2IRTCTL=\$612!   \ eUSCI_A IrDA transmit control 
+UCA2IRRCTL=\$613!   \ eUSCI_A IrDA receive control  
+UCA2IE=\$61A!       \ eUSCI_A interrupt enable      
+UCA2IFG=\$61C!      \ eUSCI_A interrupt flags       
+UCA2IV=\$61E!       \ eUSCI_A interrupt vector word 
+
+UCA3CTLW0=\$620!    \ eUSCI_A control word 0        
+UCA3CTLW1=\$622!    \ eUSCI_A control word 1        
+UCA3BRW=\$626!         
+UCA3BR0=\$626!      \ eUSCI_A baud rate 0           
+UCA3BR1=\$627!      \ eUSCI_A baud rate 1           
+UCA3MCTLW=\$628!    \ eUSCI_A modulation control    
+UCA3STAT=\$62A!     \ eUSCI_A status                
+UCA3RXBUF=\$62C!    \ eUSCI_A receive buffer        
+UCA3TXBUF=\$62E!    \ eUSCI_A transmit buffer       
+UCA3ABCTL=\$630!    \ eUSCI_A LIN control           
+UCA3IRTCTL=\$632!   \ eUSCI_A IrDA transmit control 
+UCA3IRRCTL=\$633!   \ eUSCI_A IrDA receive control  
+UCA3IE=\$63A!       \ eUSCI_A interrupt enable      
+UCA3IFG=\$63C!      \ eUSCI_A interrupt flags       
+UCA3IV=\$63E!       \ eUSCI_A interrupt vector word 
+
+UCB0CTLW0=\$640!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$642!    \ eUSCI_B control word 1 
+UCB0BRW=\$646!         
+UCB0BR0=\$646!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$647!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$648!    \ eUSCI_B status word 
+UCBCNT0=\$649!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$64A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$64C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$64E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$654!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$656!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$658!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$65A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$65C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$65E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$660!    \ eUSCI I2C slave address         
+UCB0IE=\$66A!       \ eUSCI interrupt enable          
+UCB0IFG=\$66C!      \ eUSCI interrupt flags           
+UCB0IV=\$66E!       \ eUSCI interrupt vector word     
+
+UCB1CTLW0=\$680!    \ eUSCI_B control word 0          
+UCB1CTLW1=\$682!    \ eUSCI_B control word 1 
+UCB1BRW=\$686!         
+UCB1BR0=\$686!      \ eUSCI_B bit rate 0              
+UCB1BR1=\$687!      \ eUSCI_B bit rate 1              
+UCB1STATW=\$688!    \ eUSCI_B status word 
+UCB1NT0=\$689!      \ eUSCI_B hardware count           
+UCB1TBCNT=\$68A!    \ eUSCI_B byte counter threshold  
+UCB1RXBUF=\$68C!    \ eUSCI_B receive buffer          
+UCB1TXBUF=\$68E!    \ eUSCI_B transmit buffer         
+UCB1I2COA0=\$694!   \ eUSCI_B I2C own address 0       
+UCB1I2COA1=\$696!   \ eUSCI_B I2C own address 1       
+UCB1I2COA2=\$698!   \ eUSCI_B I2C own address 2       
+UCB1I2COA3=\$69A!   \ eUSCI_B I2C own address 3       
+UCB1ADDRX=\$69C!    \ eUSCI_B received address        
+UCB1ADDMASK=\$69E!  \ eUSCI_B address mask            
+UCB1I2CSA=\$6A0!    \ eUSCI I2C slave address         
+UCB1IE=\$6AA!       \ eUSCI interrupt enable          
+UCB1IFG=\$6AC!      \ eUSCI interrupt flags           
+UCB1IV=\$6AE!       \ eUSCI interrupt vector word     
+
+UCB2CTLW0=\$6C0!    \ eUSCI_B control word 0          
+UCB2CTLW1=\$6C2!    \ eUSCI_B control word 1 
+UCB2BRW=\$6C6!         
+UCB2BR0=\$6C6!      \ eUSCI_B bit rate 0              
+UCB2BR1=\$6C7!      \ eUSCI_B bit rate 1              
+UCB2STATW=\$6C8!    \ eUSCI_B status word 
+UCB2NT0=\$6C9!      \ eUSCI_B hardware count           
+UCB2TBCNT=\$6CA!    \ eUSCI_B byte counter threshold  
+UCB2RXBUF=\$6CC!    \ eUSCI_B receive buffer          
+UCB2TXBUF=\$6CE!    \ eUSCI_B transmit buffer         
+UCB2I2COA0=\$6D4!   \ eUSCI_B I2C own address 0       
+UCB2I2COA1=\$6D6!   \ eUSCI_B I2C own address 1       
+UCB2I2COA2=\$6D8!   \ eUSCI_B I2C own address 2       
+UCB2I2COA3=\$6DA!   \ eUSCI_B I2C own address 3       
+UCB2ADDRX=\$6DC!    \ eUSCI_B received address        
+UCB2ADDMASK=\$6DE!  \ eUSCI_B address mask            
+UCB2I2CSA=\$6E0!    \ eUSCI I2C slave address         
+UCB2IE=\$6EA!       \ eUSCI interrupt enable          
+UCB2IFG=\$6EC!      \ eUSCI interrupt flags           
+UCB2IV=\$6EE!       \ eUSCI interrupt vector word     
+
+UCB3CTLW0=\$700!    \ eUSCI_B control word 0          
+UCB3CTLW1=\$702!    \ eUSCI_B control word 1 
+UCB3BRW=\$706!         
+UCB3BR0=\$706!      \ eUSCI_B bit rate 0              
+UCB3BR1=\$707!      \ eUSCI_B bit rate 1              
+UCB3STATW=\$708!    \ eUSCI_B status word 
+UCB3NT0=\$709!      \ eUSCI_B hardware count           
+UCB3TBCNT=\$70A!    \ eUSCI_B byte counter threshold  
+UCB3RXBUF=\$70C!    \ eUSCI_B receive buffer          
+UCB3TXBUF=\$70E!    \ eUSCI_B transmit buffer         
+UCB3I2COA0=\$714!   \ eUSCI_B I2C own address 0       
+UCB3I2COA1=\$716!   \ eUSCI_B I2C own address 1       
+UCB3I2COA2=\$718!   \ eUSCI_B I2C own address 2       
+UCB3I2COA3=\$71A!   \ eUSCI_B I2C own address 3       
+UCB3ADDRX=\$71C!    \ eUSCI_B received address        
+UCB3ADDMASK=\$71E!  \ eUSCI_B address mask            
+UCB3I2CSA=\$720!    \ eUSCI I2C slave address         
+UCB3IE=\$72A!       \ eUSCI interrupt enable          
+UCB3IFG=\$72C!      \ eUSCI interrupt flags           
+UCB3IV=\$72E!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+TA4CTL=\$7C0!       \ TA4 control                 
+TA4CCTL0=\$7C2!     \ Capture/compare control 0   
+TA4CCTL1=\$7C4!     \ Capture/compare control 1   
+TA4R=\$7D0!         \ TA4 counter register        
+TA4CCR0=\$7D2!      \ Capture/compare register 0  
+TA4CCR1=\$7D4!      \ Capture/compare register 1  
+TA4EX0=\$7E0!       \ TA4 expansion register 0    
+TA4IV=\$7EE!        \ TA4 interrupt vector  
+
+
+ADC12CTL0=\$800!    \ ADC12_B Control 0                                 
+ADC12CTL1=\$802!    \ ADC12_B Control 1                                 
+ADC12CTL2=\$804!    \ ADC12_B Control 2                                 
+ADC12CTL3=\$806!    \ ADC12_B Control 3                                 
+ADC12LO=\$808!      \ ADC12_B Window Comparator Low Threshold Register  
+ADC12HI=\$80A!      \ ADC12_B Window Comparator High Threshold Register 
+ADC12IFGR0=\$80C!   \ ADC12_B Interrupt Flag Register 0                 
+ADC12IFGR1=\$80E!   \ ADC12_B Interrupt Flag Register 1                 
+ADC12IFGR2=\$810!   \ ADC12_B Interrupt Flag Register 2                 
+ADC12IER0=\$812!    \ ADC12_B Interrupt Enable Register 0               
+ADC12IER1=\$814!    \ ADC12_B Interrupt Enable Register 1               
+ADC12IER2=\$816!    \ ADC12_B Interrupt Enable Register 2               
+ADC12IV=\$818!      \ ADC12_B Interrupt Vector                          
+ADC12MCTL0=\$820!   \ ADC12_B Memory Control 0                          
+ADC12MCTL1=\$822!   \ ADC12_B Memory Control 1                          
+ADC12MCTL2=\$824!   \ ADC12_B Memory Control 2                          
+ADC12MCTL3=\$826!   \ ADC12_B Memory Control 3                          
+ADC12MCTL4=\$828!   \ ADC12_B Memory Control 4                          
+ADC12MCTL5=\$82A!   \ ADC12_B Memory Control 5                          
+ADC12MCTL6=\$82C!   \ ADC12_B Memory Control 6                          
+ADC12MCTL7=\$82E!   \ ADC12_B Memory Control 7                          
+ADC12MCTL8=\$830!   \ ADC12_B Memory Control 8                          
+ADC12MCTL9=\$832!   \ ADC12_B Memory Control 9                          
+ADC12MCTL10=\$834!  \ ADC12_B Memory Control 10                         
+ADC12MCTL11=\$836!  \ ADC12_B Memory Control 11                         
+ADC12MCTL12=\$838!  \ ADC12_B Memory Control 12                         
+ADC12MCTL13=\$83A!  \ ADC12_B Memory Control 13 
+ADC12MCTL14=\$83C!  \ ADC12_B Memory Control 14 
+ADC12MCTL15=\$83E!  \ ADC12_B Memory Control 15 
+ADC12MCTL16=\$840!  \ ADC12_B Memory Control 16 
+ADC12MCTL17=\$842!  \ ADC12_B Memory Control 17 
+ADC12MCTL18=\$844!  \ ADC12_B Memory Control 18 
+ADC12MCTL19=\$846!  \ ADC12_B Memory Control 19 
+ADC12MCTL20=\$848!  \ ADC12_B Memory Control 20 
+ADC12MCTL21=\$84A!  \ ADC12_B Memory Control 21 
+ADC12MCTL22=\$84C!  \ ADC12_B Memory Control 22 
+ADC12MCTL23=\$84E!  \ ADC12_B Memory Control 23 
+ADC12MCTL24=\$850!  \ ADC12_B Memory Control 24 
+ADC12MCTL25=\$852!  \ ADC12_B Memory Control 25 
+ADC12MCTL26=\$854!  \ ADC12_B Memory Control 26 
+ADC12MCTL27=\$856!  \ ADC12_B Memory Control 27 
+ADC12MCTL28=\$858!  \ ADC12_B Memory Control 28 
+ADC12MCTL29=\$85A!  \ ADC12_B Memory Control 29 
+ADC12MCTL30=\$85C!  \ ADC12_B Memory Control 30 
+ADC12MCTL31=\$85E!  \ ADC12_B Memory Control 31 
+ADC12MEM0=\$860!    \ ADC12_B Memory 0 
+ADC12MEM1=\$862!    \ ADC12_B Memory 1 
+ADC12MEM2=\$864!    \ ADC12_B Memory 2 
+ADC12MEM3=\$866!    \ ADC12_B Memory 3 
+ADC12MEM4=\$868!    \ ADC12_B Memory 4 
+ADC12MEM5=\$86A!    \ ADC12_B Memory 5 
+ADC12MEM6=\$86C!    \ ADC12_B Memory 6 
+ADC12MEM7=\$86E!    \ ADC12_B Memory 7 
+ADC12MEM8=\$870!    \ ADC12_B Memory 8 
+ADC12MEM9=\$872!    \ ADC12_B Memory 9 
+ADC12MEM10=\$874!   \ ADC12_B Memory 10 
+ADC12MEM11=\$876!   \ ADC12_B Memory 11 
+ADC12MEM12=\$878!   \ ADC12_B Memory 12 
+ADC12MEM13=\$87A!   \ ADC12_B Memory 13 
+ADC12MEM14=\$87C!   \ ADC12_B Memory 14 
+ADC12MEM15=\$87E!   \ ADC12_B Memory 15 
+ADC12MEM16=\$880!   \ ADC12_B Memory 16 
+ADC12MEM17=\$882!   \ ADC12_B Memory 17 
+ADC12MEM18=\$884!   \ ADC12_B Memory 18 
+ADC12MEM19=\$886!   \ ADC12_B Memory 19 
+ADC12MEM20=\$888!   \ ADC12_B Memory 20 
+ADC12MEM21=\$88A!   \ ADC12_B Memory 21 
+ADC12MEM22=\$88C!   \ ADC12_B Memory 22 
+ADC12MEM23=\$88E!   \ ADC12_B Memory 23 
+ADC12MEM24=\$890!   \ ADC12_B Memory 24 
+ADC12MEM25=\$892!   \ ADC12_B Memory 25 
+ADC12MEM26=\$894!   \ ADC12_B Memory 26 
+ADC12MEM27=\$896!   \ ADC12_B Memory 27 
+ADC12MEM28=\$898!   \ ADC12_B Memory 28 
+ADC12MEM29=\$89A!   \ ADC12_B Memory 29 
+ADC12MEM30=\$89C!   \ ADC12_B Memory 30 
+ADC12MEM31=\$89E!   \ ADC12_B Memory 31 
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+CDIFG=1!
+CDIIFG=2!
+
+CDCTL0=\$8C0!       \ Comparator_E control register 0     
+CDCTL1=\$8C2!       \ Comparator_E control register 1     
+CDCTL2=\$8C4!       \ Comparator_E control register 2     
+CDCTL3=\$8C6!       \ Comparator_E control register 3     
+CDINT=\$8CC!        \ Comparator_E interrupt register     
+CDIV=\$8CE!         \ Comparator_E interrupt vector word  
+
+CRC32DIW0=\$980!        \ CRC32 data input                        
+CRC32DIRBW0=\$986!      \ CRC32 data input reverse                
+CRC32INIRESW0=\$988!    \ CRC32 initialization and result word 0  
+CRC32INIRESW1=\$98A!    \ CRC32 initialization and result word 1  
+CRC32RESRW1=\$98!       \ CRC32 result reverse word 1             
+CRC32RESRW1=\$98E!      \ CRC32 result reverse word 0             
+CRC16DIW0=\$990!        \ CRC16 data input                        
+CRC16DIRBW0=\$996!      \ CRC16 data input reverse                
+CRC16INIRESW0=\$998!    \ CRC16 initialization and result word 0  
+CRC16RESRW1=\$99E!      \ CRC16 result reverse word 0             
+
+
+AESACTL0=\$9C0!     \ AES accelerator control register 0                  
+AESASTAT=\$9C4!     \ AES accelerator status register                     
+AESAKEY=\$9C6!      \ AES accelerator key register                        
+AESADIN=\$9C8!      \ AES accelerator data in register                    
+AESADOUT=\$9CA!     \ AES accelerator data out register                   
+AESAXDIN=\$9CC!     \ AES accelerator XORed data in register              
+AESAXIN =\$9CE!     \ AES accelerator XORed data in register (no trigger) 
+
+LEASCCAP=\$A80!     \ LEASC capability         
+LEASCCNF0=\$A84!    \ Configuration 0          
+LEASCCNF1=\$A88!    \ Configuration 1          
+LEASCCNF2=\$A8C!    \ Configuration 2          
+LEASCMB=\$A90!      \ Memory bottom            
+LEASCMT=\$A94!      \ Memory top               
+LEASCCMA=\$A98!     \ Code memory access       
+LEASCCMCTL=\$A9C!   \ Code memory control      
+LEASSCMDSTAT=\$AA8! \ LEA command status       
+LEASCS1STAT=\$AAC!  \ LEA source 1 status      
+LEASCS0STAT=\$AB0!  \ LEA source 0 status      
+LEASCDSTSTAT=\$AB4! \ LEA result status        
+LEASCPMCTL=\$AC0!   \ Control                  
+LEASCPMDST=\$AC4!   \ Result                   
+LEASCPMS1=\$AC8!    \ Source 1                 
+LEASCPMS0=\$ACC!    \ Source 0                 
+LEASCPMCB=\$AD0!    \ Command buffer           
+LEASCIFGSET=\$AF0!  \ Interrupt flag and set   
+LEASCIE=\$AF4!      \ Interrupt enable         
+LEASCIFG=\$AF8!     \ Interrupt flag and clr   
+LEASCIV=\$AFC!      \ Interrupt vector         
+
index 4b79bfd..cb2b488 100644 (file)
@@ -8,6 +8,268 @@ LPM0=\$18! SR(LPM0+GIE)
 
 
 
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! =================================================
+! MSP430FR5x6x DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 2k):
+! ============================================
+
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ base address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!           Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+BUFFER=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2002!
+BS_FirstSectorL=\$2004!
+BS_FirstSectorH=\$2006!
+OrgFAT1=\$2008!
+FATSize=\$200A!
+OrgFAT2=\$200C!
+OrgRootDir=\$200E!
+OrgClusters=\$2010!         Sector of Cluster 0
+SecPerClus=\$2012!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2014!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2014! CRC:ll  word access
+SD_CMD_FRM1=\$2015! ll      byte access
+SD_CMD_FRM2=\$2016! LL:hh   word access
+SD_CMD_FRM3=\$2017! hh      byte access
+SD_CMD_FRM4=\$2018! HH:CMD  word access
+SD_CMD_FRM5=\$2019! CMD     byte access
+SectorL=\$201A!     2 words
+SectorH=\$201C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$201E! 
+BufferLen=\$2020!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2022!     16 bits wide (FAT16)
+ClusterH=\$2024!     16 bits wide (FAT16)
+NewClusterL=\$2026!  16 bits wide (FAT16) 
+NewClusterH=\$2028!  16 bits wide (FAT16) 
+CurFATsector=\$202A! 
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$202C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$202E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2030!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2032!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2034!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2040!
+HandleEnd=\$2100!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2100!
+LOAD_STACK=\$2102!
+LOAD_STACK_END=\$2138!
+
+!SD_card Input Buffer, lenght = CPL = 84
+SDIB_I2CADR=\$2138!
+SDIB_I2CCNT=\$213A!
+SDIB_ORG=\$213C!
+
+SD_END_DATA=\$2190!
+
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
 SFRIE1=\$100!       \ SFR enable register
 SFRIFG1=\$102!      \ SFR flag register
 SFRRPCR=\$104!      \ SFR reset pin control
@@ -25,6 +287,8 @@ CRCDIRB=\$152!      \ CRC data input reverse byte
 CRCINIRES=\$154!    \ CRC initialization and result   
 CRCRESR=\$156!      \ CRC result reverse byte  
 
+RCCTL0=\$158!       \ RAM controller control 0
+
 WDTCTL=\$15C!        \ WDT control register
 
 CSCTL0=\$160!       \ CS control 0 
@@ -53,12 +317,10 @@ PADIR=\$204!
 PAREN=\$206!
 PASEL0=\$20A!
 PASEL1=\$20C!
-P1IV=\$20E!
 PASELC=\$216!
 PAIES=\$218!
 PAIE=\$21A!
 PAIFG=\$21C!
-P2IV=\$21E!
 
 P1IN=\$200!
 P1OUT=\$202!
@@ -66,6 +328,7 @@ P1DIR=\$204!
 P1REN=\$206!
 P1SEL0=\$20A!
 P1SEL1=\$20C!
+P1IV=\$20E!
 P1SELC=\$216!
 P1IES=\$218!
 P1IE=\$21A!
@@ -81,6 +344,7 @@ P2SELC=\$217!
 P2IES=\$219!
 P2IE=\$21B!
 P2IFG=\$21D!
+P2IV=\$21E!
 
 PBIN=\$220!
 PBOUT=\$222!
@@ -88,12 +352,10 @@ PBDIR=\$224!
 PBREN=\$226!
 PBSEL0=\$22A!
 PBSEL1=\$22C!
-P3IV=\$22E!
 PBSELC=\$236!
 PBIES=\$238!
 PBIE=\$23A!
 PBIFG=\$23C!
-P4IV=\$23E!
 
 P3IN=\$220!
 P3OUT=\$222!
@@ -101,6 +363,7 @@ P3DIR=\$224!
 P3REN=\$226!
 P3SEL0=\$22A!
 P3SEL1=\$22C!
+P3IV=\$22E!
 P3SELC=\$236!
 P3IES=\$238!
 P3IE=\$23A!
@@ -116,6 +379,7 @@ P4SELC=\$237!
 P4IES=\$239!
 P4IE=\$23B!
 P4IFG=\$23D!
+P4IV=\$23E!
 
 PCIN=\$240!
 PCOUT=\$242!
@@ -123,12 +387,10 @@ PCDIR=\$244!
 PCREN=\$246!
 PCSEL0=\$24A!
 PCSEL1=\$24C!
-P5IV=\$24E!
 PCSELC=\$256!
 PCIES=\$258!
 PCIE=\$25A!
 PCIFG=\$25C!
-P6IV=\$25E!
 
 P5IN=\$240!
 P5OUT=\$242!
@@ -136,6 +398,7 @@ P5DIR=\$244!
 P5REN=\$246!
 P5SEL0=\$24A!
 P5SEL1=\$24C!
+P5IV=\$24E!
 P5SELC=\$256!
 P5IES=\$258!
 P5IE=\$25A!
@@ -151,6 +414,7 @@ P6SELC=\$257!
 P6IES=\$259!
 P6IE=\$25B!
 P6IFG=\$25D!
+P6IV=\$25E!
 
 PDIN=\$260!
 PDOUT=\$262!
@@ -158,12 +422,10 @@ PDDIR=\$264!
 PDREN=\$266!
 PDSEL0=\$26A!
 PDSEL1=\$26C!
-P7IV=\$26E!
-PCSELC=\$276!
-PCIES=\$278!
-PCIE=\$27A!
-PCIFG=\$27C!
-P8IV=\$27E!
+PDSELC=\$276!
+PDIES=\$278!
+PDIE=\$27A!
+PDIFG=\$27C!
 
 P7IN=\$260!
 P7OUT=\$262!
@@ -171,6 +433,7 @@ P7DIR=\$264!
 P7REN=\$266!
 P7SEL0=\$26A!
 P7SEL1=\$26C!
+P7IV=\$26E!
 P7SELC=\$276!
 P7IES=\$278!
 P7IE=\$27A!
@@ -186,6 +449,7 @@ P8SELC=\$277!
 P8IES=\$279!
 P8IE=\$27B!
 P8IFG=\$27D!
+P8IV=\$27E!
 
 PEIN=\$280!
 PEOUT=\$282!
@@ -193,12 +457,10 @@ PEDIR=\$284!
 PEREN=\$286!
 PESEL0=\$28A!
 PESEL1=\$28C!
-P9IV=\$28E!
 PESELC=\$296!
 PEIES=\$298!
 PEIE=\$29A!
 PEIFG=\$29C!
-P10IV=\$29E!
 
 P9IN=\$280!
 P9OUT=\$282!
@@ -206,6 +468,7 @@ P9DIR=\$284!
 P9REN=\$286!
 P9SEL0=\$28A!
 P9SEL1=\$28C!
+P9IV=\$28E!
 P9SELC=\$296!
 P9IES=\$298!
 P9IE=\$29A!
@@ -221,6 +484,7 @@ P10SELC=\$297!
 P10IES=\$299!
 P10IE=\$29B!
 P10IFG=\$29D!
+P10IV=\$29E!
 
 PJIN=\$320!
 PJOUT=\$322!
@@ -241,10 +505,14 @@ TA0CTL=\$340!       \ TA0 control
 TA0CCTL0=\$342!     \ Capture/compare control 0   
 TA0CCTL1=\$344!     \ Capture/compare control 1   
 TA0CCTL2=\$346!     \ Capture/compare control 2   
+TA0CCTL3=\$348!     \ Capture/compare control 3   
+TA0CCTL4=\$34A!     \ Capture/compare control 4   
 TA0R=\$350!         \ TA0 counter register        
 TA0CCR0=\$352!      \ Capture/compare register 0  
 TA0CCR1=\$354!      \ Capture/compare register 1  
 TA0CCR2=\$356!      \ Capture/compare register 2  
+TA0CCR2=\$358!      \ Capture/compare register 3  
+TA0CCR2=\$35A!      \ Capture/compare register 4  
 TA0EX0=\$360!       \ TA0 expansion register 0    
 TA0IV=\$36E!        \ TA0 interrupt vector        
 
@@ -263,10 +531,18 @@ TB0CTL=\$3C0!       \ TB0 control
 TB0CCTL0=\$3C2!     \ Capture/compare control 0   
 TB0CCTL1=\$3C4!     \ Capture/compare control 1   
 TB0CCTL2=\$3C6!     \ Capture/compare control 2   
+TB0CCTL3=\$3C8!     \ Capture/compare control 3   
+TB0CCTL4=\$3CA!     \ Capture/compare control 4   
+TB0CCTL5=\$3CC!     \ Capture/compare control 5   
+TB0CCTL6=\$3CE!     \ Capture/compare control 6   
 TB0R=\$3D0!         \ TB0 counter register        
 TB0CCR0=\$3D2!      \ Capture/compare register 0  
 TB0CCR1=\$3D4!      \ Capture/compare register 1  
 TB0CCR2=\$3D6!      \ Capture/compare register 2  
+TB0CCR3=\$3D8!      \ Capture/compare register 3  
+TB0CCR5=\$3DA!      \ Capture/compare register 4 
+TB0CCR5=\$3DC!      \ Capture/compare register 5  
+TB0CCR6=\$3DE!      \ Capture/compare register 6  
 TB0EX0=\$3E0!       \ TB0 expansion register 0    
 TB0IV=\$3EE!        \ TB0 interrupt vector        
 
@@ -284,9 +560,15 @@ CAPTIO0CTL=\$43E!   \ Capacitive Touch IO 0 control
 TA3CTL=\$440!       \ TA3 control                 
 TA3CCTL0=\$442!     \ Capture/compare control 0   
 TA3CCTL1=\$444!     \ Capture/compare control 1   
+TA3CCTL2=\$446!     \ Capture/compare control 2   
+TA3CCTL3=\$448!     \ Capture/compare control 3   
+TA3CCTL4=\$44A!     \ Capture/compare control 4   
 TA3R=\$450!         \ TA3 counter register        
 TA3CCR0=\$452!      \ Capture/compare register 0  
 TA3CCR1=\$454!      \ Capture/compare register 1  
+TA3CCR2=\$456!      \ Capture/compare register 2  
+TA3CCR3=\$458!      \ Capture/compare register 3  
+TA3CCR4=\$45A!      \ Capture/compare register 4  
 TA3EX0=\$460!       \ TA3 expansion register 0    
 TA3IV=\$46E!        \ TA3 interrupt vector  
 
@@ -318,6 +600,12 @@ MPY32CTL0=\$4EC!    \ MPY32 control register 0
 
 DMAIFG=8!
 
+DMACTL0=\$500!      \ DMA module control 0                    
+DMACTL1=\$502!      \ DMA module control 1                    
+DMACTL2=\$504!      \ DMA module control 2                    
+DMACTL3=\$506!      \ DMA module control 3                    
+DMACTL4=\$508!      \ DMA module control 4                    
+DMAIV=\$50A!        \ DMA interrupt vector                    
 DMA0CTL=\$510!      \ DMA channel 0 control                   
 DMA0SAL=\$512!      \ DMA channel 0 source address low        
 DMA0SAH=\$514!      \ DMA channel 0 source address high       
@@ -336,30 +624,24 @@ DMA2SAH=\$534!      \ DMA channel 2 source address high
 DMA2DAL=\$536!      \ DMA channel 2 destination address low   
 DMA2DAH=\$538!      \ DMA channel 2 destination address high  
 DMA2SZ=\$53A!       \ DMA channel 2 transfer size             
-DMA2CTL=\$540!      \ DMA channel 3 control                   
-DMA2SAL=\$542!      \ DMA channel 3 source address low        
-DMA2SAH=\$544!      \ DMA channel 3 source address high       
-DMA2DAL=\$546!      \ DMA channel 3 destination address low   
-DMA2DAH=\$548!      \ DMA channel 3 destination address high  
-DMA2SZ=\$54A!       \ DMA channel 3 transfer size             
-DMA2CTL=\$550!      \ DMA channel 4 control                   
-DMA2SAL=\$552!      \ DMA channel 4 source address low        
-DMA2SAH=\$554!      \ DMA channel 4 source address high       
-DMA2DAL=\$556!      \ DMA channel 4 destination address low   
-DMA2DAH=\$558!      \ DMA channel 4 destination address high  
-DMA2SZ=\$55A!       \ DMA channel 4 transfer size             
-DMA2CTL=\$560!      \ DMA channel 5 control                   
-DMA2SAL=\$562!      \ DMA channel 5 source address low        
-DMA2SAH=\$564!      \ DMA channel 5 source address high       
-DMA2DAL=\$566!      \ DMA channel 5 destination address low   
-DMA2DAH=\$568!      \ DMA channel 5 destination address high  
-DMA2SZ=\$56A!       \ DMA channel 5 transfer size             
-DMACTL0=\$500!      \ DMA module control 0                    
-DMACTL1=\$502!      \ DMA module control 1                    
-DMACTL2=\$504!      \ DMA module control 2                    
-DMACTL3=\$506!      \ DMA module control 3                    
-DMACTL4=\$508!      \ DMA module control 4                    
-DMAIV=\$50A!        \ DMA interrupt vector                    
+DMA3CTL=\$540!      \ DMA channel 3 control                   
+DMA3SAL=\$542!      \ DMA channel 3 source address low        
+DMA3SAH=\$544!      \ DMA channel 3 source address high       
+DMA3DAL=\$546!      \ DMA channel 3 destination address low   
+DMA3DAH=\$548!      \ DMA channel 3 destination address high  
+DMA3SZ=\$54A!       \ DMA channel 3 transfer size             
+DMA4CTL=\$550!      \ DMA channel 4 control                   
+DMA4SAL=\$552!      \ DMA channel 4 source address low        
+DMA4SAH=\$554!      \ DMA channel 4 source address high       
+DMA4DAL=\$556!      \ DMA channel 4 destination address low   
+DMA4DAH=\$558!      \ DMA channel 4 destination address high  
+DMA4SZ=\$55A!       \ DMA channel 4 transfer size             
+DMA5CTL=\$560!      \ DMA channel 5 control                   
+DMA5SAL=\$562!      \ DMA channel 5 source address low        
+DMA5SAH=\$564!      \ DMA channel 5 source address high       
+DMA5DAL=\$566!      \ DMA channel 5 destination address low   
+DMA5DAH=\$568!      \ DMA channel 5 destination address high  
+DMA5SZ=\$56A!       \ DMA channel 5 transfer size             
 
 MPUCTL0=\$5A0!      \ MPU control 0             
 MPUCTL1=\$5A2!      \ MPU control 1             
@@ -634,7 +916,7 @@ CRC32RESRW1=\$98!       \ CRC32 result reverse word 1
 CRC32RESRW1=\$98E!      \ CRC32 result reverse word 0             
 CRC16DIW0=\$990!        \ CRC16 data input                        
 CRC16DIRBW0=\$996!      \ CRC16 data input reverse                
-CRC16INIRESW0=\$99    \ CRC16 initialization and result word 0  
+CRC16INIRESW0=\$998!    \ CRC16 initialization and result word 0  
 CRC16RESRW1=\$99E!      \ CRC16 result reverse word 0             
 
 
@@ -821,4 +1103,4 @@ ESITSM30=\$D9C!     \ ESI TSM 30
 ESITSM31=\$D9E!     \ ESI TSM 31 
 
 
-ESI_RAM=\$E00!
\ No newline at end of file
+ESI_RAM=\$E00!
diff --git a/config/gema/MSP430FR5x6x_FastForth.pat b/config/gema/MSP430FR5x6x_FastForth.pat
deleted file mode 100644 (file)
index 6533122..0000000
+++ /dev/null
@@ -1,255 +0,0 @@
-!MSP430FR5x6x_FastForth.pat
-
-! =================================================
-! MSP430FR5x6x DEVICES HAVE SPECIFIC RAM ADDRESSES!
-! =================================================
-
-
-! ============================================
-! SR bits :
-! ============================================
-\#C=\#1!        = SR(0) Carry flag
-\#Z=\#2!        = SR(1) Zero flag
-\#N=\#4!        = SR(2) Negative flag
-\#GIE=\#8!      = SR(3) Enable Int
-\#CPUOFF=\#\$10!= SR(4) CPUOFF    
-\#OSCOFF=\#\$20!= SR(5) OSCOFF
-\#SCG0=\#\$40!  = SR(6) SCG0     
-\#SCG1=\#\$80!  = SR(7) SCG1
-\#V=\#\$100!    = SR(8) oVerflow flag
-\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
-\#UF10=\#\$400! = SR(10) User Flag 2  
-\#UF11=\#\$800! = SR(11) User Flag 3  
-
-! ============================================
-! PORTx, Reg  bits :
-! ============================================
-BIT0=1!
-BIT1=2!
-BIT2=4!
-BIT3=8!
-BIT4=\$10!
-BIT5=\$20!
-BIT6=\$40!
-BIT7=\$80!
-BIT8=\$100!
-BIT9=\$200!
-BIT10=\$400!
-BIT11=\$800!
-BIT12=\$1000!
-BIT13=\$2000!
-BIT14=\$4000!
-BIT15=\$8000!
-
-! ============================================
-! symbolic codes :
-! ============================================
-RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
-NOP=MOV \#0,R3!     \                one word one cycle
-NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
-NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
-NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
-SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
-
-
-! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
-! those addresses are usable with the symbolic assembler
-
-! ============================================
-! FastForth INFO(DCBA) memory map (256 bytes):
-! ============================================
-
-! ----------------------
-! KERNEL CONSTANTS
-! ----------------------
-INI_THREAD=\$1800!      .word THREADS
-TERMINAL_INT=\$1802!    .word TERMINAL_INT
-FREQ_KHZ=\$1804!        .word FREQUENCY
-HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
-! ----------------------
-! SAVED VARIABLES
-! ----------------------
-SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
-LPM_MODE=\$180A!        LPM0+GIE is the default mode
-INIDP=\$180C!           define RST_STATE, init by wipe
-INIVOC=\$180E!          define RST_STATE, init by wipe
-
-RXON=\$1810!
-RXOFF=\$1812!
-
-ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
-WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
-GPFLAGS=\$1818!
-
-! ============================================
-! FORTH RAM areas :
-! ============================================
-LSTACK_SIZE=\#16! words
-PSTACK_SIZE=\#48! words
-RSTACK_SIZE=\#48! words
-PAD_LEN=\#84! bytes
-TIB_LEN=\#84! bytes
-HOLD_SIZE=\#34! bytes
-
-! ============================================
-! FastForth RAM memory map (>= 2k):
-! ============================================
-
-
-LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
-LSATCK=\$1C00!      \ leave stack,      grow up
-PSTACK=\$1C80!      \ parameter stack,  grow down
-RSTACK=\$1CE0!      \ Return stack,     grow down
-
-PAD_I2CADR=\$1CE0!  \ RX I2C address
-PAD_I2CCNT=\$1CE2!  \ count max
-PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
-
-TIB_I2CADR=\$1D38!  \ TX I2C address 
-TIB_I2CCNT=\$1D3A!  \ count of bytes
-TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
-
-HOLDS_ORG=\$1D90!   \ base address for HOLDS
-BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
-
-! ----------------------
-! NOT SAVED VARIABLES
-! ----------------------
-
-HP=\$1DB2!              HOLD ptr
-CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
-LAST_NFA=\$1DB6!
-LAST_THREAD=\$1DB8!
-LAST_CFA=\$1DBA!
-LAST_PSP=\$1DBC!
-
-!STATE=\$1DBE!           Interpreter state
-
-SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
-OPCODE=\$1DC2!          OPCODE adr
-ASMTYPE=\$1DC4!         keep the opcode complement
-
-SOURCE_LEN=\$1DC6!      len of input stream
-SOURCE_ADR=\$1DC8!      adr of input stream
-!\>IN=\$1DCA!            >IN
-DP=\$1DCC!              dictionary ptr
-LASTVOC=\$1DCE!         keep VOC-LINK
-CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
-CURRENT=\$1DE0!         CURRENT dictionnary ptr
-
-!BASE=\$1DE2!           numeric base, must be defined before first reset !
-LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
-
-! ---------------------------------------
-!1DE6! 22 bytes RAM free
-! ---------------------------------------
-
-! ---------------------------------------
-! SD buffer
-! ---------------------------------------
-SD_BUF_I2ADR=\$1DFC!
-SD_BUF_I2CNT=\$1DFE!
-BUFFER=\$1E00!      \ SD_Card buffer
-BUFEND=\$2000!
-
-! ---------------------------------------
-! FAT16 FileSystemInfos 
-! ---------------------------------------
-FATtype=\$2002!
-BS_FirstSectorL=\$2004!
-BS_FirstSectorH=\$2006!
-OrgFAT1=\$2008!
-FATSize=\$200A!
-OrgFAT2=\$200C!
-OrgRootDir=\$200E!
-OrgClusters=\$2010!         Sector of Cluster 0
-SecPerClus=\$2012!
-
-! ---------------------------------------
-! SD command
-! ---------------------------------------
-SD_CMD_FRM=\$2014!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
-SD_CMD_FRM0=\$2014! CRC:ll  word access
-SD_CMD_FRM1=\$2015! ll      byte access
-SD_CMD_FRM2=\$2016! LL:hh   word access
-SD_CMD_FRM3=\$2017! hh      byte access
-SD_CMD_FRM4=\$2018! HH:CMD  word access
-SD_CMD_FRM5=\$2019! CMD     byte access
-SectorL=\$201A!     2 words
-SectorH=\$201C!
-
-! ---------------------------------------
-! BUFFER management
-! ---------------------------------------
-BufferPtr=\$201E! 
-BufferLen=\$2020!
-
-! ---------------------------------------
-! FAT entry
-! ---------------------------------------
-ClusterL=\$2022!     16 bits wide (FAT16)
-ClusterH=\$2024!     16 bits wide (FAT16)
-NewClusterL=\$2026!  16 bits wide (FAT16) 
-NewClusterH=\$2028!  16 bits wide (FAT16) 
-CurFATsector=\$202A! 
-
-! ---------------------------------------
-! DIR entry
-! ---------------------------------------
-DIRclusterL=\$202C!  contains the Cluster of current directory ; 1 if FAT16 root directory
-DIRclusterH=\$202E!  contains the Cluster of current directory ; 1 if FAT16 root directory
-EntryOfst=\$2030!  
-
-! ---------------------------------------
-! Handle Pointer
-! ---------------------------------------
-CurrentHdl=\$2032!  contains the address of the last opened file structure, or 0
-
-! ---------------------------------------
-! Load file operation
-! ---------------------------------------
-pathname=\$2034!
-EndOfPath=\$2436!
-
-! ---------------------------------------
-! Handle structure
-! ---------------------------------------
-! three handle tokens : 
-! token = 0 : free handle
-! token = 1 : file to read
-! token = 2 : file updated (write)
-! token =-1 : LOAD"ed file (source file)
-
-! offset values
-HDLW_PrevHDL=0!     previous handle ; used by LOAD"
-HDLB_Token=2!       token
-HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
-HDLL_DIRsect=4!     Dir SectorL (Long)
-HDLH_DIRsect=6!
-HDLW_DIRofst=8!     BUFFER offset of Dir entry
-HDLL_FirstClus=10!  File First ClusterLo (identify the file)
-HDLH_FirstClus=12!  File First ClusterHi (byte)
-HDLL_CurClust=14!   Current ClusterLo
-HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
-HDLL_CurSize=18!    written size / not yet read size (Long)
-HDLH_CurSize=20!    written size / not yet read size (Long)
-HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
-
-
-!OpenedFirstFile     ; "openedFile" structure 
-HandleMax=8!
-HandleLenght=24!
-FirstHandle=\$2040!
-HandleEnd=\$2100!
-
-!Stack of return IP for LOADed files, preincrement stack structure
-LOADPTR=\$2100!
-LOAD_STACK=\$2102!
-LOAD_STACK_END=\$2138!
-
-!SD_card Input Buffer, lenght = CPL = 84
-SDIB_I2CADR=\$2138!
-SDIB_I2CCNT=\$213A!
-SDIB_ORG=\$213C!
-
-SD_END_DATA=\$2190!
index db465a0..6b495d0 100644 (file)
@@ -1,43 +1,5 @@
 !MSP430fr6989.pat
 
-!@define{@read{/config/gema/MSP430FR5x6x.pat}}
-@define{@read{@mergepath{@inpath{};MSP430FR5x6x.pat;}}}
-
-
-!                   \ RTC_C
-RTCCTL0_L=\$4A0!    \ RTCCTL0_L                     
-RTCCTL0_H=\$4A1!    \ RTCCTL0_H                                
-RTCCTL1=\$4A2!      \ RTCCTL1                                
-RTCCTL3=\$4A3!      \ RTCCTL3                       
-RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
-RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control 
-RTCPS=\$4AC!        \ RTC prescaler                        
-RT0PS=\$4AC!        \ RTC prescaler 0                                 
-RT1PS=\$4AD!        \ RTC prescaler 1                                 
-RTCIV=\$4AE!        \ RTC interrupt vector word                       
-RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
-RTCCNT1=\$4B0!      \ Real-Time Counter 1    
-RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
-RTCCNT2=\$4B1!      \ Real-Time Counter 2    
-RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
-RTCCNT3=\$4B2!      \ Real-Time Counter 3      
-RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
-RTCCNT4=\$4B3!      \ Real-Time Counter 4 
-RTCDAY=\$4B4!       \ RTC days                                        
-RTCMON=\$4B5!       \ RTC month                                       
-RTCYEAR=\$4B6!                                       
-RTCYEARL=\$4B6!     \ RTC year low                                    
-RTCYEARH=\$4B7!     \ RTC year high                                   
-RTCAMIN=\$4B8!      \ RTC alarm minutes                               
-RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
-RTCADOW=\$4BA!      \ RTC alarm day of week                           
-RTCADAY=\$4BB!      \ RTC alarm days                                  
-BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
-BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
-
-RTCHOLD=\$40!
-RTCRDY=\$10!
-
 ! ----------------------------------------------
 ! MSP430FR6989 MEMORY MAP
 ! ----------------------------------------------
@@ -110,3 +72,987 @@ U_NMI_Vec=\$FFFA!
 S_NMI_Vec=\$FFFC!
 RST_Vec=\$FFFE!
 
+
+!MSP430fr5x6x.pat
+
+LPM4=\$F8! SR(LPM4+GIE)
+LPM3=\$D8! SR(LPM3+GIE)
+LPM2=\$98! SR(LPM2+GIE)
+LPM1=\$58! SR(LPM1+GIE)
+LPM0=\$18! SR(LPM0+GIE)
+
+
+
+! ============================================
+! SR bits :
+! ============================================
+\#C=\#1!        = SR(0) Carry flag
+\#Z=\#2!        = SR(1) Zero flag
+\#N=\#4!        = SR(2) Negative flag
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
+
+
+! ============================================
+! PORTx, Reg  bits :
+! ============================================
+BIT0=1!
+BIT1=2!
+BIT2=4!
+BIT3=8!
+BIT4=\$10!
+BIT5=\$20!
+BIT6=\$40!
+BIT7=\$80!
+BIT8=\$100!
+BIT9=\$200!
+BIT10=\$400!
+BIT11=\$800!
+BIT12=\$1000!
+BIT13=\$2000!
+BIT14=\$4000!
+BIT15=\$8000!
+
+! ============================================
+! symbolic codes :
+! ============================================
+RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
+NOP=MOV \#0,R3!     \                one word one cycle
+NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
+NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
+NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
+
+
+! =================================================
+! MSP430FR5x6x DEVICES HAVE SPECIFIC RAM ADDRESSES!
+! =================================================
+
+
+! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
+! those addresses are usable with the symbolic assembler
+
+! ============================================
+! FastForth INFO(DCBA) memory map (256 bytes):
+! ============================================
+
+! ----------------------
+! KERNEL CONSTANTS
+! ----------------------
+INI_THREAD=\$1800!      .word THREADS
+TERMINAL_INT=\$1802!    .word TERMINAL_INT
+FREQ_KHZ=\$1804!        .word FREQUENCY
+HECTOBAUDS=\$1806!      .word TERMINALBAUDRATE/100
+! ----------------------
+! SAVED VARIABLES
+! ----------------------
+SAVE_SYSRSTIV=\$1808!   to enable SYSRSTIV read
+LPM_MODE=\$180A!        LPM0+GIE is the default mode
+INIDP=\$180C!           define RST_STATE, init by wipe
+INIVOC=\$180E!          define RST_STATE, init by wipe
+
+RXON=\$1810!
+RXOFF=\$1812!
+
+ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
+WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
+
+! ============================================
+! FORTH RAM areas :
+! ============================================
+LSTACK_SIZE=\#16! words
+PSTACK_SIZE=\#48! words
+RSTACK_SIZE=\#48! words
+PAD_LEN=\#84! bytes
+TIB_LEN=\#84! bytes
+HOLD_SIZE=\#34! bytes
+
+! ============================================
+! FastForth RAM memory map (>= 2k):
+! ============================================
+
+
+LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
+LSATCK=\$1C00!      \ leave stack,      grow up
+PSTACK=\$1C80!      \ parameter stack,  grow down
+RSTACK=\$1CE0!      \ Return stack,     grow down
+
+PAD_I2CADR=\$1CE0!  \ RX I2C address
+PAD_I2CCNT=\$1CE2!  \ count max
+PAD_ORG=\$1CE4!     \ user scratch pad buffer, 84 bytes, grow up
+
+TIB_I2CADR=\$1D38!  \ TX I2C address 
+TIB_I2CCNT=\$1D3A!  \ count of bytes
+TIB_ORG=\$1D3C!     \ Terminal input buffer, 84 bytes, grow up
+
+HOLDS_ORG=\$1D90!   \ base address for HOLDS
+BASE_HOLD=\$1DB2!   \ BASE HOLD area, grow down
+
+! ----------------------
+! NOT SAVED VARIABLES
+! ----------------------
+
+HP=\$1DB2!              HOLD ptr
+CAPS=\$1DB4!            CAPS ON/OFF flag, must be set to -1 before first reset !
+LAST_NFA=\$1DB6!
+LAST_THREAD=\$1DB8!
+LAST_CFA=\$1DBA!
+LAST_PSP=\$1DBC!
+
+!STATE=\$1DBE!           Interpreter state
+
+SAV_CURRENT=\$1DC0!     preserve CURRENT when create assembler words
+OPCODE=\$1DC2!          OPCODE adr
+ASMTYPE=\$1DC4!         keep the opcode complement
+
+SOURCE_LEN=\$1DC6!      len of input stream
+SOURCE_ADR=\$1DC8!      adr of input stream
+TOIN=\$1DCA!            >IN
+DP=\$1DCC!              dictionary ptr
+LASTVOC=\$1DCE!         keep VOC-LINK
+CONTEXT=\$1DD0!         CONTEXT dictionnary space (8 CELLS)
+CURRENT=\$1DE0!         CURRENT dictionnary ptr
+
+!BASE=\$1DE2!           numeric base, must be defined before first reset !
+LINE=\$1DE4!           line in interpretation, activated with NOECHO, desactivated with ECHO
+
+! ---------------------------------------
+!1DE6! 22 bytes RAM free
+! ---------------------------------------
+
+! ---------------------------------------
+! SD buffer
+! ---------------------------------------
+SD_BUF_I2ADR=\$1DFC!
+SD_BUF_I2CNT=\$1DFE!
+SD_BUF=\$1E00!      \ SD_Card buffer
+BUFEND=\$2000!
+
+! ---------------------------------------
+! FAT16 FileSystemInfos 
+! ---------------------------------------
+FATtype=\$2002!
+BS_FirstSectorL=\$2004!
+BS_FirstSectorH=\$2006!
+OrgFAT1=\$2008!
+FATSize=\$200A!
+OrgFAT2=\$200C!
+OrgRootDir=\$200E!
+OrgClusters=\$2010!         Sector of Cluster 0
+SecPerClus=\$2012!
+
+! ---------------------------------------
+! SD command
+! ---------------------------------------
+SD_CMD_FRM=\$2014!  6 bytes SD_CMDx inverted frame \${CRC,ll,LL,hh,HH,CMD}
+SD_CMD_FRM0=\$2014! CRC:ll  word access
+SD_CMD_FRM1=\$2015! ll      byte access
+SD_CMD_FRM2=\$2016! LL:hh   word access
+SD_CMD_FRM3=\$2017! hh      byte access
+SD_CMD_FRM4=\$2018! HH:CMD  word access
+SD_CMD_FRM5=\$2019! CMD     byte access
+SectorL=\$201A!     2 words
+SectorH=\$201C!
+
+! ---------------------------------------
+! BUFFER management
+! ---------------------------------------
+BufferPtr=\$201E! 
+BufferLen=\$2020!
+
+! ---------------------------------------
+! FAT entry
+! ---------------------------------------
+ClusterL=\$2022!     16 bits wide (FAT16)
+ClusterH=\$2024!     16 bits wide (FAT16)
+NewClusterL=\$2026!  16 bits wide (FAT16) 
+NewClusterH=\$2028!  16 bits wide (FAT16) 
+CurFATsector=\$202A! 
+
+! ---------------------------------------
+! DIR entry
+! ---------------------------------------
+DIRclusterL=\$202C!  contains the Cluster of current directory ; 1 if FAT16 root directory
+DIRclusterH=\$202E!  contains the Cluster of current directory ; 1 if FAT16 root directory
+EntryOfst=\$2030!  
+
+! ---------------------------------------
+! Handle Pointer
+! ---------------------------------------
+CurrentHdl=\$2032!  contains the address of the last opened file structure, or 0
+
+! ---------------------------------------
+! Load file operation
+! ---------------------------------------
+pathname=\$2034!
+EndOfPath=\$2436!
+
+! ---------------------------------------
+! Handle structure
+! ---------------------------------------
+! three handle tokens : 
+! token = 0 : free handle
+! token = 1 : file to read
+! token = 2 : file updated (write)
+! token =-1 : LOAD"ed file (source file)
+
+! offset values
+HDLW_PrevHDL=0!     previous handle ; used by LOAD"
+HDLB_Token=2!       token
+HDLB_ClustOfst=3!   Current sector offset in current cluster (Byte)
+HDLL_DIRsect=4!     Dir SectorL (Long)
+HDLH_DIRsect=6!
+HDLW_DIRofst=8!     BUFFER offset of Dir entry
+HDLL_FirstClus=10!  File First ClusterLo (identify the file)
+HDLH_FirstClus=12!  File First ClusterHi (byte)
+HDLL_CurClust=14!   Current ClusterLo
+HDLH_CurClust=16!   Current ClusterHi (T as 3Th byte)
+HDLL_CurSize=18!    written size / not yet read size (Long)
+HDLH_CurSize=20!    written size / not yet read size (Long)
+HDLW_BUFofst=22!    BUFFER offset ; used by LOAD" and by WRITE"
+
+
+!OpenedFirstFile     ; "openedFile" structure 
+HandleMax=8!
+HandleLenght=24!
+FirstHandle=\$2040!
+HandleEnd=\$2100!
+
+!Stack of return IP for LOADed files, preincrement stack structure
+LOADPTR=\$2100!
+LOAD_STACK=\$2102!
+LOAD_STACK_END=\$2138!
+
+!SD_card Input Buffer, lenght = CPL = 84
+SDIB_I2CADR=\$2138!
+SDIB_I2CCNT=\$213A!
+SDIB_ORG=\$213C!
+
+SD_END_DATA=\$2190!
+
+
+
+! ============================================
+! Special Fonction Registers (SFR)
+! ============================================
+
+
+SFRIE1=\$100!       \ SFR enable register
+SFRIFG1=\$102!      \ SFR flag register
+SFRRPCR=\$104!      \ SFR reset pin control
+
+PMMCTL0=\$120!      \ PMM Control 0
+PMMIFG=\$12A!       \ PMM interrupt flags 
+PM5CTL0=\$130!      \ PM5 Control 0
+
+FRCTLCTL0=\$140!    \ FRAM control 0    
+GCCTL0=\$144!       \ General control 0 
+GCCTL1=\$146!       \ General control 1 
+
+CRC16DI=\$150!      \ CRC data input                  
+CRCDIRB=\$152!      \ CRC data input reverse byte     
+CRCINIRES=\$154!    \ CRC initialization and result   
+CRCRESR=\$156!      \ CRC result reverse byte  
+
+RCCTL0=\$158!       \ RAM controller control 0
+
+WDTCTL=\$15C!        \ WDT control register
+
+CSCTL0=\$160!       \ CS control 0 
+CSCTL1=\$162!       \ CS control 1 
+CSCTL2=\$164!       \ CS control 2 
+CSCTL3=\$166!       \ CS control 3 
+CSCTL4=\$168!       \ CS control 4 
+CSCTL5=\$16A!       \ CS control 5 
+CSCTL6=\$16C!       \ CS control 6 
+
+SYSCTL=\$180!       \ System control              
+SYSJMBC=\$186!      \ JTAG mailbox control        
+SYSJMBI0=\$188!     \ JTAG mailbox input 0        
+SYSJMBI1=\$18A!     \ JTAG mailbox input 1        
+SYSJMBO0=\$18C!     \ JTAG mailbox output 0       
+SYSJMBO1=\$18E!     \ JTAG mailbox output 1       
+SYSUNIV=\$19A!      \ User NMI vector generator   
+SYSSNIV=\$19C!      \ System NMI vector generator 
+SYSRSTIV=\$19E!     \ Reset vector generator      
+
+REFCTL=\$1B0!       \ Shared reference control 
+
+PAIN=\$200!
+PAOUT=\$202!
+PADIR=\$204!
+PAREN=\$206!
+PASEL0=\$20A!
+PASEL1=\$20C!
+PASELC=\$216!
+PAIES=\$218!
+PAIE=\$21A!
+PAIFG=\$21C!
+
+P1IN=\$200!
+P1OUT=\$202!
+P1DIR=\$204!
+P1REN=\$206!
+P1SEL0=\$20A!
+P1SEL1=\$20C!
+P1IV=\$20E!
+P1SELC=\$216!
+P1IES=\$218!
+P1IE=\$21A!
+P1IFG=\$21C!
+
+P2IN=\$201!
+P2OUT=\$203!
+P2DIR=\$205!
+P2REN=\$207!
+P2SEL0=\$20B!
+P2SEL1=\$20D!
+P2SELC=\$217!
+P2IES=\$219!
+P2IE=\$21B!
+P2IFG=\$21D!
+P2IV=\$21E!
+
+PBIN=\$220!
+PBOUT=\$222!
+PBDIR=\$224!
+PBREN=\$226!
+PBSEL0=\$22A!
+PBSEL1=\$22C!
+PBSELC=\$236!
+PBIES=\$238!
+PBIE=\$23A!
+PBIFG=\$23C!
+
+P3IN=\$220!
+P3OUT=\$222!
+P3DIR=\$224!
+P3REN=\$226!
+P3SEL0=\$22A!
+P3SEL1=\$22C!
+P3IV=\$22E!
+P3SELC=\$236!
+P3IES=\$238!
+P3IE=\$23A!
+P3IFG=\$23C!
+
+P4IN=\$221!
+P4OUT=\$223!
+P4DIR=\$225!
+P4REN=\$227!
+P4SEL0=\$22B!
+P4SEL1=\$22D!
+P4SELC=\$237!
+P4IES=\$239!
+P4IE=\$23B!
+P4IFG=\$23D!
+P4IV=\$23E!
+
+PCIN=\$240!
+PCOUT=\$242!
+PCDIR=\$244!
+PCREN=\$246!
+PCSEL0=\$24A!
+PCSEL1=\$24C!
+PCSELC=\$256!
+
+P5IN=\$240!
+P5OUT=\$242!
+P5DIR=\$244!
+P5REN=\$246!
+P5SEL0=\$24A!
+P5SEL1=\$24C!
+P5SELC=\$256!
+
+P6IN=\$241!
+P6OUT=\$243!
+P6DIR=\$245!
+P6REN=\$247!
+P6SEL0=\$24B!
+P6SEL1=\$24D!
+P6SELC=\$257!
+
+PDIN=\$260!
+PDOUT=\$262!
+PDDIR=\$264!
+PDREN=\$266!
+PDSEL0=\$26A!
+PDSEL1=\$26C!
+PDSELC=\$276!
+
+P7IN=\$260!
+P7OUT=\$262!
+P7DIR=\$264!
+P7REN=\$266!
+P7SEL0=\$26A!
+P7SEL1=\$26C!
+P7SELC=\$276!
+
+P8IN=\$261!
+P8OUT=\$263!
+P8DIR=\$265!
+P8REN=\$267!
+P8SEL0=\$26B!
+P8SEL1=\$26D!
+P8SELC=\$277!
+
+PEIN=\$280!
+PEOUT=\$282!
+PEDIR=\$284!
+PEREN=\$286!
+PESEL0=\$28A!
+PESEL1=\$28C!
+PESELC=\$296!
+
+P9IN=\$280!
+P9OUT=\$282!
+P9DIR=\$284!
+P9REN=\$286!
+P9SEL0=\$28A!
+P9SEL1=\$28C!
+P9SELC=\$296!
+
+P10IN=\$281!
+P10OUT=\$283!
+P10DIR=\$285!
+P10REN=\$287!
+P10SEL0=\$28B!
+P10SEL1=\$28D!
+P10SELC=\$297!
+
+PJIN=\$320!
+PJOUT=\$322!
+PJDIR=\$324!
+PJREN=\$326!
+PJSEL0=\$32A!
+PJSEL1=\$32C!
+PJSELC=\$336!
+
+
+TACLR=4!
+TAIFG=1!
+TBCLR=2!
+TBIFG=1!
+CCIFG=1!
+
+TA0CTL=\$340!       \ TA0 control                 
+TA0CCTL0=\$342!     \ Capture/compare control 0   
+TA0CCTL1=\$344!     \ Capture/compare control 1   
+TA0CCTL2=\$346!     \ Capture/compare control 2   
+TA0CCTL3=\$348!     \ Capture/compare control 3   
+TA0CCTL4=\$34A!     \ Capture/compare control 4   
+TA0R=\$350!         \ TA0 counter register        
+TA0CCR0=\$352!      \ Capture/compare register 0  
+TA0CCR1=\$354!      \ Capture/compare register 1  
+TA0CCR2=\$356!      \ Capture/compare register 2  
+TA0CCR2=\$358!      \ Capture/compare register 3  
+TA0CCR2=\$35A!      \ Capture/compare register 4  
+TA0EX0=\$360!       \ TA0 expansion register 0    
+TA0IV=\$36E!        \ TA0 interrupt vector        
+
+TA1CTL=\$380!       \ TA1 control                 
+TA1CCTL0=\$382!     \ Capture/compare control 0   
+TA1CCTL1=\$384!     \ Capture/compare control 1   
+TA1CCTL2=\$386!     \ Capture/compare control 2   
+TA1R=\$390!         \ TA1 counter register        
+TA1CCR0=\$392!      \ Capture/compare register 0  
+TA1CCR1=\$394!      \ Capture/compare register 1  
+TA1CCR2=\$396!      \ Capture/compare register 2  
+TA1EX0=\$3A0!       \ TA1 expansion register 0    
+TA1IV=\$3AE!        \ TA1 interrupt vector        
+
+TB0CTL=\$3C0!       \ TB0 control                 
+TB0CCTL0=\$3C2!     \ Capture/compare control 0   
+TB0CCTL1=\$3C4!     \ Capture/compare control 1   
+TB0CCTL2=\$3C6!     \ Capture/compare control 2   
+TB0CCTL3=\$3C8!     \ Capture/compare control 3   
+TB0CCTL4=\$3CA!     \ Capture/compare control 4   
+TB0CCTL5=\$3CC!     \ Capture/compare control 5   
+TB0CCTL6=\$3CE!     \ Capture/compare control 6   
+TB0R=\$3D0!         \ TB0 counter register        
+TB0CCR0=\$3D2!      \ Capture/compare register 0  
+TB0CCR1=\$3D4!      \ Capture/compare register 1  
+TB0CCR2=\$3D6!      \ Capture/compare register 2  
+TB0CCR3=\$3D8!      \ Capture/compare register 3  
+TB0CCR5=\$3DA!      \ Capture/compare register 4 
+TB0CCR5=\$3DC!      \ Capture/compare register 5  
+TB0CCR6=\$3DE!      \ Capture/compare register 6  
+TB0EX0=\$3E0!       \ TB0 expansion register 0    
+TB0IV=\$3EE!        \ TB0 interrupt vector        
+
+TA2CTL=\$400!       \ TA2 control                 
+TA2CCTL0=\$402!     \ Capture/compare control 0   
+TA2CCTL1=\$404!     \ Capture/compare control 1   
+TA2R=\$410!         \ TA2 counter register        
+TA2CCR0=\$412!      \ Capture/compare register 0  
+TA2CCR1=\$414!      \ Capture/compare register 1  
+TA2EX0=\$420!       \ TA2 expansion register 0    
+TA2IV=\$42E!        \ TA2 interrupt vector  
+
+CAPTIO0CTL=\$43E!   \ Capacitive Touch IO 0 control      
+
+TA3CTL=\$440!       \ TA3 control                 
+TA3CCTL0=\$442!     \ Capture/compare control 0   
+TA3CCTL1=\$444!     \ Capture/compare control 1   
+TA3CCTL2=\$446!     \ Capture/compare control 2   
+TA3CCTL3=\$448!     \ Capture/compare control 3   
+TA3CCTL4=\$44A!     \ Capture/compare control 4   
+TA3R=\$450!         \ TA3 counter register        
+TA3CCR0=\$452!      \ Capture/compare register 0  
+TA3CCR1=\$454!      \ Capture/compare register 1  
+TA3CCR2=\$456!      \ Capture/compare register 2  
+TA3CCR3=\$458!      \ Capture/compare register 3  
+TA3CCR4=\$45A!      \ Capture/compare register 4  
+TA3EX0=\$460!       \ TA3 expansion register 0    
+TA3IV=\$46E!        \ TA3 interrupt vector  
+
+CAPTIO1CTL=\$47E!   \ Capacitive Touch IO 1 control 
+
+!                   \ RTC_C
+RTCCTL0_L=\$4A0!    \ RTCCTL0_L                     
+RTCCTL0_H=\$4A1!    \ RTCCTL0_H                                
+RTCCTL1=\$4A2!      \ RTCCTL1                                
+RTCCTL3=\$4A3!      \ RTCCTL3                       
+RTCPS0CTL=\$4A8!    \ RTC prescaler 0 control                         
+RTCPS1CTL=\$4AA!    \ RTC prescaler 1 control 
+RTCPS=\$4AC!        \ RTC prescaler                        
+RT0PS=\$4AC!        \ RTC prescaler 0                                 
+RT1PS=\$4AD!        \ RTC prescaler 1                                 
+RTCIV=\$4AE!        \ RTC interrupt vector word                       
+RTCSEC=\$4B0!       \ RTC seconds, RTC counter register 1 RTCSEC,     
+RTCCNT1=\$4B0!      \ Real-Time Counter 1    
+RTCMIN=\$4B1!       \ RTC minutes, RTC counter register 2 RTCMIN,     
+RTCCNT2=\$4B1!      \ Real-Time Counter 2    
+RTCHOUR=\$4B2!      \ RTC hours, RTC counter register 3 RTCHOUR,      
+RTCCNT3=\$4B2!      \ Real-Time Counter 3      
+RTCDOW=\$4B3!       \ RTC day of week, RTC counter register 4 RTCDOW, 
+RTCCNT4=\$4B3!      \ Real-Time Counter 4 
+RTCDAY=\$4B4!       \ RTC days                                        
+RTCMON=\$4B5!       \ RTC month                                       
+RTCYEAR=\$4B6!                                       
+RTCYEARL=\$4B6!     \ RTC year low                                    
+RTCYEARH=\$4B7!     \ RTC year high                                   
+RTCAMIN=\$4B8!      \ RTC alarm minutes                               
+RTCAHOUR=\$4B9!     \ RTC alarm hours                                 
+RTCADOW=\$4BA!      \ RTC alarm day of week                           
+RTCADAY=\$4BB!      \ RTC alarm days                                  
+BIN2BCD=\$4BC!      \ Binary-to-BCD conversion register               
+BCD2BIN=\$4BE!      \ BCD-to-binary conversion register  
+
+RTCHOLD=\$40!
+RTCRDY=\$10!
+
+MPY=\$4C0!          \ 16-bit operand 1 \96 multiply                     
+MPYS=\$4C2!         \ 16-bit operand 1 \96 signed multiply              
+MAC=\$4C4!          \ 16-bit operand 1 \96 multiply accumulate          
+MACS=\$4C6!         \ 16-bit operand 1 \96 signed multiply accumulate   
+OP2=\$4C8!          \ 16-bit operand 2                                
+RESLO=\$4CA!        \ 16 × 16 result low word                         
+RESHI=\$4CC!        \ 16 × 16 result high word                        
+SUMEXT=\$4CE!       \ 16 × 16 sum extension register                  
+MPY32L=\$4D0!       \ 32-bit operand 1 \96 multiply low word            
+MPY32H=\$4D2!       \ 32-bit operand 1 \96 multiply high word           
+MPYS32L=\$4D4!      \ 32-bit operand 1 \96 signed multiply low word     
+MPYS32H=\$4D6!      \ 32-bit operand 1 \96 signed multiply high word    
+MAC32L=\$4D8!       \ 32-bit operand 1 \96 multiply accumulate low word         
+MAC32H=\$4DA!       \ 32-bit operand 1 \96 multiply accumulate high word        
+MACS32L=\$4DC!      \ 32-bit operand 1 \96 signed multiply accumulate low word  
+MACS32H=\$4DE!      \ 32-bit operand 1 \96 signed multiply accumulate high word 
+OP2L=\$4E0!         \ 32-bit operand 2 \96 low word                 
+OP2H=\$4E2!         \ 32-bit operand 2 \96 high word                
+RES0=\$4E4!         \ 32 × 32 result 0 \96 least significant word   
+RES1=\$4E6!         \ 32 × 32 result 1                            
+RES2=\$4E8!         \ 32 × 32 result 2                            
+RES3=\$4EA!         \ 32 × 32 result 3 \96 most significant word    
+MPY32CTL0=\$4EC!    \ MPY32 control register 0                    
+
+DMAIFG=8!
+
+DMACTL0=\$500!      \ DMA module control 0                    
+DMACTL1=\$502!      \ DMA module control 1                    
+DMACTL2=\$504!      \ DMA module control 2                    
+DMACTL3=\$506!      \ DMA module control 3                    
+DMACTL4=\$508!      \ DMA module control 4                    
+DMAIV=\$50A!        \ DMA interrupt vector                    
+
+DMA0CTL=\$510!      \ DMA channel 0 control                   
+DMA0SAL=\$512!      \ DMA channel 0 source address low        
+DMA0SAH=\$514!      \ DMA channel 0 source address high       
+DMA0DAL=\$516!      \ DMA channel 0 destination address low   
+DMA0DAH=\$518!      \ DMA channel 0 destination address high  
+DMA0SZ=\$51A!       \ DMA channel 0 transfer size             
+
+DMA1CTL=\$520!      \ DMA channel 1 control                   
+DMA1SAL=\$522!      \ DMA channel 1 source address low        
+DMA1SAH=\$524!      \ DMA channel 1 source address high       
+DMA1DAL=\$526!      \ DMA channel 1 destination address low   
+DMA1DAH=\$528!      \ DMA channel 1 destination address high  
+DMA1SZ=\$52A!       \ DMA channel 1 transfer size             
+
+DMA2CTL=\$530!      \ DMA channel 2 control                   
+DMA2SAL=\$532!      \ DMA channel 2 source address low        
+DMA2SAH=\$534!      \ DMA channel 2 source address high       
+DMA2DAL=\$536!      \ DMA channel 2 destination address low   
+DMA2DAH=\$538!      \ DMA channel 2 destination address high  
+DMA2SZ=\$53A!       \ DMA channel 2 transfer size             
+
+
+MPUCTL0=\$5A0!      \ MPU control 0             
+MPUCTL1=\$5A2!      \ MPU control 1             
+MPUSEG=\$5A4!       \ MPU Segmentation Register 
+MPUSAM=\$5A6!       \ MPU access management     
+MPUIPC0=\$5AA!      \ MPU IP control 0                      
+MPUIPSEGB2=\$5AC!   \ MPU IP Encapsulation Segment Border 2 
+MPUIPSEGB1=\$5AE!   \ MPU IP Encapsulation Segment Border 1 
+
+UCA0CTLW0=\$5C0!    \ eUSCI_A control word 0        
+UCA0CTLW1=\$5C2!    \ eUSCI_A control word 1        
+UCA0BRW=\$5C6!         
+UCA0BR0=\$5C6!      \ eUSCI_A baud rate 0           
+UCA0BR1=\$5C7!      \ eUSCI_A baud rate 1           
+UCA0MCTLW=\$5C8!    \ eUSCI_A modulation control    
+UCA0STAT=\$5CA!     \ eUSCI_A status                
+UCA0RXBUF=\$5CC!    \ eUSCI_A receive buffer        
+UCA0TXBUF=\$5CE!    \ eUSCI_A transmit buffer       
+UCA0ABCTL=\$5D0!    \ eUSCI_A LIN control           
+UCA0IRTCTL=\$5D2!   \ eUSCI_A IrDA transmit control 
+UCA0IRRCTL=\$5D3!   \ eUSCI_A IrDA receive control  
+UCA0IE=\$5DA!       \ eUSCI_A interrupt enable      
+UCA0IFG=\$5DC!      \ eUSCI_A interrupt flags       
+UCA0IV=\$5DE!       \ eUSCI_A interrupt vector word 
+
+UCA1CTLW0=\$5E0!    \ eUSCI_A control word 0        
+UCA1CTLW1=\$5E2!    \ eUSCI_A control word 1        
+UCA1BRW=\$5E6!         
+UCA1BR0=\$5E6!      \ eUSCI_A baud rate 0           
+UCA1BR1=\$5E7!      \ eUSCI_A baud rate 1           
+UCA1MCTLW=\$5E8!    \ eUSCI_A modulation control    
+UCA1STAT=\$5EA!     \ eUSCI_A status                
+UCA1RXBUF=\$5EC!    \ eUSCI_A receive buffer        
+UCA1TXBUF=\$5EE!    \ eUSCI_A transmit buffer       
+UCA1ABCTL=\$5F0!    \ eUSCI_A LIN control           
+UCA1IRTCTL=\$5F2!   \ eUSCI_A IrDA transmit control 
+UCA1IRRCTL=\$5F3!   \ eUSCI_A IrDA receive control  
+UCA1IE=\$5FA!       \ eUSCI_A interrupt enable      
+UCA1IFG=\$5FC!      \ eUSCI_A interrupt flags       
+UCA1IV=\$5FE!       \ eUSCI_A interrupt vector word 
+
+
+UCB0CTLW0=\$640!    \ eUSCI_B control word 0          
+UCB0CTLW1=\$642!    \ eUSCI_B control word 1 
+UCB0BRW=\$646!         
+UCB0BR0=\$646!      \ eUSCI_B bit rate 0              
+UCB0BR1=\$647!      \ eUSCI_B bit rate 1              
+UCB0STATW=\$648!    \ eUSCI_B status word 
+UCBCNT0=\$649!      \ eUSCI_B hardware count           
+UCB0TBCNT=\$64A!    \ eUSCI_B byte counter threshold  
+UCB0RXBUF=\$64C!    \ eUSCI_B receive buffer          
+UCB0TXBUF=\$64E!    \ eUSCI_B transmit buffer         
+UCB0I2COA0=\$654!   \ eUSCI_B I2C own address 0       
+UCB0I2COA1=\$656!   \ eUSCI_B I2C own address 1       
+UCB0I2COA2=\$658!   \ eUSCI_B I2C own address 2       
+UCB0I2COA3=\$65A!   \ eUSCI_B I2C own address 3       
+UCB0ADDRX=\$65C!    \ eUSCI_B received address        
+UCB0ADDMASK=\$65E!  \ eUSCI_B address mask            
+UCB0I2CSA=\$660!    \ eUSCI I2C slave address         
+UCB0IE=\$66A!       \ eUSCI interrupt enable          
+UCB0IFG=\$66C!      \ eUSCI interrupt flags           
+UCB0IV=\$66E!       \ eUSCI interrupt vector word     
+
+UCB1CTLW0=\$680!    \ eUSCI_B control word 0          
+UCB1CTLW1=\$682!    \ eUSCI_B control word 1 
+UCB1BRW=\$686!         
+UCB1BR0=\$686!      \ eUSCI_B bit rate 0              
+UCB1BR1=\$687!      \ eUSCI_B bit rate 1              
+UCB1STATW=\$688!    \ eUSCI_B status word 
+UCB1NT0=\$689!      \ eUSCI_B hardware count           
+UCB1TBCNT=\$68A!    \ eUSCI_B byte counter threshold  
+UCB1RXBUF=\$68C!    \ eUSCI_B receive buffer          
+UCB1TXBUF=\$68E!    \ eUSCI_B transmit buffer         
+UCB1I2COA0=\$694!   \ eUSCI_B I2C own address 0       
+UCB1I2COA1=\$696!   \ eUSCI_B I2C own address 1       
+UCB1I2COA2=\$698!   \ eUSCI_B I2C own address 2       
+UCB1I2COA3=\$69A!   \ eUSCI_B I2C own address 3       
+UCB1ADDRX=\$69C!    \ eUSCI_B received address        
+UCB1ADDMASK=\$69E!  \ eUSCI_B address mask            
+UCB1I2CSA=\$6A0!    \ eUSCI I2C slave address         
+UCB1IE=\$6AA!       \ eUSCI interrupt enable          
+UCB1IFG=\$6AC!      \ eUSCI interrupt flags           
+UCB1IV=\$6AE!       \ eUSCI interrupt vector word     
+
+UCTXACK=\$20!
+UCTR=\$10!
+
+
+ADC12CTL0=\$800!    \ ADC12_B Control 0                                 
+ADC12CTL1=\$802!    \ ADC12_B Control 1                                 
+ADC12CTL2=\$804!    \ ADC12_B Control 2                                 
+ADC12CTL3=\$806!    \ ADC12_B Control 3                                 
+ADC12LO=\$808!      \ ADC12_B Window Comparator Low Threshold Register  
+ADC12HI=\$80A!      \ ADC12_B Window Comparator High Threshold Register 
+ADC12IFGR0=\$80C!   \ ADC12_B Interrupt Flag Register 0                 
+ADC12IFGR1=\$80E!   \ ADC12_B Interrupt Flag Register 1                 
+ADC12IFGR2=\$810!   \ ADC12_B Interrupt Flag Register 2                 
+ADC12IER0=\$812!    \ ADC12_B Interrupt Enable Register 0               
+ADC12IER1=\$814!    \ ADC12_B Interrupt Enable Register 1               
+ADC12IER2=\$816!    \ ADC12_B Interrupt Enable Register 2               
+ADC12IV=\$818!      \ ADC12_B Interrupt Vector                          
+ADC12MCTL0=\$820!   \ ADC12_B Memory Control 0                          
+ADC12MCTL1=\$822!   \ ADC12_B Memory Control 1                          
+ADC12MCTL2=\$824!   \ ADC12_B Memory Control 2                          
+ADC12MCTL3=\$826!   \ ADC12_B Memory Control 3                          
+ADC12MCTL4=\$828!   \ ADC12_B Memory Control 4                          
+ADC12MCTL5=\$82A!   \ ADC12_B Memory Control 5                          
+ADC12MCTL6=\$82C!   \ ADC12_B Memory Control 6                          
+ADC12MCTL7=\$82E!   \ ADC12_B Memory Control 7                          
+ADC12MCTL8=\$830!   \ ADC12_B Memory Control 8                          
+ADC12MCTL9=\$832!   \ ADC12_B Memory Control 9                          
+ADC12MCTL10=\$834!  \ ADC12_B Memory Control 10                         
+ADC12MCTL11=\$836!  \ ADC12_B Memory Control 11                         
+ADC12MCTL12=\$838!  \ ADC12_B Memory Control 12                         
+ADC12MCTL13=\$83A!  \ ADC12_B Memory Control 13 
+ADC12MCTL14=\$83C!  \ ADC12_B Memory Control 14 
+ADC12MCTL15=\$83E!  \ ADC12_B Memory Control 15 
+ADC12MCTL16=\$840!  \ ADC12_B Memory Control 16 
+ADC12MCTL17=\$842!  \ ADC12_B Memory Control 17 
+ADC12MCTL18=\$844!  \ ADC12_B Memory Control 18 
+ADC12MCTL19=\$846!  \ ADC12_B Memory Control 19 
+ADC12MCTL20=\$848!  \ ADC12_B Memory Control 20 
+ADC12MCTL21=\$84A!  \ ADC12_B Memory Control 21 
+ADC12MCTL22=\$84C!  \ ADC12_B Memory Control 22 
+ADC12MCTL23=\$84E!  \ ADC12_B Memory Control 23 
+ADC12MCTL24=\$850!  \ ADC12_B Memory Control 24 
+ADC12MCTL25=\$852!  \ ADC12_B Memory Control 25 
+ADC12MCTL26=\$854!  \ ADC12_B Memory Control 26 
+ADC12MCTL27=\$856!  \ ADC12_B Memory Control 27 
+ADC12MCTL28=\$858!  \ ADC12_B Memory Control 28 
+ADC12MCTL29=\$85A!  \ ADC12_B Memory Control 29 
+ADC12MCTL30=\$85C!  \ ADC12_B Memory Control 30 
+ADC12MCTL31=\$85E!  \ ADC12_B Memory Control 31 
+ADC12MEM0=\$860!    \ ADC12_B Memory 0 
+ADC12MEM1=\$862!    \ ADC12_B Memory 1 
+ADC12MEM2=\$864!    \ ADC12_B Memory 2 
+ADC12MEM3=\$866!    \ ADC12_B Memory 3 
+ADC12MEM4=\$868!    \ ADC12_B Memory 4 
+ADC12MEM5=\$86A!    \ ADC12_B Memory 5 
+ADC12MEM6=\$86C!    \ ADC12_B Memory 6 
+ADC12MEM7=\$86E!    \ ADC12_B Memory 7 
+ADC12MEM8=\$870!    \ ADC12_B Memory 8 
+ADC12MEM9=\$872!    \ ADC12_B Memory 9 
+ADC12MEM10=\$874!   \ ADC12_B Memory 10 
+ADC12MEM11=\$876!   \ ADC12_B Memory 11 
+ADC12MEM12=\$878!   \ ADC12_B Memory 12 
+ADC12MEM13=\$87A!   \ ADC12_B Memory 13 
+ADC12MEM14=\$87C!   \ ADC12_B Memory 14 
+ADC12MEM15=\$87E!   \ ADC12_B Memory 15 
+ADC12MEM16=\$880!   \ ADC12_B Memory 16 
+ADC12MEM17=\$882!   \ ADC12_B Memory 17 
+ADC12MEM18=\$884!   \ ADC12_B Memory 18 
+ADC12MEM19=\$886!   \ ADC12_B Memory 19 
+ADC12MEM20=\$888!   \ ADC12_B Memory 20 
+ADC12MEM21=\$88A!   \ ADC12_B Memory 21 
+ADC12MEM22=\$88C!   \ ADC12_B Memory 22 
+ADC12MEM23=\$88E!   \ ADC12_B Memory 23 
+ADC12MEM24=\$890!   \ ADC12_B Memory 24 
+ADC12MEM25=\$892!   \ ADC12_B Memory 25 
+ADC12MEM26=\$894!   \ ADC12_B Memory 26 
+ADC12MEM27=\$896!   \ ADC12_B Memory 27 
+ADC12MEM28=\$898!   \ ADC12_B Memory 28 
+ADC12MEM29=\$89A!   \ ADC12_B Memory 29 
+ADC12MEM30=\$89C!   \ ADC12_B Memory 30 
+ADC12MEM31=\$89E!   \ ADC12_B Memory 31 
+
+ADCON=\$10!
+ADCSTART=\$03!
+
+CDIFG=1!
+CDIIFG=2!
+
+CDCTL0=\$8C0!       \ Comparator_E control register 0     
+CDCTL1=\$8C2!       \ Comparator_E control register 1     
+CDCTL2=\$8C4!       \ Comparator_E control register 2     
+CDCTL3=\$8C6!       \ Comparator_E control register 3     
+CDINT=\$8CC!        \ Comparator_E interrupt register     
+CDIV=\$8CE!         \ Comparator_E interrupt vector word  
+
+CRC32DIW0=\$980!        \ CRC32 data input                        
+CRC32DIRBW0=\$986!      \ CRC32 data input reverse                
+CRC32INIRESW0=\$988!    \ CRC32 initialization and result word 0  
+CRC32INIRESW1=\$98A!    \ CRC32 initialization and result word 1  
+CRC32RESRW1=\$98!       \ CRC32 result reverse word 1             
+CRC32RESRW1=\$98E!      \ CRC32 result reverse word 0             
+CRC16DIW0=\$990!        \ CRC16 data input                        
+CRC16DIRBW0=\$996!      \ CRC16 data input reverse                
+CRC16INIRESW0=\$998!    \ CRC16 initialization and result word 0  
+CRC16RESRW1=\$99E!      \ CRC16 result reverse word 0             
+
+
+AESACTL0=\$9C0!     \ AES accelerator control register 0                  
+AESASTAT=\$9C4!     \ AES accelerator status register                     
+AESAKEY=\$9C6!      \ AES accelerator key register                        
+AESADIN=\$9C8!      \ AES accelerator data in register                    
+AESADOUT=\$9CA!     \ AES accelerator data out register                   
+AESAXDIN=\$9CC!     \ AES accelerator XORed data in register              
+AESAXIN =\$9CE!     \ AES accelerator XORed data in register (no trigger) 
+
+
+LCDCCTL0=\$A00!     \ LCD_C control register 0        
+LCDCCTL1=\$A02!     \ LCD_C control register 1        
+LCDCBLKCTL=\$A04!   \ LCD_C blinking control register 
+LCDCMEMCTL=\$A06!   \ LCD_C memory control register   
+LCDCVCTL=\$A08!     \ LCD_C voltage control register  
+LCDCPCTL0=\$A0A!    \ LCD_C port control 0            
+LCDCPCTL1=\$A0C!    \ LCD_C port control 1            
+LCDCPCTL2=\$A0E!    \ LCD_C port control 2            
+LCDCCPCTL=\$A12!    \ LCD_C charge pump ctrl register 
+LCDCIV=\$A1E!       \ LCD_C interrupt vector          
+LCDM1=\$A20!        \ LCD_C memory 1 
+LCDM2=\$A21!        \ LCD_C memory 2 
+LCDM3=\$A22!        \ LCD_C memory 3 
+LCDM4=\$A23!        \ LCD_C memory 4 
+LCDM5=\$A24!        \ LCD_C memory 5 
+LCDM6=\$A25!        \ LCD_C memory 6 
+LCDM7=\$A26!        \ LCD_C memory 7 
+LCDM8=\$A27!        \ LCD_C memory 8 
+LCDM9=\$A28!        \ LCD_C memory 9 
+LCDM10=\$A29!       \ LCD_C memory 10 
+LCDM11=\$A2A!       \ LCD_C memory 11 
+LCDM12=\$A2B!       \ LCD_C memory 12 
+LCDM13=\$A2C!       \ LCD_C memory 13 
+LCDM14=\$A2D!       \ LCD_C memory 14 
+LCDM15=\$A2E!       \ LCD_C memory 15 
+LCDM16=\$A2F!       \ LCD_C memory 16 
+LCDM17=\$A30!       \ LCD_C memory 17 
+LCDM18=\$A31!       \ LCD_C memory 18 
+LCDM19=\$A32!       \ LCD_C memory 19 
+LCDM20=\$A33!       \ LCD_C memory 20 
+LCDM21=\$A34!       \ LCD_C memory 21 
+LCDM22=\$A35!       \ LCD_C memory 22 
+LCDM23=\$A36!       \ LCD_C memory 23 
+LCDM24=\$A37!       \ LCD_C memory 24 
+LCDM25=\$A38!       \ LCD_C memory 25 
+LCDM26=\$A39!       \ LCD_C memory 26 
+LCDM27=\$A3A!       \ LCD_C memory 27 
+LCDM28=\$A3B!       \ LCD_C memory 28 
+LCDM29=\$A3C!       \ LCD_C memory 29 
+LCDM30=\$A3D!       \ LCD_C memory 30 
+LCDM31=\$A3E!       \ LCD_C memory 31 
+LCDM32=\$A3F!       \ LCD_C memory 32 
+LCDM33=\$A40!       \ LCD_C memory 33 
+LCDM34=\$A41!       \ LCD_C memory 34 
+LCDM35=\$A42!       \ LCD_C memory 35 
+LCDM36=\$A43!       \ LCD_C memory 36 
+LCDM37=\$A44!       \ LCD_C memory 37 
+LCDM38=\$A45!       \ LCD_C memory 38 
+LCDM39=\$A46!       \ LCD_C memory 39 
+LCDM40=\$A47!       \ LCD_C memory 40 
+LCDM41=\$A48!       \ LCD_C memory 41 
+LCDM42=\$A49!       \ LCD_C memory 42 
+LCDM43=\$A4A!       \ LCD_C memory 43 
+LCDBM1=\$A40!       \ LCD_C blinking memory 1  
+LCDBM2=\$A41!       \ LCD_C blinking memory 2  
+LCDBM3=\$A42!       \ LCD_C blinking memory 3  
+LCDBM4=\$A43!       \ LCD_C blinking memory 4  
+LCDBM5=\$A44!       \ LCD_C blinking memory 5  
+LCDBM6=\$A45!       \ LCD_C blinking memory 6  
+LCDBM7=\$A46!       \ LCD_C blinking memory 7  
+LCDBM8=\$A47!       \ LCD_C blinking memory 8  
+LCDBM9=\$A48!       \ LCD_C blinking memory 9  
+LCDBM10=\$A49!      \ LCD_C blinking memory 10 
+LCDBM11=\$A4A!      \ LCD_C blinking memory 11 
+LCDBM12=\$A4B!      \ LCD_C blinking memory 12 
+LCDBM13=\$A4C!      \ LCD_C blinking memory 13 
+LCDBM14=\$A4D!      \ LCD_C blinking memory 14 
+LCDBM15=\$A4E!      \ LCD_C blinking memory 15 
+LCDBM16=\$A4F!      \ LCD_C blinking memory 16 
+LCDBM17=\$A50!      \ LCD_C blinking memory 17 
+LCDBM18=\$A51!      \ LCD_C blinking memory 18 
+LCDBM19=\$A52!      \ LCD_C blinking memory 19 
+LCDBM20=\$A53!      \ LCD_C blinking memory 20 
+LCDBM21=\$A54!      \ LCD_C blinking memory 21 
+LCDBM22=\$A55!      \ LCD_C blinking memory 22 
+
+
+ESIDEBUG1=\$D00!    \ ESI debug register 1 
+ESIDEBUG2=\$D02!    \ ESI debug register 2 
+ESIDEBUG3=\$D04!    \ ESI debug register 3 
+ESIDEBUG4=\$D06!    \ ESI debug register 4 
+ESIDEBUG5=\$D08!    \ ESI debug register 5 
+ESICNT0=\$D10!      \ ESI PSM counter 0 
+ESICNT1=\$D12!      \ ESI PSM counter 1 
+ESICNT2=\$D14!      \ ESI PSM counter 2 
+ESICNT3=\$D16!      \ ESI oscillator counter register 
+ESIIV=\$D1A!        \ ESI interrupt vector      
+ESIINT1=\$D1C!      \ ESI interrupt register 1  
+ESIINT2=\$D1E!      \ ESI interrupt register 2  
+ESIAFE=\$D20!       \ ESI AFE control register  
+ESIPPU=\$D22!       \ ESI PPU control register  
+ESITSM=\$D24!       \ ESI TSM control register  
+ESIPSM=\$D26!       \ ESI PSM control register  
+ESIOSC=\$D28!       \ ESI oscillator control register 
+ESICTL=\$D2A!       \ ESI control register 
+ESITHR1=\$D2C!      \ ESI PSM counter threshold register 1 
+ESITHR2=\$D2E!      \ ESI PSM counter threshold register 2 
+ESIADMEM1=\$D30!    \ ESI A/D conversion memory 1          
+ESIADMEM2=\$D32!    \ ESI A/D conversion memory 2          
+ESIADMEM3=\$D34!    \ ESI A/D conversion memory 3          
+ESIADMEM4=\$D36!    \ ESI A/D conversion memory 4          
+ESIDAC1R0=\$D40!    \ ESI DAC1 register 0 
+ESIDAC1R1=\$D42!    \ ESI DAC1 register 1 
+ESIDAC1R2=\$D44!    \ ESI DAC1 register 2 
+ESIDAC1R3=\$D46!    \ ESI DAC1 register 3 
+ESIDAC1R4=\$D48!    \ ESI DAC1 register 4 
+ESIDAC1R5=\$D4A!    \ ESI DAC1 register 5 
+ESIDAC1R6=\$D4C!    \ ESI DAC1 register 6 
+ESIDAC1R7=\$D4E!    \ ESI DAC1 register 7 
+ESIDAC2R0=\$D50!    \ ESI DAC2 register 0 
+ESIDAC2R1=\$D52!    \ ESI DAC2 register 1 
+ESIDAC2R2=\$D54!    \ ESI DAC2 register 2 
+ESIDAC2R3=\$D56!    \ ESI DAC2 register 3 
+ESIDAC2R4=\$D58!    \ ESI DAC2 register 4 
+ESIDAC2R5=\$D5A!    \ ESI DAC2 register 5 
+ESIDAC2R6=\$D5C!    \ ESI DAC2 register 6 
+ESIDAC2R7=\$D5E!    \ ESI DAC2 register 7 
+ESITSM0=\$D60!      \ ESI TSM 0 
+ESITSM1=\$D62!      \ ESI TSM 1 
+ESITSM2=\$D64!      \ ESI TSM 2 
+ESITSM3=\$D66!      \ ESI TSM 3 
+ESITSM4=\$D68!      \ ESI TSM 4 
+ESITSM5=\$D6A!      \ ESI TSM 5 
+ESITSM6=\$D6C!      \ ESI TSM 6 
+ESITSM7=\$D6E!      \ ESI TSM 7 
+ESITSM8=\$D70!      \ ESI TSM 8 
+ESITSM9=\$D72!      \ ESI TSM 9 
+ESITSM10=\$D74!     \ ESI TSM 10 
+ESITSM11=\$D76!     \ ESI TSM 11 
+ESITSM12=\$D78!     \ ESI TSM 12 
+ESITSM13=\$D7A!     \ ESI TSM 13 
+ESITSM14=\$D7C!     \ ESI TSM 14 
+ESITSM15=\$D7E!     \ ESI TSM 15 
+ESITSM16=\$D80!     \ ESI TSM 16 
+ESITSM17=\$D82!     \ ESI TSM 17 
+ESITSM18=\$D84!     \ ESI TSM 18 
+ESITSM19=\$D86!     \ ESI TSM 19 
+ESITSM20=\$D88!     \ ESI TSM 20 
+ESITSM21=\$D8A!     \ ESI TSM 21 
+ESITSM22=\$D8C!     \ ESI TSM 22 
+ESITSM23=\$D8E!     \ ESI TSM 23 
+ESITSM24=\$D90!     \ ESI TSM 24 
+ESITSM25=\$D92!     \ ESI TSM 25 
+ESITSM26=\$D94!     \ ESI TSM 26 
+ESITSM27=\$D96!     \ ESI TSM 27 
+ESITSM28=\$D98!     \ ESI TSM 28 
+ESITSM29=\$D9A!     \ ESI TSM 29 
+ESITSM30=\$D9C!     \ ESI TSM 30 
+ESITSM31=\$D9E!     \ ESI TSM 31 
+
+
+ESI_RAM=\$E00!
diff --git a/config/gema/MSP_EXP430FR2355.pat b/config/gema/MSP_EXP430FR2355.pat
new file mode 100644 (file)
index 0000000..c05b00f
--- /dev/null
@@ -0,0 +1,299 @@
+! -*- coding: utf-8 -*-
+! MSP_EXP430FR2355.pat
+!
+! Copyright (C) <2018>  <J.M. THOORENS>
+!
+! This program is free software: you can redistribute it and/or modify
+! it under the terms of the GNU General Public License as published by
+! the Free Software Foundation, either version 3 of the License, or
+! (at your option) any later version.
+!
+! This program is distributed in the hope that it will be useful,
+! but WITHOUT ANY WARRANTY; without even the implied warranty of
+! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+! GNU General Public License for more details.
+!
+! You should have received a copy of the GNU General Public License
+! along with this program.  If not, see <http://www.gnu.org/licenses/>.
+!
+!
+!
+! ======================================================================
+! MSP430FR2355 Config
+! ======================================================================
+
+@define{@read{@mergepath{@inpath{};MSP430FR2355.pat;}}}
+@define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
+!@define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
+
+! ======================================================================
+! INIT MSP-EXP430FR2355 board
+! ======================================================================
+!
+! J101 (7xjumper)
+! "SBWTCK"   ---> TEST
+! "SBWTDIO"  ---> RST
+! "TXD"      <--- P4.3  == UCA0TXD <-- UCA0TXDBUf
+! "RXD"      ---> P4.2  == UCA0RXD --> UCA0RXDBUF
+! "3V3"      <--> 3V3
+! "5V0"      <--> 5V0
+! "GND"      <--> GND
+!
+!
+! SW1 -- P4.1
+! SW2 -- P2.3
+!
+! LED1 - P1.0   (red)
+! LED2 - P6.6   (green)
+!
+! I/O pins on J1:
+! J1.1  - 3V3
+! J1.2  - P1.5
+! J1.3  - P1.6
+! J1.4  - P1.7
+! J1.5  - P3.6
+! J1.6  - P5.2
+! J1.7  - P4.5
+! J1.8  - P3.4
+! J1.9  - P1.3
+! J1.10 - P1.2
+!
+! I/O pins on J3:
+! J3.21 - 5V0
+! J3.22 - GND
+! J3.23 - P1.4 A4 SEED
+! J3.24 - P5.3 A11
+! J3.25 - P5.1 A9
+! J3.26 - P5.0 A8
+! J3.27 - P5.4
+! J3.28 - P1.1 A1 SEED
+! J3.29 - P3.5 OA3O
+! J3.30 - P3.1 OA2O
+!
+!
+! I/O pins on J2:
+! J2.11 - P3.0
+! J2.12 - P2.5
+! J2.13 - P4.4
+! J2.14 - P4.7
+! J2.15 - P4.6
+! J2.16 - RST
+! J2.17 - P4.0
+! J2.18 - P2.2
+! J2.19 - P2.0
+! J2.20 - GND
+!
+! I/O pins on J4:
+! J2.31 - P3.2
+! J2.32 - P3.3
+! J2.33 - P2.4
+! J2.34 - P3.7
+! J2.35 - P6.4
+! J2.36 - P6.3
+! J2.37 - P6.2
+! J2.38 - P6.1
+! J2.39 - P6.0
+! J2.40 - 2.1
+!
+! LFXTAL XOUT- P2.6
+! LFXTAL XIN - P2.7
+
+
+!
+! ======================================================================
+! MSP_EXP430FR2355 LAUNCHPAD    <--> OUTPUT WORLD
+! ======================================================================
+!
+!                                 +--4k7-< DeepRST switch <-- GND 
+!                                 |
+! P4.3  - UCA1 TXD    J101.6 -  <-+-> RX  UARTtoUSB bridge
+! P4.2  - UCA1 RXD    J101.8 -  <---- TX  UARTtoUSB bridge
+! P2.0  - RTS         J2.19  -  ----> CTS UARTtoUSB bridge (TERMINAL4WIRES)
+! P2.1  - CTS         J4.40  -  <---- RTS UARTtoUSB bridge (TERMINAL5WIRES)
+!
+! P1.2  - UCB0 SDA    J1.10  -  <---> SDA I2C Master_Slave
+! P1.3  - UCB0 SCL    J1.9   -  ----> SCL I2C Master_Slave
+!       
+! P2.2  -             J2.18  -  <---- TSSOP32236 (IR RC5) 
+!
+! P2.5  -             J2.12  -  ----> SD_CS (Card Select)
+! P4.4  -             J2.13  -  <---- SD_CD (Card Detect)
+! P4.5  - UCB1 CLK    J1.7   -  ----> SD_CLK
+! P4.7  - UCB1 SOMI   J2.14  -  <---- SD_SDO
+! P4.6  - UCB1 SIMO   J2.15  -  ----> SD_SDI
+!       
+! P3.2  -             J4.38  -  <---> SDA I2C Soft_Master
+! P3.3  -             J4.39  -  ----> SCL I2C Soft_Master
+
+! GND   <-------+---0V0---------->  1 LCD_Vss
+! VCC   <------ | --3V6-----+---->  2 LCD_Vdd
+!               |           |
+!             |___    470n ---
+!               ^ |        ---
+!              / \ BAT54    |
+!              ---          |
+!          100n |    2k2    |
+! P1.7  >---||--+--^/\/\/v--+---->  3 LCD_Vo (=0V6 without modulation)
+! P1.5  >------------------------>  4 LCD_RS
+! P1.4  >------------------------>  5 LCD_R/W
+! P1.1  >------------------------>  6 LCD_EN
+
+! P6.0  <------------------------> 11 LCD_DB4
+! P6.1  <------------------------> 12 LCD_DB5
+! P6.2  <------------------------> 13 LCD_DB5
+! P6.3  <------------------------> 14 LCD_DB7        
+
+! P4.1                        ---> S2 LCD contrast +
+! P2.3                        ---> S1 LCD contrast -
+
+
+! ============================================
+! FORTH I/O :
+! ============================================
+!TERMINAL 
+TERM_TX=\$8!            P4.3 = TX
+TERM_RX=\$4!            P4.2 = RX
+TERM_TXRX=\$0C!
+
+TERM_REN=\$227!
+TERM_SEL=\$22D!
+TERM_IE=\$23B!
+TERM_IFG=\$23D!
+TERM_Vec=\$FFE2!        UCA1
+Deep_RST=\$8!           TX pin = pin for FORTH Deep_RST
+Deep_RST_IN=\$220!
+
+RTS=1!                  P2.0
+CTS=2!                  P2.1
+HANDSHAKIN=\$201!
+HANDSHAKOUT=\$203!
+
+SD_CD=\$10!             P4.4 as SD_CD
+SD_CDIN=\$221!
+SD_CS=\$20!             P2.5 as SD_CS     
+SD_CSOUT=\$203!
+SD_CSDIR=\$205!
+
+SD_SEL=\$22D!           P4SEL0 to configure UCB1
+SD_REN=\$227!           P4REN to configure pullup resistors
+SD_BUS=\$7000!          pins P4.5 as UCB1CLK, P4.6 as UCB1SIMO & P4.7 as UCB1SOMI
+
+
+! ============================================
+! APPLICATION I/O :
+! ============================================
+LED1_OUT=\$202!
+LED1=1!                 P1.0
+
+LED2_OUT=\$243!
+LED2=2!                 P6.6
+
+SW1_IN=\$221!
+SW1=2!                  P4.1    
+
+SW2_IN=\$201!
+SW2=8!                  P2.3
+
+
+!LCD_Vo PWM
+LCDVo_DIR=\$204!        P1
+LCDVo_SEL=\$20C!        SEL1
+LCDVo=\$80!             P1.7 as TB0.2
+!LCD command bus
+LCD_CMD_IN=\$200!       P1
+LCD_CMD_OUT=\$202
+LCD_CMD_DIR=\$204
+LCD_CMD_REN=\$206
+LCD_RS=\$20!            P1.5
+LCD_RW=\$10!            P1.4
+LCD_EN=2!               P1.1
+LCD_CMD=\$32!
+!LCD data bus
+LCD_DB_IN=\$341!        P6
+LCD_DB_OUT=\$343
+LCD_DB_DIR=\$345
+LCD_DB_REN=\$347
+LCD_DB=\$0F!            P6.3210
+!LCD timer
+LCD_TIM_CTL=\$380!      TB0CTL
+LCD_TIM_CCTL2=\$386!     TB0CCTL2
+LCD_TIM_CCR0=\$392!     TB0CCR0
+LCD_TIM_CCR2=\$396!     TB0CCR2
+LCD_TIM_EX0=\$3A0!      TB0EX0
+
+
+!WATCHDOG timer
+WDT_TIM_CTL=\$3C2!      TB1CTL
+WDT_TIM_CCTL0=\$3C2!    TB1CCTL0
+WDT_TIM_CCR0=\$3D2!     TB1CCR0
+WDT_TIM_EX0=\$3E0!      TB1EX0
+WDT_TIM_0_Vec=\$FFF4!   TB1_0_Vec
+
+
+!IR_RC5
+RC5_=RC5_!
+IR_IN=\$201!  
+IR_OUT=\$203! 
+IR_DIR=\$205! 
+IR_REN=\$209! 
+IR_IES=\$219!
+IR_IE=\$21B!
+IR_IFG=\$21D!
+IR_Vec=\$FFD2!          P2 int
+RC5=4!                  P2.2
+!IR_RC5 timer
+RC5_TIM_CTL=\$400!       TB2CTL
+RC5_TIM_R=\$410!         TB2R
+RC5_TIM_EX0=\$420!       TB2EX0
+
+!Software I2C_Master
+I2CSM_IN=\$220!
+I2CSM_OUT=\$222!
+I2CSM_DIR=\$224!
+I2CSM_REN=\$226!
+SMSDA=4!                P3.2
+SMSCL=8!                P3.3
+SM_BUS=\$03!    
+
+!Software I2C_Multi_Master
+I2CSMM_IN=\$220!
+I2CSMM_OUT=\$222!
+I2CSMM_DIR=\$224!
+I2CSMM_REN=\$226!
+SMMSDA=4!               P3.2
+SMMSCL=8!               P3.3
+SMM_BUS=\$0C!    
+
+!hardware I2C_Multi_Master
+I2CMM_IN=\$200!
+I2CMM_OUT=\$202!
+I2CMM_DIR=\$204!
+I2CMM_REN=\$206!
+I2CMM_SEL1=\$20C!
+I2CMM_Vec=\$FFE0!       UCB0
+MMSDA=4!                P1.2
+MMSCL=8!                P1.3
+MM_BUS=\$0C!
+
+!hardware I2C_Master
+I2CM_IN=\$200!
+I2CM_OUT=\$202!
+I2CM_DIR=\$204!
+I2CM_REN=\$206!
+I2CM_SEL1=\$20C!
+I2CM_Vec=\$FFE0!        UCB0
+MSDA=4!                 P1.2
+MSCL=8!                 P1.3
+M_BUS=\$0C!
+
+!hardware I2C_Slave
+I2CS_IN=\$200!
+I2CS_OUT=\$202!
+I2CS_DIR=\$204!
+I2CS_REN=\$206!
+I2CS_SEL1=\$20C!
+I2CS_Vec=\$FFE0!        UCB0
+SSDA=4!                 P1.2
+SSCL=8!                 P1.3
+S_BUS=\$0C!
+
index 6177b13..1dada58 100644 (file)
@@ -25,9 +25,8 @@
 ! ======================================================================
 
 @define{@read{@mergepath{@inpath{};MSP430FR2433.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR2x4x_FastForth.pat;}}}
 @define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
-@define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
+!@define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
 
 ! ======================================================================
 ! INIT MSP-EXP430FR2433 board
index eef052a..1846723 100644 (file)
@@ -25,7 +25,6 @@
 ! ======================================================================
 
 @define{@read{@mergepath{@inpath{};MSP430FR4133.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR2x4x_FastForth.pat;}}}
 @define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
 @define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
 
@@ -237,15 +236,16 @@ SW2=\$40!           P2.6 SW2
 
 !LCD Vo driver
 !-------------
-LCDVo_DIR=\$204!      P1.6 = LCDVo
-LCDVo_SEL=\$20A!      SEL0
+LCDVo_DIR=\$204!        P1.6 = LCDVo
+LCDVo_SEL=\$20A!        SEL0
 LCDVo=\$40!
-! FR4133 hasn't TB0: let TA0 addresses for TA0.2=LCDVo on P1.6
-TB0CTL=\$300!
-TB0CCTL2=\$306!
-TB0CCR0=\$312!
-TB0CCR2=\$316!
-TB0EX0=\$320!
+!LCD timer
+LCD_TIM_CTL=\$300!      TA0CTL
+LCD_TIM_CCTL=\$306!     TA0CCTL2
+LCD_TIM_CCR0=\$312!     TA0CCR0
+LCD_TIM_CCR=\$316!      TA0CCR2
+LCD_TIM_EX0=\$320!      TA0EX0
+
 
 !LCD command bus
 !---------------
@@ -253,9 +253,9 @@ LCD_CMD_IN=\$200!
 LCD_CMD_OUT=\$202!
 LCD_CMD_DIR=\$204!
 LCD_CMD_REN=\$206!
-LCD_RS=\$08!        P1.3 LCD_RS
-LCD_RW=\$10!        P1.4 LCD_RW
-LCD_EN=\$20!        P1.5 LCD_EN
+LCD_RS=\$08!            P1.3 LCD_RS
+LCD_RW=\$10!            P1.4 LCD_RW
+LCD_EN=\$20!            P1.5 LCD_EN
 LCD_CMD=\$38!
 
 !LCD data bus
@@ -275,60 +275,61 @@ IR_REN=\$206!
 IR_IES=\$218!
 IR_IE=\$21A!
 IR_IFG=\$21C!
-IR_Vec=\$FFE6!    P1 int
+IR_Vec=\$FFE6!          P1 int
 RC5=\$80!               P1.7 IR_RC5
-! replace TA0 addrs by TA1 addrs because TA0 used for LCDVo
-TA0CTL=\$340!
-TA0CCTL2=\$346!
-TA0R=\$350!
-TA0CCR0=\$352!
-TA0CCR2=\$356!
-TA0EX0=\$360!
+
+!IR_RC5 timer
+IR_TIM_CTL=\$340!       TA1CTL
+IR_TIM_CCTL2=\$346!     TA1CCTL2
+IR_TIM_R=\$350!         TA1R
+IR_TIM_CCR0=\$352!      TA1CCR0
+IR_TIM_CCR2=\$356!      TA1CCR2
+IR_TIM_EX0=\$360!       TA1EX0
 
 
 I2CSM_IN=\$261!
 I2CSM_OUT=\$263!
 I2CSM_DIR=\$265!
 I2CSM_REN=\$267!
-SMSDA=\$04!         P8.2  SDA software MASTER
-SMSCL=\$08!         P8.3  SCL software MASTER
+SMSDA=\$04!             P8.2  SDA software MASTER
+SMSCL=\$08!             P8.3  SCL software MASTER
 SM_BUS=\$0C!
 
 I2CSMM_IN=\$261!
 I2CSMM_OUT=\$263!
 I2CSMM_DIR=\$265!
 I2CSMM_REN=\$267!
-SMMSDA=\$04!        P8.2  SDA software MULTI_MASTER
-SMMSCL=\$08!        P8.3  SCL software MULTI_MASTER
+SMMSDA=\$04!            P8.2  SDA software MULTI_MASTER
+SMMSCL=\$08!            P8.3  SCL software MULTI_MASTER
 SMM_BUS=\$0C!
 
 I2CMM_IN=\$240!
 I2CMM_OUT=\$242!
 I2CMM_DIR=\$244!
 I2CMM_REN=\$246!
-I2CMM_SEL=\$24A!     SEL0
+I2CMM_SEL=\$24A!        SEL0
 I2CMM_Vec=\$FFEA!
-MMSDA=\$04!         P5.2  SDA hadware MULTI_MASTER
-MMSCL=\$08!         P5.3  SCL hadware MULTI_MASTER
+MMSDA=\$04!             P5.2  SDA hadware MULTI_MASTER
+MMSCL=\$08!             P5.3  SCL hadware MULTI_MASTER
 MM_BUS=\$0C!
 
 I2CM_IN=\$240!
 I2CM_OUT=\$242!
 I2CM_DIR=\$244!
 I2CM_REN=\$246!
-I2CM_SEL=\$24A!     SEL0
+I2CM_SEL=\$24A!         SEL0
 I2CM_Vec=\$FFEA!
-MSDA=\$04!        P5.2  SDA hadware MASTER
-MSCL=\$08!        P5.3  SCL hadware MASTER
+MSDA=\$04!              P5.2  SDA hadware MASTER
+MSCL=\$08!              P5.3  SCL hadware MASTER
 M_BUS=\$0C!
 
 I2CS_IN=\$240!
 I2CS_OUT=\$242!
 I2CS_DIR=\$244!
 I2CS_REN=\$246!
-I2CS_SEL=\$24A!     SEL0
+I2CS_SEL=\$24A!         SEL0
 I2CS_Vec=\$FFEA!
-SSDA=\$04!        P5.2  SDA hadware SLAVE
-SSCL=\$08!        P5.3  SCL hadware SLAVE
+SSDA=\$04!              P5.2  SDA hadware SLAVE
+SSCL=\$08!              P5.3  SCL hadware SLAVE
 S_BUS=\$0C!
 
index 6bb4256..448e7d8 100644 (file)
@@ -25,9 +25,8 @@
 ! ======================================================================
 
 @define{@read{@mergepath{@inpath{};MSP430FR5739.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR57xx_FastForth.pat;}}}
 @define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
-@define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
+!@define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
 
 ! ======================================================================
 ! MSP_EXP430FR5739 board
 ! ============================================
 ! FORTH I/O :
 ! ============================================
-TERM_TX=1!          ; P2.0 = TX
-TERM_RX=2!          ; P2.1 = RX
+TERM_TX=1!              P2.0 = TX
+TERM_RX=2!              P2.1 = RX
 TERM_TXRX=3!
 
 TERM_REN=\$207!
 TERM_SEL=\$20D!
 TERM_IE=\$21B!
 TERM_IFG=\$21D!
-Deep_RST=1!         ; = TX pin
-Deep_RST_IN=\$201!  ; TERMINAL TX  pin as FORTH Deep_RST
+Deep_RST=1!             TX pin
+Deep_RST_IN=\$201!      TERMINAL TX  pin as FORTH Deep_RST
 
 RTS=4!
 CTS=8!
 HANDSHAKIN=\$201!
 HANDSHAKOUT=\$203!
 
-SD_CD=4!        ; P2.2 as SD_CD
-SD_CS=8!        ; P2.3 as SD_CS     
+SD_CD=4!                P2.2 as SD_CD
+SD_CS=8!                P2.3 as SD_CS     
 SD_CDIN=\$201!
 SD_CSOUT=\$203!
 SD_CSDIR=\$205!
 
-SD_SEL=\$20D!   ; to configure UCB0
-SD_REN=\$207!   ; to configure pullup resistors
-SD_BUS=\$70!    ; pins P2.4 as UCB0CLK, P2.5 as UCB0SIMO & P2.6 as UCB0SOMI
+SD_SEL=\$20D!           to configure UCB0
+SD_REN=\$207!           to configure pullup resistors
+SD_BUS=\$70!            pins P2.4 as UCB0CLK, P2.5 as UCB0SIMO & P2.6 as UCB0SOMI
 
 
 ! ============================================
 ! APPLICATION I/O :
 ! ============================================
 LED1_OUT=\$322!
-LED1=\$01!        PJ.0
+LED1=\$01!              PJ.0
 
 LED2_OUT=\$322!
-LED2=\$02!        PJ.1
+LED2=\$02!              PJ.1
 
 SW1_IN=\$221!
-SW1=\$01!         P4.0
+SW1=\$01!               P4.0
 
 SW2_IN=\$221!
-SW2=\$02!         P4.1
+SW2=\$02!               P4.1
 
 LCDVo_DIR=\$204!
-LCDVo_SEL=\$20A!     SEL0
-LCDVo=\$20!       P1.5
+LCDVo_SEL=\$20A!        SEL0
+LCDVo=\$20!             P1.5
 
 LCD_CMD_IN=\$220!
 LCD_CMD_OUT=\$222!
 LCD_CMD_DIR=\$224!
 LCD_CMD_REN=\$226!
-LCD_RS=\$10!      P3.4
-LCD_RW=\$20!      P3.5
-LCD_EN=\$40!      P3.6
+LCD_RS=\$10!            P3.4
+LCD_RW=\$20!            P3.5
+LCD_EN=\$40!            P3.6
 LCD_CMD=\$70!
 
 LCD_DB_IN=\$200!
 LCD_DB_OUT=\$202!
 LCD_DB_DIR=\$204!
 LCD_DB_REN=\$206!
-LCD_DB=\$0F!      P1.0-3
+LCD_DB=\$0F!            P1.0-3
+!LCD timer
+LCD_TIM_CTL=\$3C0!      TB0CTL
+LCD_TIM_CCTL2=\$3C6!    TB0CCTL2
+LCD_TIM_CCR0=\$3D2!     TB0CCR0
+LCD_TIM_CCR2=\$3D6!     TB0CCR2
+LCD_TIM_EX0=\$3E0!      TB0EX0
 
 
+!WATCHDOG timer
+WDT_TIM_CTL=\$340!      TA0CTL
+WDT_TIM_CCTL0=\$342!    TA0CCTL0
+WDT_TIM_CCR0=\$352!     TA0CCR0
+WDT_TIM_EX0=\$360!      TA0EX0
+WDT_TIM_0_Vec=\$FFEA!     TA0_0_Vec
+
 IR_IN=\$201!  
 IR_OUT=\$203! 
 IR_DIR=\$205! 
@@ -225,52 +237,56 @@ IR_IES=\$219!
 IR_IE=\$21B!
 IR_IFG=\$21D!
 RC5_=RC5_!
-RC5=\$40!         P2.6
-IR_Vec=\$FFD8!    P2 int
+RC5=\$40!               P2.6
+IR_Vec=\$FFD8!          P2 int
+!IR_RC5 timer
+RC5_TIM_CTL=\$380!       TA1CTL
+RC5_TIM_R=\$390!         TA1R
+RC5_TIM_EX0=\$3A0!       TA1EX0
 
 I2CSM_IN=\$200!
 I2CSM_OUT=\$202!
 I2CSM_DIR=\$204!
 I2CSM_REN=\$206!
-SMSDA=\$40! P1.6
-SMSCL=\$80! P1.7
+SMSDA=\$40!             P1.6
+SMSCL=\$80!             P1.7
 SM_BUS=\$C0!
 
 I2CSMM_IN=\$200!
 I2CSMM_OUT=\$202!
 I2CSMM_DIR=\$204!
 I2CSMM_REN=\$206!
-SMMSDA=\$40!      P1.6
-SMMSCL=\$80!      P1.7
+SMMSDA=\$40!            P1.6
+SMMSCL=\$80!            P1.7
 SMM_BUS=\$C0!
 
 I2CMM_IN=\$200!
 I2CMM_OUT=\$202!
 I2CMM_DIR=\$204!
 I2CMM_REN=\$206!
-I2CMM_SEL=\$20C!     SEL1
-I2CMM_Vec=\$FFEE!
-MMSDA=\$40!       P1.6
-MMSCL=\$80!       P1.7
+I2CMM_SEL=\$20C!        SEL1
+I2CMM_Vec=\$FFEE!       UCB0
+MMSDA=\$40!             P1.6
+MMSCL=\$80!             P1.7
 MM_BUS=\$C0!
 
 I2CM_IN=\$200!
 I2CM_OUT=\$202!
 I2CM_DIR=\$204!
 I2CM_REN=\$206!
-I2CM_SEL=\$20C!
-I2CM_Vec=\$FFEE!
-MSDA=\$40!        P1.6
-MSCL=\$80!        P1.7
+I2CM_SEL=\$20C!         SEL1
+I2CM_Vec=\$FFEE!        UCB0
+MSDA=\$40!              P1.6
+MSCL=\$80!              P1.7
 M_BUS=\$C0!
 
 I2CS_IN=\$200!
 I2CS_OUT=\$202!
 I2CS_DIR=\$204!
 I2CS_REN=\$206!
-I2CS_SEL=\$20C!
-I2CS_Vec=\$FFEE!
-SSDA=\$40!        P1.6
-SSCL=\$80!        P1.7
+I2CS_SEL=\$20C!         SEL1
+I2CS_Vec=\$FFEE!        UCB0
+SSDA=\$40!              P1.6
+SSCL=\$80!              P1.7
 S_BUS=\$C0!
 
index 7108ffe..348b6f3 100644 (file)
@@ -25,7 +25,6 @@
 ! ======================================================================
 
 @define{@read{@mergepath{@inpath{};MSP430FR5969.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR5x6x_FastForth.pat;}}}
 @define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
 @define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
 
@@ -243,6 +242,12 @@ SW2=\$02!           P1.1
 LCDVo_DIR=\$205!    P2
 LCDVo_SEL=\$20B!    SEL0
 LCDVo=\$04
+!LCD timer
+LCD_TIM_CTL=\$3C0!      TB0CTL
+LCD_TIM_CCTL2=\$3C6!    TB0CCTL2
+LCD_TIM_CCR0=\$3D2!     TB0CCR0
+LCD_TIM_CCR2=\$3D6!     TB0CCR2
+LCD_TIM_EX0=\$3E0!      TB0EX0
 
 LCD_CMD_IN=\$220!   P3
 LCD_CMD_OUT=\$222
@@ -260,6 +265,13 @@ LCD_DB_REN=\$326
 LCD_DB=\$0F
 
 
+!WATCHDOG timer
+WDT_TIM_CTL=\$340!      TA0CTL
+WDT_TIM_CCTL0=\$342!    TA0CCTL0
+WDT_TIM_CCR0=\$352!     TA0CCR0
+WDT_TIM_EX0=\$360!      TA0EX0
+WDT_TIM_0_Vec=\$FFEA!   TA0_0_Vec
+
 IR_IN=\$221
 IR_OUT=\$223
 IR_DIR=\$225
@@ -267,33 +279,38 @@ IR_REN=\$227
 IR_IES=\$239
 IR_IE=\$23B
 IR_IFG=\$23D
-IR_Vec=\$FFD0!      P4 int
-RC5=\$01!           P4.0
+IR_Vec=\$FFD0!          P4 int
+RC5=\$01!               P4.0
+!IR_RC5 timer
+RC5_TIM_CTL=\$380!      TA1CTL
+RC5_TIM_R=\$390!        TA1R
+RC5_TIM_EX0=\$3A0!      TA1EX0
+
 
 I2CSM_IN=\$200
 I2CSM_OUT=\$202
 I2CSM_DIR=\$204
 I2CSM_REN=\$206
-SMSDA=\$04!         P1.2
-SMSCL=\$08!         P1.3
+SMSDA=\$04!             P1.2
+SMSCL=\$08!             P1.3
 SM_BUS=\$0C
 
 I2CSMM_IN=\$200
 I2CSMM_OUT=\$202
 I2CSMM_DIR=\$204
 I2CSMM_REN=\$206
-SMMSDA=\$04!        P1.2
-SMMSCL=\$08!        P1.3
+SMMSDA=\$04!            P1.2
+SMMSCL=\$08!            P1.3
 SMM_BUS=\$0C
 
 I2CMM_IN=\$200
 I2CMM_OUT=\$202
 I2CMM_DIR=\$204
 I2CMM_REN=\$206
-I2CMM_SEL=\$20C!    SEL1
-I2CMM_Vec=\$FFEE!   eUSCIB0_INT
-MMSDA=\$40!         P1.6
-MMSCL=\$80!         P1.7
+I2CMM_SEL=\$20C!        SEL1
+I2CMM_Vec=\$FFEE!       eUSCIB0_INT
+MMSDA=\$40!             P1.6
+MMSCL=\$80!             P1.7
 MM_BUS=\$C0
 
 I2CM_IN=\$200
@@ -301,9 +318,9 @@ I2CM_OUT=\$202
 I2CM_DIR=\$204
 I2CM_REN=\$206
 I2CM_SEL=\$20C
-I2CM_Vec=\$FFEE!    eUSCIB0_INT
-MSDA=\$40!          P1.6
-MSCL=\$80!          P1.7
+I2CM_Vec=\$FFEE!        eUSCIB0_INT
+MSDA=\$40!              P1.6
+MSCL=\$80!              P1.7
 M_BUS=\$C0
 
 I2CS_IN=\$200
@@ -311,8 +328,8 @@ I2CS_OUT=\$202
 I2CS_DIR=\$204
 I2CS_REN=\$206
 I2CS_SEL=\$20C
-I2CS_Vec=\$FFEE!    eUSCIB0_INT
-SSDA=\$40!          P1.6
-SSCL=\$80!          P1.7
+I2CS_Vec=\$FFEE!        eUSCIB0_INT
+SSDA=\$40!              P1.6
+SSCL=\$80!              P1.7
 S_BUS=\$C0
 
index fc62e44..8c10854 100644 (file)
@@ -25,7 +25,6 @@
 ! ======================================================================
 
 @define{@read{@mergepath{@inpath{};MSP430FR5994.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR5x6x_FastForth.pat;}}}
 @define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
 @define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
 
@@ -177,36 +176,50 @@ SD_BUS=\$04C0!  ; pins P2.2 as UCB0CLK, P1.6 as UCB0SIMO & P1.7 as UCB0SOMI
 ! APPLICATION I/O :
 ! ============================================
 LED1_OUT=\$202!
-led1=1!             P1.0
+led1=1!                 P1.0
 
 LED2_OUT=\$202!
-led2=2!             P1.1
+led2=2!                 P1.1
 
 SW1_IN=\$240!
-SW1=\$40!           P5.6
+SW1=\$40!               P5.6
 
 SW2_IN=\$240!
-SW2=\$20!           P5.5
+SW2=\$20!               P5.5
 
 LCDVo_DIR=\$204!
-LCDVo_SEL=\$20A!      SEL0
-LCDVo=\$20!         P1.5
+LCDVo_SEL=\$20A!        SEL0
+LCDVo=\$20!             P1.5
+!LCD timer
+LCD_TIM_CTL=\$3C0!      TB0CTL
+LCD_TIM_CCTL2=\$3C6!    TB0CCTL2
+LCD_TIM_CCR0=\$3D2!     TB0CCR0
+LCD_TIM_CCR2=\$3D6!     TB0CCR2
+LCD_TIM_EX0=\$3E0!      TB0EX0
+
 
 LCD_CMD_IN=\$241!
 LCD_CMD_OUT=\$243!
 LCD_CMD_DIR=\$245!
 LCD_CMD_REN=\$247!
-LCD_RS=2!           P6.1
-LCD_RW=1!           P6.0
-LCD_EN=4!           P6.2
+LCD_RS=2!               P6.1
+LCD_RW=1!               P6.0
+LCD_EN=4!               P6.2
 LCD_CMD=7!
 
 LCD_DB_IN=\$220!
 LCD_DB_OUT=\$222!
 LCD_DB_DIR=\$224!
 LCD_DB_REN=\$226!
-LCD_DB=\$0F!        P3.3210
+LCD_DB=\$0F!            P3.3210
+
 
+!WATCHDOG timer
+WDT_TIM_CTL=\$340!      TA0CTL
+WDT_TIM_CCTL0=\$342!    TA0CCTL0
+WDT_TIM_CCR0=\$352!     TA0CCR0
+WDT_TIM_EX0=\$360!      TA0EX0
+WDT_TIM_0_Vec=\$FFEA!   TA0_0_Vec
 
 IR_IN=\$200!  
 IR_OUT=\$202! 
@@ -215,25 +228,29 @@ IR_REN=\$206!
 IR_IES=\$208!
 IR_IE=\$20A!
 IR_IFG=\$20C!
-IR_Vec=\$FFDE!        P1 int
+IR_Vec=\$FFDE!          P1 int
 RC5_=RC5_!
-RC5=4!              P1.2
+RC5=4!                  P1.2
+!IR_RC5 timer
+RC5_TIM_CTL=\$380!      TA1CTL
+RC5_TIM_R=\$390!        TA1R
+RC5_TIM_EX0=\$3A0!      TA1EX0
 
 
 I2CSM_IN=\$261!
 I2CSM_OUT=\$263!
 I2CSM_DIR=\$265!
 I2CSM_REN=\$267!
-SMSDA=4!         P8.2
-SMSCL=2!         P8.1
+SMSDA=4!                P8.2
+SMSCL=2!                P8.1
 SM_BUS=6!
 
 I2CSMM_IN=\$261!
 I2CSMM_OUT=\$263!
 I2CSMM_DIR=\$265!
 I2CSMM_REN=\$267!
-SMMSDA=4!        P8.2
-SMMSCL=2!        P8.1
+SMMSDA=4!               P8.2
+SMMSCL=2!               P8.1
 SMM_BUS=6!
 
 I2CMM_IN=\$260!
@@ -241,9 +258,9 @@ I2CMM_OUT=\$262!
 I2CMM_DIR=\$264!
 I2CMM_REN=\$266!
 I2CMM_SEL1=\$26C!
-I2CMM_Vec=\$FFBC!     UCB2_Vec
-MMSDA=1!            P7.0
-MMSCL=2!            P7.1
+I2CMM_Vec=\$FFBC!       UCB2_Vec
+MMSDA=1!                P7.0
+MMSCL=2!                P7.1
 MM_BUS=3!
 
 I2CM_IN=\$260!
@@ -252,8 +269,8 @@ I2CM_DIR=\$264!
 I2CM_REN=\$266!
 I2CM_SEL1=\$26C!
 I2CM_Vec=\$FFBC!
-MSDA=1!             P7.0
-MSCL=2!             P7.1
+MSDA=1!                 P7.0
+MSCL=2!                 P7.1
 M_BUS=3!
 
 I2CS_IN=\$260!
@@ -262,7 +279,7 @@ I2CS_DIR=\$264!
 I2CS_REN=\$266!
 I2CS_SEL1=\$26C!
 I2CS_Vec=\$FFBC!
-SSDA=1!             P7.0
-SSCL=2!             P7.1
+SSDA=1!                 P7.0
+SSCL=2!                 P7.1
 S_BUS=3!
 
index 93b0e39..c313574 100644 (file)
@@ -25,7 +25,6 @@
 ! ======================================================================
 
 @define{@read{@mergepath{@inpath{};MSP430FR6989.pat;}}}
-@define{@read{@mergepath{@inpath{};MSP430FR5x6x_FastForth.pat;}}}
 @define{@read{@mergepath{@inpath{};FastForthREGtoTI.pat;}}}
 @define{@read{@mergepath{@inpath{};RemoveComments.pat;}}}
 
@@ -150,6 +149,13 @@ LCDVo_DIR=\$224!
 LCDVo_SEL=\$22C!  SEL1
 LCDVo=\$40!     P3.6
 
+!LCD timer
+LCD_TIM_CTL=\$3C0!      TB0CTL
+LCD_TIM_CCTL2=\$3C6!    TB0CCTL2
+LCD_TIM_CCR0=\$3D2!     TB0CCR0
+LCD_TIM_CCR2=\$3D6!     TB0CCR2
+LCD_TIM_EX0=\$3E0!      TB0EX0
+
 LCD_CMD_IN=\$221!
 LCD_CMD_OUT=\$223!
 LCD_CMD_DIR=\$225!
@@ -166,6 +172,13 @@ LCD_DB_REN=\$286!
 LCD_DB=\$0F!    P9.3-0
 
 
+!WATCHDOG timer
+WDT_TIM_CTL=\$340!      TA0CTL
+WDT_TIM_CCTL0=\$342!    TA0CCTL0
+WDT_TIM_CCR0=\$352!     TA0CCR0
+WDT_TIM_EX0=\$360!      TA0EX0
+WDT_TIM_0_Vec=\$FFE8!   TA0_0_Vec
+
 IR_IN=\$221!  
 IR_OUT=\$223! 
 IR_DIR=\$225! 
@@ -192,6 +205,10 @@ I2CSMM_REN=\$206!
 SMMSDA=8!    P1.3
 SMMSCL=\$20!    P1.5
 SMM_BUS=\$28!    
+RC5_TIM_CTL=\$380!      TA1CTL
+RC5_TIM_R=\$390!        TA1R
+RC5_TIM_EX0=\$3A0!      TA1EX0
+
 
 I2CMM_IN=\$200!
 I2CMM_OUT=\$202!
index fc02aaa..88b8b58 100644 (file)
@@ -31,8 +31,6 @@ exit
 
 
 :preprocess
-::@%~d1\prog\gema\gema.exe -nobackup -line -t -f  %~dpn2.pat %~dpn1.f %~dpn1.4th 
-::%~d1\prog\gema\gema.exe -nobackup -line -t -f  %~d1\config\gema\%~n2.pat %1 %~dpn1.4th 
 %~d1\prog\gema\gema.exe -nobackup -line -t -f  %~d1\config\gema\%~n2.pat %1 %~dp1\last.4th 
 exit
 
index 0a28625..ff533ce 100644 (file)
@@ -3,7 +3,8 @@
 
 
 :SelectTemplate
-:: fonction called by Preprocess.bat, SendSource.bat and CopyTo_SD_Card.bat
+:: called by PreprocessSourceFile.bat, SendSourceFileToTarget.bat and CopySourceFileToTarget_SD_Card.bat
+:: just before calling Preprocess.bat,             SendSource.bat and           CopyToTarget_SD_Card.bat
 
 @echo select your target:
 @echo 1  MSP_EXP430FR5739
@@ -13,7 +14,7 @@
 @echo 5  MSP_EXP430FR4133
 @echo 6  MSP_EXP430FR2433
 @echo 7  CHIPSTICK_FR2433
-
+@echo 8  MSP_EXP430FR2355
 @set /p choice=your choice: 
 
 @if %choice% == 1    set template=MSP_EXP430FR5739
@@ -23,6 +24,7 @@
 @if %choice% == 5    set template=MSP_EXP430FR4133
 @if %choice% == 6    set template=MSP_EXP430FR2433
 @if %choice% == 7    set template=CHIPSTICK_FR2433
+@if %choice% == 8    set template=MSP_EXP430FR2355
 
 @exit /b
 
 @shift /1
 
 @set device=%~n1
-@if %device:~0,16%  == MSP_EXP430FR5739 set device=MSP430FR5739
-@if %device:~0,16%  == MSP_EXP430FR5969 set device=MSP430FR5969
-@if %device:~0,16%  == MSP_EXP430FR5994 set device=MSP430FR5994
-@if %device:~0,16%  == MSP_EXP430FR6989 set device=MSP430FR6989
-@if %device:~0,16%  == MSP_EXP430FR4133 set device=MSP430FR4133
-@if %device:~0,16%  == MSP_EXP430FR2433 set device=MSP430FR2433
-@if %device:~0,16%  == CHIPSTICK_FR2433 set device=MSP430FR2433
+@if /I %device:~0,16%  == MSP_EXP430FR5739 set device=MSP430FR5739
+@if /I %device:~0,16%  == MSP_EXP430FR5969 set device=MSP430FR5969
+@if /I %device:~0,16%  == MSP_EXP430FR5994 set device=MSP430FR5994
+@if /I %device:~0,16%  == MSP_EXP430FR6989 set device=MSP430FR6989
+@if /I %device:~0,16%  == MSP_EXP430FR4133 set device=MSP430FR4133
+@if /I %device:~0,16%  == MSP_EXP430FR2433 set device=MSP430FR2433
+@if /I %device:~0,16%  == CHIPSTICK_FR2433 set device=MSP430FR2433
+@if /I %device:~0,16%  == MSP_EXP430FR2355 set device=MSP430FR2355
 
 @exit /b
 
index 45d3793..3c69363 100644 (file)
@@ -3,10 +3,10 @@ sendln '     $0A BASE ! ECHO STOP' ; blanks are to pass LPMx wake up time...
 
 inputbox 'Send a File' 'Select File : ' param2
 
-strcompare param4 'NOECHO'
+strcompare param4 'ECHO'
 if result = 0 then
-    sendln 'NOECHO'
-    setecho 0
+    sendln 'ECHO'   ; echo from FastForth 
+    setecho 0       ; no echo from Teraterm
     showtt 0
     clearscreen 1
     sendfile inputstr 0
@@ -14,13 +14,15 @@ if result = 0 then
     goto end
 endif
 
-strcompare param4 'ECHO'
+strcompare param4 'NOECHO'
 if result = 0 then
-    sendln 'ECHO'
-    setecho 0
+    sendln 'NOECHO' ; no echo from FastForth
+    setecho 1       ; add echo from Teraterm
+;    setecho 0       ; don't add echo from Teraterm
     showtt 0
     clearscreen 1
     sendfile inputstr 0
+    setecho 0
     showtt 1
     goto end
 endif
@@ -28,6 +30,7 @@ endif
 strcompare param4 'HALF'
 if result = 0 then
     sendln 'NOECHO'
+;    setecho 0       ; don't add echo from Teraterm
     setecho 1
     showtt 0
     clearscreen 1
index ac43b56..4781e6e 100644 (file)
@@ -1,6 +1,7 @@
 ::@echo off
 
 @call  %~d1\config\msp430\Select.bat SelectDevice %1
+@echo %device% programmation
 %~d1\prog\MSP430Flasher\msp430flasher -s -m SBW2 -n %device% -v -w %~n1.txt  -z [RESET,VCC]
 
 @exit
index b6732ac..45a2cc4 100644 (file)
@@ -170,7 +170,7 @@ if PLAT_WIN
     command.3.*.4th=\config\msp430\CopyTo_SD_Card.bat $(FileDir)\$(FileName).4TH
     
     command.name.4.*.4th=convert TI registers to FORTH's ones
-    command.5.*.4th=\prog\gema\gema -line -t -f \config\gema\TiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
+    command.4.*.4th=\prog\gema\gema -line -t -f \config\gema\TiREGtoFastForth.pat $(FileNameExt) $(FileNameExt)
     
     command.name.5.*.4th=convert FORTH registers to TI's ones
     command.5.*.4th=\prog\gema\gema -line -t -f \config\gema\FastForthREGtoTI.pat $(FileNameExt) $(FileNameExt)
index bab66be..dbef468 100644 (file)
 ; along with this program.  If not, see <http://www.gnu.org/licenses/>.
 
 ; ----------------------------------------------------------------------
-; assembled with MACROASSEMBLER AS (http://john.ccac.rwth-aachen.de:8000/as/)
+; compiled with MACROASSEMBLER AS (http://john.ccac.rwth-aachen.de:8000/as/)
 ; ----------------------------------------------------------------------
     .cpu MSP430
     .include "mspregister.mac" ;
-;    macexp off             ; unrem to hide macro results
+;    macexp off             ; uncomment to hide macro results
+
+VER .equ "V205"
 
 ;-------------------------------------------------------------------------------
 ; Vingt fois sur le métier remettez votre ouvrage,
 ;===============================================================================
 ;===============================================================================
 
-;-----------------------------------------------------------------------------------------------
-; TARGET configuration SWITCHES ; bytes values are for DTC=1, 8MHz 921600 bds TERMINAL3WIRES, no adds-on
-;-----------------------------------------------------------------------------------------------
-MSP_EXP430FR5739   ;; compile for MSP-EXP430FR5739 launchpad        ; 4074 bytes
-;MSP_EXP430FR5969   ; compile for MSP-EXP430FR5969 launchpad        ; 4062 bytes
-;MSP_EXP430FR5994   ; compile for MSP-EXP430FR5994 launchpad        ; 4080 bytes
-;MSP_EXP430FR6989   ; compile for MSP-EXP430FR6989 launchpad        ; 4090 bytes
-;MSP_EXP430FR4133   ; compile for MSP-EXP430FR4133 launchpad        ; 4128 bytes
-;MSP_EXP430FR2433   ; compile for MSP-EXP430FR2433 launchpad        ; 4040 bytes
-;CHIPSTICK_FR2433   ; compile for the "CHIPSTICK" of M. Ken BOAK    ; 4040 bytes
-
-; choose DTC (Direct Threaded Code) model, if you don't know, choose 1
+;-------------------------------------------------------------------------------
+; TARGET configuration SWITCHES ; sizes are for 8MHz DTC=1, 2457600 bds, 3WIRES
+;-------------------------------------------------------------------------------
+;MSP_EXP430FR5739   ; compile for MSP-EXP430FR5739 launchpad        ; 4024 bytes
+;MSP_EXP430FR5969   ; compile for MSP-EXP430FR5969 launchpad        ; 4010 bytes
+;MSP_EXP430FR5994   ; compile for MSP-EXP430FR5994 launchpad        ; 4028 bytes
+;MSP_EXP430FR6989   ; compile for MSP-EXP430FR6989 launchpad        ; 4044 bytes
+;MSP_EXP430FR4133   ; compile for MSP-EXP430FR4133 launchpad        ; 4076 bytes
+;MSP_EXP430FR2433   ; compile for MSP-EXP430FR2433 launchpad        ; 3988 bytes
+;MSP_EXP430FR2355   ; compile for MSP-EXP430FR2355 launchpad        ; 4026 bytes
+CHIPSTICK_FR2433   ; compile for the "CHIPSTICK" of M. Ken BOAK    ; 3988 bytes
+;MY_MSP430FR5738    ; compile for my own MSP430FR5738 miniboards    ; 3988 bytes
+;MY_MSP430FR5738_1  ; compile for MY_MSP430FR5738_1 miniboard       ; 3988 bytes
+;MY_MSP430FR5948    ; compile for my own MSP430FR5948 miniboard     ; 3984 bytes
+;MY_MSP430FR5948_1  ; compile for my own MSP430FR5948_1 miniboard   ; 3996 bytes
+;PA_Core_MSP430     ; compile MSP430FR5948_1 miniboard                ; 3996 bytes
+;JMJ_BOX            ; compile for JMJ_BOX MSP430FR5738              ; 3980 bytes
+;PA_PA_MSP430       ; compile for PA8_PA_MSP430 MSP430FR5738        ; 3980 bytes
+
+; choose DTC (Direct Threaded Code) model, if you don't know, choose 2
 DTC .equ 1  ; DTC model 1 : DOCOL = CALL rDOCOL           14 cycles 1 word      shortest DTC model
             ; DTC model 2 : DOCOL = PUSH IP, CALL rEXIT   13 cycles 2 words     good compromize for mix FORTH/ASM code
             ; DTC model 3 : inlined DOCOL                  9 cycles 4 words     fastest
 
 FREQUENCY   .equ 16  ; fully tested at 0.25,0.5,1,2,4,8,16 (and 24 for MSP430FR57xx) MHz
-THREADS     .equ 16 ; 1,   4,   8,  16,   32 search entries in dictionnary. 16 is the good compromise between speed and size.
-                    ;    +40, +66, +90, +154 bytes
-
-;HALFDUPLEX          ; to use FAST FORTH with input terminal via bluetooth or WIFI (and with teraterm config = local Echo) 
-
-TERMINALBAUDRATE    .equ 3000000    ; choose value considering the frequency and the UART2USB bridge, see explanations below.
-TERMINAL3WIRES      ;               enable 3 wires (GND,TX,RX) with XON/XOFF software flow control (PL2303TA/HXD, CP2102)
-TERMINAL4WIRES      ; + 18 bytes    enable 4 wires with hardware flow control on RX with RTS (PL2303TA/HXD, FT232RL)
-;TERMINAL5WIRES      ; +  6 bytes    enable 5 wires with hardware flow control on RX/TX with RTS/CTS (PL2303TA/HXD, FT232RL)
-
+THREADS     .equ 16 ;  1,    2,    4,    8,   16,   32 search entries in dictionnary.
+                    ; +0, +108, +122, +138, +180, +232 bytes
+                    ; used to speed compilation; with bluetooth connection, choose 1,
+                    ; with uart usb bridge, choose 16.
 ;-------------------------------------------------------------------------------
 ; KERNEL ADD-ON SWITCHES
 ;-------------------------------------------------------------------------------
-MSP430ASSEMBLER     ;; + 1884 bytes : adds embedded assembler with TI syntax; without, you can do all but all much more slowly...
+MSP430ASSEMBLER     ;; + 1894 bytes : adds embedded assembler with TI syntax; without, you can do all but all much more slowly...
+CONDCOMP            ;; +  324 bytes : add conditionnal compilation : MARKER [UNDEFINED] [DEFINED] [IF] [ELSE] [THEN] COMPARE
+FIXPOINT_INPUT      ;; +   78 bytes : adds the interpretation input for Q15.16 numbers
+LOWERCASE           ;; +   30 bytes : enables to write strings in lowercase.
+VOCABULARY_SET      ; +  102 bytes : adds words: VOCABULARY FORTH ASSEMBLER ALSO PREVIOUS ONLY DEFINITIONS (FORTH83)
 ;SD_CARD_LOADER      ; + 1752 bytes : to LOAD source files from SD_card
 ;SD_CARD_READ_WRITE  ; + 1188 bytes : to read, create, write and del files + source files direct copy from PC to SD_Card
 ;BOOTLOADER          ; +   52 bytes : adds to <reset> a bootstrap to SD_CARD\BOOT.4TH.
 ;QUIETBOOT           ; +    2 bytes : to perform bootload without displaying.
-FIXPOINT_INPUT      ;; +   78 bytes : adds the interpretation of Q15.16 numbers
-VOCABULARY_SET      ;; +  108 bytes : adds VOCABULARY FORTH ASSEMBLER ALSO PREVIOUS ONLY DEFINITIONS (FORTH83, not ANSI)
-LOWERCASE           ;; +   30 bytes : enables to write strings in lowercase.
+;NONAME              ; +   44 bytes : adds :NONAME
+;TOTAL               ; +    4 bytes : to save R4 to R7 registers during interrupts.
 
 ;-------------------------------------------------------------------------------
 ; OPTIONAL KERNEL ADD-ON SWITCHES (can be downloaded later)                     >------------------+
 ; Tip: when added here, ADD-ONs become protected against WIPE and Deep Reset...                    |
 ;-------------------------------------------------------------------------------                   v
-CONDCOMP            ;; +  354 bytes : add cond. comp. : [UNDEFINED] [DEFINED] [IF] [ELSE] [THEN] CONDCOMP.f
+;UARTtoI2C           ;                to redirect source file to a I2C TERMINAL FastForth device UART2IIC.f
 UTILITY             ;; +  426/508 bytes : add .S .RS WORDS U.R DUMP ?                            UTILITY.f
-;FIXPOINT            ; +  452 bytes : add Q15.16 words HOLDS F+ F- F/ F* F#S F. S>F 2@ 2CONSTANT FIXPOINT.f
+FIXPOINT            ; +  452 bytes : add Q15.16 words HOLDS F+ F- F/ F* F#S F. S>F 2@ 2CONSTANT FIXPOINT.f
 ;SD_TOOLS            ; +  126 bytes for trivial DIR, FAT, CLUSTER and SECTOR view, adds UTILITY  SD_TOOLS.f
 ;ANS_CORE_COMPLIANT  ; +  876 bytes : required to pass coretest.4th ; (includes items below)     ANS_COMP.f
 ;ARITHMETIC          ; +  358 bytes : add S>D M* SM/REM FM/MOD * /MOD / MOD */MOD /MOD */
@@ -92,31 +99,50 @@ UTILITY             ;; +  426/508 bytes : add .S .RS WORDS U.R DUMP ?
 ;PORTABILITY         ; +   46 bytes : add CHARS CHAR+ CELLS CELL+
 
 
-    .include "Target.inc" ; to define target config: I/O, memory, SFR, vectors, TERMINAL eUSCI, SD_Card eUSCI, LF_XTAL,
+;-------------------------------------------------------------------------------
+; FAST FORTH TERMINAL configuration
+;-------------------------------------------------------------------------------
 
-;===============================================================================
-; XON/XOFF control flow configuration ; up to 385kBd/MHz with ECHO
-;===============================================================================
+TERMINALBAUDRATE    .equ 115200 ; choose value considering the frequency and the UART2USB bridge, see explanations below.
+
+;HALFDUPLEX          ; to use FAST FORTH with half duplex terminal
+
+TERMINAL3WIRES      ;;               enable 3 wires (GND,TX,RX) with XON/XOFF software flow control (PL2303TA/HXD, CP2102)
+TERMINAL4WIRES      ; + 18 bytes    enable 4 wires with hardware flow control on RX with RTS (PL2303TA/HXD, FT232RL)
+;                                    this RTS pin may be permanently wired on SBWTCK/TEST pin without disturbing SBW 2 wires programming
+;TERMINAL5WIRES      ; +  6 bytes    enable 5 wires with hardware flow control on RX/TX with RTS/CTS (PL2303TA/HXD, FT232RL)...
+
+; if you uncomment TERMINAL3WIRES, you have a XON/XOFF terminal (software flow control)
+; if you uncomment TERMINAL5WIRES, you have a RTS/CTS terminal (hardware flow control); mandatory option if you also want to perform binary transfers
+; if you uncomment TERMINAL3WIRES + TERMINAL4WIRES, you have a XON/XOFF + RTS terminal; sufficient option to dowload with hardware control flow
+; if you uncomment TERMINAL3WIRES + TERMINAL5WIRES, you have a XON/XOFF + RTS/CTS terminal
 
+
+; --------------------------------------------------------------------------------------------
 ; Only two usb2uart bridges correctly handle XON / XOFF: cp2102 and pl2303.
+; --------------------------------------------------------------------------------------------
+
 
-; the best and cheapest: UARTtoUSB cable with Prolific PL2303TA (supply current = 8 mA) or PL2303HXD
-; ...but pl2303HXD cable have not the 3.3V  pin...
-; I bought a cable pl2303TA plus a cable pl2303HXD, and I recovered the 6-wire cable of the HXD to weld it on
-; the TA. I obtain a PL2303TA cable with GND, 3.3V, RX TX, CTS and RTS.
+
+; the best and cheapest: UARTtoUSB cable with Prolific PL2303HXD (or PL2303TA)
+; works wel in 3 WIRES (XON/XOF) and 4WIRES (GND,RX,TX,RTS) config
+; --------------------------------------------------------------------------------------------
+;       PL2303TA 4 wires CABLE                         PL2303HXD 6 wires CABLE 
+; pads upside: 3V3,txd,rxd,gnd,5V               pads upside: gnd, 3V3,txd,rxd,5V
+;    downside: cts,dcd,dsr,rts,dtr                 downside:     rts,cts
 ; --------------------------------------------------------------------------------------------
-; WARNING ! if you use PL2303TA cable as supply, open box before to weld red wire on 3v3 pad !
+; WARNING ! if you use PL2303TA/HXD cable as supply, open box before to weld red wire on 3v3 pad !
 ; --------------------------------------------------------------------------------------------
 ; 9600,19200,38400,57600    (250kHz)
 ; + 115200,134400           (500kHz)
 ; + 201600,230400,268800    (1MHz)
 ; + 403200,460800,614400    (2MHz)
 ; + 806400,921600,1228800   (4MHz)
-; + 2457600                 (MSP430FR2xxx families,8MHz)
-; + 3000000                 (MSP430FR5xxx families,8MHz)
-; + 3000000                 (MSP430FR2xxx families,16MHz)
-; + 6000000                 (MSP430FR5xxx families,16MHz)  (shorten the 1m cable or use a Si8622EC-B-IS to regenerate TTL levels)
-;                           (MSP430FR57xx 24MHz )
+; + 2457600                 (8MHz,PL2303TA)
+; + 1843200,2457600         (8MHz,PL2303HXD)
+; + 3MBds                   (16MHz,PL2303TA)
+; + 3MBds,4MBds,5MBds       (16MHz,PL2303HXD)
+; + 6MBds                   (MSP430FR57xx family,24MHz)
 
 
 ; UARTtoUSB module with Silabs CP2102 (supply current = 20 mA)
@@ -127,10 +153,8 @@ UTILITY             ;; +  426/508 bytes : add .S .RS WORDS U.R DUMP ?
 ; + 57600 (500kHz)
 ; + 115200,134400,230400 (1MHz)
 ; + 460800 (2MHz)
-; + 921600 (4MHz)
-; + 1382400,1843200 (8MHz) (must be reprogrammed)
-; + 4000000 (16MHz,24MHz) (must be reprogrammed)
-; ...But beyond 921600 bds, while the download is done without errors, some TX chars issued by FAST FORTH are lost ...
+; + 921600 (4MHz,8MHz,16MHz,24MHz)
+
 
 ; Launchpad --- UARTtoUSB device
 ;        RX <-- TX
@@ -159,11 +183,11 @@ UTILITY             ;; +  426/508 bytes : add .S .RS WORDS U.R DUMP ?
 ;       RTS --> CTS
 ;       GND <-> GND
 
-; notice that the control flow seems not necessary for TX
+; notice that the control flow seems not necessary for TX (CTS pin)
 
 ; UARTtoUSB module with PL2303TA/HXD
 ; --------------------------------------------------------------------------------------------
-; WARNING ! if you use PL2303TA cable as supply, open box before to weld red wire on 3v3 pad !
+; WARNING ! if you use PL2303HXD cable as supply, open box before to weld red wire on 3v3 pad !
 ; --------------------------------------------------------------------------------------------
 ; 9600,19200,38400,57600    (250kHz)
 ; + 115200,134400           (500kHz)
@@ -194,41 +218,44 @@ UTILITY             ;; +  426/508 bytes : add .S .RS WORDS U.R DUMP ?
 
 ; don't forget : save new TERATERM configuration !
 
-
 ; ------------------------------------------------------------------------------
-; DTCforthMSP430FR5xxx Init vocabulary pointers:
+; UARTtoBluetooth 4.2 module (RN4870/RN4871 MIKROE click 2543/2544) at 921600 bds
 ; ------------------------------------------------------------------------------
+; UARTtoBluetooth 2.0 module (RN42 sparkfun bluesmirf) at 921600bds
+; ------------------------------------------------------------------------------
+; 9600,19200,38400,57600,115200 (500kHz)
+; + 230400 (1MHz)
+; + 460800 (2MHz)
+; + 921600 (4,8,16 MHz)
 
-    .IF THREADS = 1
-
-voclink     .set 0                      ; init vocabulary links
-forthlink   .set 0
-asmlink     .set 0
-
-FORTHWORD   .MACRO  name
-            .word   forthlink
-forthlink   .set    $
-            .byte   STRLEN(name),name
-;            .align  2
-            .ENDM
-
-FORTHWORDIMM .MACRO  name
-            .word   forthlink
-forthlink   .set    $
-            .byte   STRLEN(name)+128,name
-;            .align  2
-            .ENDM
-
-asmword     .MACRO  name
-            .word   asmlink
-asmlink     .set    $
-            .byte   STRLEN(name),name
-;            .align  2
-            .ENDM
+; RN42 config : connect RN41/RN42 module on teraterm, via USBtoUART bridge, 
+; -----------   8n1, 115200 bds, no flow control, echo on 
+;               $$$         // enter control mode, response: AOK
+;               SU,92       // set 921600 bds, response: AOK
+;               R,1         // reset module to take effect
+;
+;               connect RN42 module on FastForth target
+;               add new bluetooth device on windows, password=1234
+;               open the created output COMx port with TERATERM at 921600bds
 
-    .ELSE
-    .include "ForthThreads.mac"
-    .ENDIF
+
+; TERATERM config terminal      : NewLine receive : AUTO,
+;                                 NewLine transmit : CR+LF
+;                                 Size : 128 chars x 49 lines (adjust lines to your display)
+
+; TERATERM config serial port   : TERMINALBAUDRATE value,
+;                                 8bits, no parity, 1Stopbit,
+;                                 Hardware flow control or software flow control or ...no flow control!
+;                                 delay = 0ms/line, 0ms/char
+
+; in fact, compared to using a UART USB bridge, only the COMx port is to be updated.
+; don't forget : save new TERATERM configuration !
+
+; ------------------------------------------------------------------------------
+
+    .include "Target.inc" ; to define target config: I/O, memory, SFR, vectors, TERMINAL eUSCI, SD_Card eUSCI, LF_XTAL,
+
+    .include "ForthThreads.mac" ; init vocabulary pointers
 
 ;-------------------------------------------------------------------------------
 ; DTCforthMSP430FR5xxx RAM memory map:
@@ -300,7 +327,7 @@ BASE_HOLD       .equ HOLDS_ORG+HOLD_SIZE
 
 
 ; ----------------------------------------------------
-; RAMSTART + $1B2 : RAM VARIABLES initialised by RESET
+; RAMSTART + $1B2 : RAM VARIABLES
 ; ----------------------------------------------------
 
 HP              .equ BASE_HOLD      ; HOLD ptr
@@ -311,8 +338,8 @@ LAST_CFA        .equ BASE_HOLD+8
 LAST_PSP        .equ BASE_HOLD+10
 STATE           .equ BASE_HOLD+12   ; Interpreter state
 SAV_CURRENT     .equ BASE_HOLD+14   ; preserve CURRENT during create assembler words
-OPCODE          .equ BASE_HOLD+16   ; OPCODE adr
-ASMTYPE         .equ BASE_HOLD+18   ; keep the opcode complement
+OPCODE          .equ BASE_HOLD+16   ; OPCODE adr; also used by :NONAME
+ASMTYPE         .equ BASE_HOLD+18   ; keep the opcode complement; also used by :NONAME
 SOURCE          .equ BASE_HOLD+20       
 SOURCE_LEN      .equ BASE_HOLD+20       
 SOURCE_ADR      .equ BASE_HOLD+22   ; len, addr of input stream
@@ -397,7 +424,7 @@ INFO_BASE_END
 SD_ORG_DATA
 
 ; ---------------------------------------
-; FAT16 FileSystemInfos
+; FAT FileSystemInfos
 ; ---------------------------------------
 FATtype         .equ SD_ORG_DATA+2
 BS_FirstSectorL .equ SD_ORG_DATA+4  ; init by SD_Init, used by RW_Sector_CMD
@@ -449,8 +476,8 @@ CurrentHdl      .equ SD_FAT_LEVEL+16    ; contains the address of the last opene
 ; Load file operation
 ; ---------------------------------------
 
-pathname        .equ SD_FAT_LEVEL+18    ; or any string...
-EndOfPath       .equ SD_FAT_LEVEL+20    ; or of any string...
+pathname        .equ SD_FAT_LEVEL+18    ; start address
+EndOfPath       .equ SD_FAT_LEVEL+20    ; end address
 
 ; ---------------------------------------
 
@@ -511,7 +538,7 @@ LoadStackEnd    .equ LOAD_STACK+LOADSTACK_SIZE*6 ; 3 words by handle
 SDIB_I2CADR     .equ SDIB_ORG-4    
 SDIB_I2CCNT     .equ SDIB_ORG-2
 SDIB_ORG        .equ LoadStackEnd+4
-SDIB_LEN        .equ 84
+SDIB_LEN        .equ 84             ; = TIB_LEN = PAD_LEN
 
     .ENDIF ; RAM_Size
 
@@ -544,6 +571,12 @@ RSP         .reg    R1      ; RSP = Return Stack Pointer (return stack)
 rDODOES     .reg    r4
 rDOCON      .reg    r5
 rDOVAR      .reg    r6
+rDOCOL      .reg    R7      ; COLD defines xdocol as R7 content
+
+L           .reg    R7
+M           .reg    r6      ; ex. PUSHM L,N  
+N           .reg    r5
+P           .reg    r4
 
 ; Scratch registers
 Y           .reg    R8
@@ -574,7 +607,6 @@ FORTHtoASM  .MACRO          ; compiled by HI2LO
     .CASE 1 ; DOCOL = CALL rDOCOL
 ;-------------------------------------------------------------------------------
 
-rDOCOL      .reg    R7      ; COLD defines xdocol as R7 content
 
 xdocol      MOV @RSP+,W     ; 2
             PUSH IP         ; 3     save old IP on return stack
@@ -587,7 +619,7 @@ ASMtoFORTH  .MACRO          ; compiled by LO2HI
             .ENDM           ;
 
 mDOCOL      .MACRO          ; compiled by : and by colon
-            CALL R7         ; 1 word, 14 cycles (CALL included) = ITC+4
+            CALL rDOCOL     ; 1 word, 14 cycles (CALL included) = ITC+4
             .ENDM           ;
 
 DOCOL1      .equ    1287h   ; 4 CALL R7
@@ -690,17 +722,17 @@ xdodoes   ; -- a-addr       ; 4 for CALL rDODOES
 ;C EXIT     --      exit a colon definition; CALL #EXIT performs ASMtoFORTH (10 cycles)
 ;                                            JMP #EXIT performs EXIT
             FORTHWORD "EXIT"
-EXIT        MOV     @RSP+,IP    ; 2 pop previous IP (or next PC) from return stack
-            MOV     @IP+,PC     ; 4 = NEXT
+EXIT        MOV @RSP+,IP        ; 2 pop previous IP (or next PC) from return stack
+            MOV @IP+,PC         ; 4 = NEXT
                                 ; 6 = ITC - 2
 
 ;Z lit      -- x    fetch inline literal to stack
-; This is the primitive compiled by LITERAL.
+; This is the execution part of LITERAL.
             FORTHWORD "LIT"
-lit         SUB     #2,PSP      ; 2  push old TOS..
-            MOV     TOS,0(PSP)  ; 3  ..onto stack
-            MOV     @IP+,TOS    ; 2  fetch new TOS value
-            MOV     @IP+,PC     ; 4  NEXT
+lit         SUB #2,PSP          ; 2  push old TOS..
+            MOV TOS,0(PSP)      ; 3  ..onto stack
+            MOV @IP+,TOS        ; 2  fetch new TOS value
+            MOV @IP+,PC         ; 4  NEXT
                                 ; 11 = ITC - 2
 
 ;-------------------------------------------------------------------------------
@@ -710,85 +742,85 @@ lit         SUB     #2,PSP      ; 2  push old TOS..
 ;https://forth-standard.org/standard/core/DUP
 ;C DUP      x -- x x      duplicate top of stack
             FORTHWORD "DUP"
-DUP         SUB     #2,PSP          ; 2  push old TOS..
-            MOV     TOS,0(PSP)      ; 3  ..onto stack
-            mNEXT                   ; 4
+DUP         SUB #2,PSP          ; 2  push old TOS..
+            MOV TOS,0(PSP)      ; 3  ..onto stack
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/qDUP
 ;C ?DUP     x -- 0 | x x    DUP if nonzero
             FORTHWORD "?DUP"
-QDUP        CMP     #0,TOS          ; 2  test for TOS nonzero
-            JNZ     DUP             ; 2
-            mNEXT                   ; 4
+QDUP        CMP #0,TOS          ; 2  test for TOS nonzero
+            JNZ DUP             ; 2
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/DROP
 ;C DROP     x --          drop top of stack
             FORTHWORD "DROP"
-DROP        MOV     @PSP+,TOS       ; 2
-            mNEXT                   ; 4
+DROP        MOV @PSP+,TOS       ; 2
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/NIP
 ;C NIP      x1 x2 -- x2         Drop the first item below the top of stack
             FORTHWORD "NIP"
-NIP         ADD #2,PSP              ; 1
-            mNEXT                   ; 4
+NIP         ADD #2,PSP          ; 1
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/SWAP
 ;C SWAP     x1 x2 -- x2 x1    swap top two items
             FORTHWORD "SWAP"
-SWAP        MOV     @PSP,W          ; 2
-            MOV     TOS,0(PSP)      ; 3
-            MOV     W,TOS           ; 1
-            mNEXT                   ; 4
+SWAP        MOV @PSP,W          ; 2
+            MOV TOS,0(PSP)      ; 3
+            MOV W,TOS           ; 1
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/OVER
 ;C OVER    x1 x2 -- x1 x2 x1
             FORTHWORD "OVER"
-OVER        MOV     TOS,-2(PSP)     ; 3 -- x1 (x2) x2
-            MOV     @PSP,TOS        ; 2 -- x1 (x2) x1
-            SUB     #2,PSP          ; 2 -- x1 x2 x1
-            mNEXT                   ; 4
+OVER        MOV TOS,-2(PSP)     ; 3 -- x1 (x2) x2
+            MOV @PSP,TOS        ; 2 -- x1 (x2) x1
+            SUB #2,PSP          ; 2 -- x1 x2 x1
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/ROT
 ;C ROT    x1 x2 x3 -- x2 x3 x1
             FORTHWORD "ROT"
-ROT         MOV     @PSP,W          ; 2 fetch x2
-            MOV     TOS,0(PSP)      ; 3 store x3
-            MOV     2(PSP),TOS      ; 3 fetch x1
-            MOV     W,2(PSP)        ; 3 store x2
-            mNEXT                   ; 4
+ROT         MOV @PSP,W          ; 2 fetch x2
+            MOV TOS,0(PSP)      ; 3 store x3
+            MOV 2(PSP),TOS      ; 3 fetch x1
+            MOV W,2(PSP)        ; 3 store x2
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/toR
 ;C >R    x --   R: -- x   push to return stack
             FORTHWORD ">R"
-TOR         PUSH    TOS
-            MOV     @PSP+,TOS
+TOR         PUSH TOS
+            MOV @PSP+,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/Rfrom
 ;C R>    -- x    R: x --   pop from return stack ; CALL #RFROM performs DOVAR
             FORTHWORD "R>"
-RFROM       SUB     #2,PSP          ; 1
-            MOV     TOS,0(PSP)      ; 3
-            MOV     @RSP+,TOS       ; 2
-            mNEXT                   ; 4
+RFROM       SUB #2,PSP          ; 1
+            MOV TOS,0(PSP)      ; 3
+            MOV @RSP+,TOS       ; 2
+            mNEXT               ; 4
 
 ;https://forth-standard.org/standard/core/RFetch
 ;C R@    -- x     R: x -- x   fetch from rtn stk
             FORTHWORD "R@"
-RFETCH      SUB     #2,PSP
-            MOV     TOS,0(PSP)
-            MOV     @RSP,TOS
+RFETCH      SUB #2,PSP
+            MOV TOS,0(PSP)
+            MOV @RSP,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/DEPTH
 ;C DEPTH    -- +n        number of items on stack, must leave 0 if stack empty
             FORTHWORD "DEPTH"
-DEPTH       MOV     TOS,-2(PSP)
-            MOV     #PSTACK,TOS
-            SUB     PSP,TOS       ; PSP-S0--> TOS
-            SUB     #2,PSP        ; post decrement stack...
-            RRA     TOS           ; TOS/2   --> TOS
+DEPTH       MOV TOS,-2(PSP)
+            MOV #PSTACK,TOS
+            SUB PSP,TOS       ; PSP-S0--> TOS
+            SUB #2,PSP        ; post decrement stack...
+            RRA TOS           ; TOS/2   --> TOS
             mNEXT
 
 ;-------------------------------------------------------------------------------
@@ -798,28 +830,28 @@ DEPTH       MOV     TOS,-2(PSP)
 ;https://forth-standard.org/standard/core/Fetch
 ;C @       a-addr -- x   fetch cell from memory
             FORTHWORD "@"
-FETCH       MOV     @TOS,TOS
+FETCH       MOV @TOS,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/Store
 ;C !        x a-addr --   store cell in memory
             FORTHWORD "!"
-STORE       MOV     @PSP+,0(TOS)    ;4
-            MOV     @PSP+,TOS       ;2
-            mNEXT                   ;4
+STORE       MOV @PSP+,0(TOS)    ;4
+            MOV @PSP+,TOS       ;2
+            mNEXT               ;4
 
 ;https://forth-standard.org/standard/core/CFetch
 ;C C@     c-addr -- char   fetch char from memory
             FORTHWORD "C@"
-CFETCH      MOV.B   @TOS,TOS        ;2
-            mNEXT                   ;4
+CFETCH      MOV.B @TOS,TOS      ;2
+            mNEXT               ;4
 
 ;https://forth-standard.org/standard/core/CStore
 ;C C!      char c-addr --    store char in memory
             FORTHWORD "C!"
-CSTORE      MOV.B   @PSP+,0(TOS);4
-            ADD     #1,PSP      ;1
-            MOV     @PSP+,TOS   ;2
+CSTORE      MOV.B @PSP+,0(TOS)  ;4
+            ADD #1,PSP          ;1
+            MOV @PSP+,TOS       ;2
             mNEXT
 
 ;-------------------------------------------------------------------------------
@@ -829,56 +861,38 @@ CSTORE      MOV.B   @PSP+,0(TOS);4
 ;https://forth-standard.org/standard/core/Plus
 ;C +       n1/u1 n2/u2 -- n3/u3     add n1+n2
             FORTHWORD "+"
-PLUS        ADD     @PSP+,TOS
+PLUS        ADD @PSP+,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/Minus
 ;C -      n1/u1 n2/u2 -- n3/u3      n3 = n1-n2
             FORTHWORD "-"
-MINUS       SUB     @PSP+,TOS   ;2  -- n2-n1
-NEGATE      XOR     #-1,TOS     ;1
-ONEPLUS     ADD     #1,TOS      ;1  -- n3 = -(n2-n1)
-            mNEXT
-
-;https://forth-standard.org/standard/core/AND
-;C AND    x1 x2 -- x3           logical AND
-            FORTHWORD "AND"
-ANDD        AND     @PSP+,TOS
+MINUS       SUB @PSP+,TOS   ;2  -- n2-n1
+NEGATE      XOR #-1,TOS     ;1
+            ADD #1,TOS      ;1  -- n3 = -(n2-n1)
             mNEXT
 
-;https://forth-standard.org/standard/core/OR
-;C OR     x1 x2 -- x3           logical OR
-            FORTHWORD "OR"
-ORR         BIS     @PSP+,TOS
+;https://forth-standard.org/standard/core/OnePlus
+;C 1+      n1/u1 -- n2/u2       add 1 to TOS
+            FORTHWORD "1+"
+ONEPLUS     ADD #1,TOS
             mNEXT
 
-;https://forth-standard.org/standard/core/XOR
-;C XOR    x1 x2 -- x3           logical XOR
-            FORTHWORD "XOR"
-XORR        XOR     @PSP+,TOS
-            mNEXT
-
-;https://forth-standard.org/standard/core/NEGATE
-;C NEGATE   x1 -- x2            two's complement
-            FORTHWORD "NEGATE"
-            JMP NEGATE
-
-;https://forth-standard.org/standard/core/ABS
-;C ABS     n1 -- +n2     absolute value
-            FORTHWORD "ABS"
-ABBS        CMP     #0,TOS       ; 1
-            JN      NEGATE
+;https://forth-standard.org/standard/core/OneMinus
+;C 1-      n1/u1 -- n2/u2     subtract 1 from TOS
+            FORTHWORD "1-"
+ONEMINUS    SUB #1,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/double/DABS
 ;C DABS     d1 -- |d1|     absolute value
             FORTHWORD "DABS"
-DABBS       AND     #-1,TOS     ; clear V, set N
-            JGE     DABBSEND    ; JMP if positive
-DNEGATE     XOR     #-1,0(PSP)
-            XOR     #-1,TOS
-            ADD     #1,0(PSP)
-            ADDC    #0,TOS
+DABBS       AND #-1,TOS     ; clear V, set N
+            JGE DABBSEND    ; JMP if positive
+DNEGATE     XOR #-1,0(PSP)
+            XOR #-1,TOS
+            ADD #1,0(PSP)
+            ADDC #0,TOS
 DABBSEND    mNEXT
 
 ;-------------------------------------------------------------------------------
@@ -888,100 +902,97 @@ DABBSEND    mNEXT
 ;https://forth-standard.org/standard/core/ZeroEqual
 ;C 0=     n/u -- flag    return true if TOS=0
             FORTHWORD "0="
-ZEROEQUAL   SUB     #1,TOS      ; borrow (clear cy) if TOS was 0
-            SUBC    TOS,TOS     ; TOS=-1 if borrow was set
+ZEROEQUAL   SUB #1,TOS      ; borrow (clear cy) if TOS was 0
+            SUBC TOS,TOS    ; TOS=-1 if borrow was set
             mNEXT
 
 ;https://forth-standard.org/standard/core/Zeroless
 ;C 0<     n -- flag      true if TOS negative
             FORTHWORD "0<"
-ZEROLESS    ADD     TOS,TOS     ;1 set carry if TOS negative
-            SUBC    TOS,TOS     ;1 TOS=-1 if carry was clear
-            XOR     #-1,TOS     ;1 TOS=-1 if carry was set
+ZEROLESS    ADD TOS,TOS     ;1 set carry if TOS negative
+            SUBC TOS,TOS    ;1 TOS=-1 if carry was clear
+            XOR #-1,TOS     ;1 TOS=-1 if carry was set
             mNEXT
 
-;https://forth-standard.org/standard/core/Zeromore
-;C 0>     n -- flag      true if TOS positive
-            FORTHWORD "0>"
-ZEROMORE    CMP     #1,TOS
-            JGE     TOSTRUE
-            JMP     TOSFALSE
-
 ;https://forth-standard.org/standard/core/Equal
 ;C =      x1 x2 -- flag         test x1=x2
             FORTHWORD "="
-EQUAL       SUB     @PSP+,TOS   ;2
-            JNZ     TOSFALSE    ;2 --> +4
-TOSTRUE     MOV     #-1,TOS     ;1
-            mNEXT               ;4
+EQUAL       SUB @PSP+,TOS   ;2
+            JNZ TOSFALSE    ;2 --> +4
+TOSTRUE     MOV #-1,TOS     ;1
+            mNEXT           ;4
 
 ;https://forth-standard.org/standard/core/less
 ;C <      n1 n2 -- flag        test n1<n2, signed
             FORTHWORD "<"
-LESS        MOV     @PSP+,W     ;2 W=n1
-            SUB     TOS,W       ;1 W=n1-n2 flags set
-            JL      TOSTRUE     ;2
-TOSFALSE    MOV     #0,TOS      ;1
-            mNEXT               ;4
+LESS        MOV @PSP+,W     ;2 W=n1
+            SUB TOS,W       ;1 W=n1-n2 flags set
+LESSNEXT    JL TOSTRUE      ;2
+TOSFALSE    MOV #0,TOS      ;1
+            mNEXT           ;4
 
 ;https://forth-standard.org/standard/core/more
 ;C >     n1 n2 -- flag         test n1>n2, signed
             FORTHWORD ">"
-GREATER     SUB     @PSP+,TOS   ;2 TOS=n2-n1
-            JL      TOSTRUE     ;2
-            MOV     #0,TOS      ;1
-            mNEXT               ;4
+GREATER     SUB @PSP+,TOS   ;2 TOS=n2-n1
+            JMP LESSNEXT
+
+;https://forth-standard.org/standard/core/Zeromore
+;C 0>     n -- flag      true if TOS positive
+            FORTHWORD "0>"
+ZEROMORE    CMP #1,TOS
+            JGE TOSTRUE
+            JMP TOSFALSE
 
 ;https://forth-standard.org/standard/core/Uless
 ;C U<    u1 u2 -- flag       test u1<u2, unsigned
             FORTHWORD "U<"
-ULESS       MOV     @PSP+,W     ;2
-            SUB     TOS,W       ;1 u1-u2 in W, carry clear if borrow
-            JNC     TOSTRUE     ;2
-            MOV     #0,TOS      ;1
-            mNEXT               ;4
+ULESS       MOV @PSP+,W     ;2
+            SUB TOS,W       ;1 u1-u2 in W, carry clear if borrow
+            JNC TOSTRUE     ;2
+            JMP TOSFALSE
 
 ;-------------------------------------------------------------------------------
 ; BRANCH and LOOP OPERATORS
 ;-------------------------------------------------------------------------------
 
 ;Z branch   --                  branch always
-BRAN        MOV     @IP,IP      ; 2
-            mNEXT               ; 4
+BRAN        MOV @IP,IP      ; 2
+            mNEXT           ; 4
 
 ;Z ?branch   x --              branch if TOS = zero
-QBRAN       CMP     #0,TOS      ; 1  test TOS value
-QBRAN1      MOV     @PSP+,TOS   ; 2  pop new TOS value (doesn't change flags)
-            JZ      bran        ; 2  if TOS was zero, take the branch = 11 cycles
-            ADD     #2,IP       ; 1  else skip the branch destination
-            mNEXT               ; 4  ==> branch not taken = 10 cycles
+QBRAN       CMP #0,TOS      ; 1  test TOS value
+QBRAN1      MOV @PSP+,TOS   ; 2  pop new TOS value (doesn't change flags)
+            JZ bran         ; 2  if TOS was zero, take the branch = 11 cycles
+            ADD #2,IP       ; 1  else skip the branch destination
+            mNEXT           ; 4  ==> branch not taken = 10 cycles
 
 ;Z 0?branch   x --              branch if TOS <> zero
-QZBRAN      SUB     #1,TOS      ; 1 borrow (clear cy) if TOS was 0
-            SUBC    TOS,TOS     ; 1 TOS=-1 if borrow was set
-            JMP QBRAN1          ; 2
+QZBRAN      SUB #1,TOS      ; 1 borrow (clear cy) if TOS was 0
+            SUBC TOS,TOS    ; 1 TOS=-1 if borrow was set
+            JMP QBRAN1      ; 2
 
 
 ;Z (do)    n1|u1 n2|u2 --  R: -- sys1 sys2      run-time code for DO
 ;                                               n1|u1=limit, n2|u2=index
-xdo         MOV     #8000h,X        ;2 compute 8000h-limit "fudge factor"
-            SUB     @PSP+,X         ;2
-            MOV     TOS,Y           ;1 loop ctr = index+fudge
-            MOV     @PSP+,TOS       ;2 pop new TOS
-            ADD     X,Y             ;1
-            .word 01519h            ;4 PUSHM X,Y, i.e. PUSHM LIMIT, INDEX
-            mNEXT                   ;4
+xdo         MOV #8000h,X    ;2 compute 8000h-limit "fudge factor"
+            SUB @PSP+,X     ;2
+            MOV TOS,Y       ;1 loop ctr = index+fudge
+            MOV @PSP+,TOS   ;2 pop new TOS
+            ADD X,Y         ;1
+            .word 01519h    ;4 PUSHM X,Y, i.e. PUSHM LIMIT, INDEX
+            mNEXT           ;4
 
 ;Z (+loop)   n --   R: sys1 sys2 --  | sys1 sys2
 ;                        run-time code for +LOOP
 ; Add n to the loop index.  If loop terminates, clean up the
 ; return stack and skip the branch. Else take the inline branch.
-xploop      ADD     TOS,0(RSP)  ;4 increment INDEX by TOS value
-            MOV     @PSP+,TOS   ;2 get new TOS, doesn't change flags
-xloopnext   BIT     #100h,SR    ;2 is overflow bit set?
-            JZ      bran        ;2 no overflow = loop
-            ADD     #2,IP       ;1 overflow = loop done, skip branch ofs
-UNXLOOP     ADD     #4,RSP      ;1 empty RSP
+xploop      ADD TOS,0(RSP)  ;4 increment INDEX by TOS value
+            MOV @PSP+,TOS   ;2 get new TOS, doesn't change flags
+xloopnext   BIT #100h,SR    ;2 is overflow bit set?
+            JZ bran         ;2 no overflow = loop
+            ADD #2,IP       ;1 overflow = loop done, skip branch ofs
+UNXLOOP     ADD #4,RSP      ;1 empty RSP
             mNEXT               ;4 16~ taken or not taken xloop/loop
 
 
@@ -990,53 +1001,42 @@ UNXLOOP     ADD     #4,RSP      ;1 empty RSP
 ; Add 1 to the loop index.  If loop terminates, clean up the
 ; return stack and skip the branch.  Else take the inline branch.
 ; Note that LOOP terminates when index=8000h.
-xloop       ADD     #1,0(RSP)   ;4 increment INDEX
-            JMP     xloopnext   ;2
+xloop       ADD #1,0(RSP)   ;4 increment INDEX
+            JMP xloopnext   ;2
 
 ;https://forth-standard.org/standard/core/UNLOOP
 ;C UNLOOP   --   R: sys1 sys2 --  drop loop parms
             FORTHWORD "UNLOOP"
-UNLOOP      JMP     UNXLOOP
+UNLOOP      JMP UNXLOOP
 
 ;https://forth-standard.org/standard/core/I
 ;C I        -- n   R: sys1 sys2 -- sys1 sys2
 ;C                  get the innermost loop index
             FORTHWORD "I"
-II          SUB     #2,PSP          ;1 make room in TOS
-            MOV     TOS,0(PSP)      ;3
-            MOV     @RSP,TOS        ;2 index = loopctr - fudge
-            SUB     2(RSP),TOS      ;3
-            mNEXT                   ;4 13~
+II          SUB #2,PSP      ;1 make room in TOS
+            MOV TOS,0(PSP)  ;3
+            MOV @RSP,TOS    ;2 index = loopctr - fudge
+            SUB 2(RSP),TOS  ;3
+            mNEXT           ;4 13~
 
 ;https://forth-standard.org/standard/core/J
 ;C J        -- n   R: 4*sys -- 4*sys
 ;C                  get the second loop index
             FORTHWORD "J"
-JJ          SUB     #2,PSP          ; make room in TOS
-            MOV     TOS,0(PSP)
-            MOV     4(RSP),TOS      ; index = loopctr - fudge
-            SUB     6(RSP),TOS
+JJ          SUB #2,PSP      ; make room in TOS
+            MOV TOS,0(PSP)
+            MOV 4(RSP),TOS  ; index = loopctr - fudge
+            SUB 6(RSP),TOS
             mNEXT
 
 ;-------------------------------------------------------------------------------
 ; SYSTEM  CONSTANTS
 ;-------------------------------------------------------------------------------
 
-;https://forth-standard.org/standard/core/PAD
-; PAD           --  pad address
-            FORTHWORD "PAD"
-PAD         mDOCON
-            .WORD    PAD_ORG
-
 ; CIB           --  Current_Input_Buffer_address
             FORTHWORD "CIB"
 FCIB        mDOCON
-            .WORD    TIB_ORG    ; constant, modified by open and close (as a VALUE)
-
-; CPL           --  input_buffer_lenght (CPL = Chars Per Line)
-            FORTHWORD "CPL"
-CPL         mDOCON
-            .WORD    TIB_LEN    ; constant
+            .WORD    TIB_ORG    ; constant, BUT modified as VALUE by open and close
 
 ;https://forth-standard.org/standard/core/BL
 ;C BL      -- char            an ASCII space
@@ -1048,12 +1048,6 @@ FBLANK       mDOCON
 ; SYSTEM VARIABLES
 ;-------------------------------------------------------------------------------
 
-;https://forth-standard.org/standard/core/toIN
-;C >IN     -- a-addr       holds offset in input stream
-            FORTHWORD ">IN"
-FTOIN       mDOCON
-            .word   TOIN    ; VARIABLE address in RAM space
-
 ;https://forth-standard.org/standard/core/BASE
 ;C BASE    -- a-addr       holds conversion radix
             FORTHWORD "BASE"
@@ -1113,7 +1107,7 @@ FSTATE      mDOCON
 ;https://forth-standard.org/standard/core/num-start
 ;C <#    --       begin numeric conversion (initialize Hold Pointer)
             FORTHWORD "<#"
-LESSNUM     MOV     #BASE_HOLD,&HP
+LESSNUM     MOV #BASE_HOLD,&HP
             mNEXT
 
 ;https://forth-standard.org/standard/core/UMDivMOD
@@ -1135,52 +1129,52 @@ UMSLASHMOD  PUSH #DROP          ; 3 return address for MU/MOD
 ; rDODOES = count
 
 ; MU/MOD        DVDlo DVDhi DIVlo -- REMlo QUOTlo QUOThi, used by fixpoint and #
-MUSMOD      MOV     TOS,T       ;1 T = DIVlo
-            MOV     @PSP,TOS    ;2 TOS = DVDhi
-            MOV     2(PSP),S    ;3 S = DVDlo
-MUSMOD1     MOV     #0,W        ;1  W = REMlo = 0
-MUSMOD2     MOV     #32,rDODOES ;2  init loop count
-            CMP     #0,TOS      ;1  DVDhi=0 ?
-            JNZ     MDIV1       ;2  no
-            RRA     rDODOES     ;1  yes:loop count / 2
-            MOV     S,TOS       ;1      DVDhi <-- DVDlo
-            MOV     #0,S        ;1      DVDlo <-- 0
-            MOV     #0,X        ;1      QUOTlo <-- 0 (to do QUOThi = 0 at the end of division)
-MDIV1       CMP     T,W         ;1  REMlo U>= DIVlo ?
-            JNC     MDIV2       ;2  no : carry is reset
-            SUB     T,W         ;1  yes: REMlo - DIVlo ; carry is set after soustraction!
-MDIV2       ADDC    X,X         ;1  RLC quotLO
-            ADDC    Y,Y         ;1  RLC quotHI
-            SUB     #1,rDODOES  ;1  Decrement loop counter
-            JN      ENDMDIV     ;2
-            ADD     S,S         ;1  RLA DVDlo
-            ADDC    TOS,TOS     ;1  RLC DVDhi
-            ADDC    W,W         ;1  RLC REMlo
-            JNC     MDIV1       ;2
-            SUB     T,W         ;1  REMlo - DIVlo
-            BIS     #1,SR       ;1  SETC
-            JMP     MDIV2       ;2   
+MUSMOD      MOV TOS,T           ;1 T = DIVlo
+            MOV @PSP,TOS        ;2 TOS = DVDhi
+            MOV 2(PSP),S        ;3 S = DVDlo
+MUSMOD1     MOV #0,W            ;1  W = REMlo = 0
+MUSMOD2     MOV #32,rDODOES     ;2  init loop count
+            CMP #0,TOS          ;1  DVDhi=0 ?
+            JNZ MDIV1           ;2  no
+            RRA rDODOES         ;1  yes:loop count / 2
+            MOV S,TOS           ;1      DVDhi <-- DVDlo
+            MOV #0,S            ;1      DVDlo <-- 0
+            MOV #0,X            ;1      QUOTlo <-- 0 (to do QUOThi = 0 at the end of division)
+MDIV1       CMP T,W             ;1  REMlo U>= DIVlo ?
+            JNC MDIV2           ;2  no : carry is reset
+            SUB T,W             ;1  yes: REMlo - DIVlo ; carry is set after soustraction!
+MDIV2       ADDC X,X            ;1  RLC quotLO
+            ADDC Y,Y            ;1  RLC quotHI
+            SUB #1,rDODOES      ;1  Decrement loop counter
+            JN ENDMDIV          ;2
+            ADD S,S             ;1  RLA DVDlo
+            ADDC TOS,TOS        ;1  RLC DVDhi
+            ADDC W,W            ;1  RLC REMlo
+            JNC MDIV1           ;2
+            SUB T,W             ;1  REMlo - DIVlo
+            BIS #1,SR           ;1  SETC
+            JMP MDIV2           ;2   
 ENDMDIV     MOV #xdodoes,rDODOES;2  restore rDODOES
-            MOV     W,2(PSP)    ;3  REMlo in 2(PSP)
-            MOV     X,0(PSP)    ;3  QUOTlo in 0(PSP)
-            MOV     Y,TOS       ;1  QUOThi in TOS
+            MOV W,2(PSP)        ;3  REMlo in 2(PSP)
+            MOV X,0(PSP)        ;3  QUOTlo in 0(PSP)
+            MOV Y,TOS           ;1  QUOThi in TOS
             RET                 ;4  35 words, about 252/473 cycles, not FORTH executable !
 
 ;https://forth-standard.org/standard/core/num
 ;C #     ud1lo ud1hi -- ud2lo ud2hi          convert 1 digit of output
             FORTHWORD "#"
-NUM         MOV     &BASE,T     ;3                      T = Divisor 
-NUM1        MOV     @PSP,S      ;2 -- DVDlo DVDhi       S = DVDlo
-            SUB     #2,PSP      ;1 -- DVDlo x DVDhi     TOS = DVDhi
-            CALL    #MUSMOD1    ;4 -- REMlo QUOTlo QUOThi
-            MOV     @PSP+,0(PSP);4 -- QUOTlo QUOThi  
-TODIGIT     CMP.B   #10,W       ;2  W = REMlo
-            JLO     TODIGIT1    ;2  U<
-            ADD     #7,W        ;2
-TODIGIT1    ADD     #30h,W      ;2
-HOLDW       SUB     #1,&HP      ;3  store W=char --> -[HP]
-            MOV     &HP,Y       ;3
-            MOV.B   W,0(Y)      ;3
+NUM         MOV &BASE,T         ;3                      T = Divisor 
+NUM1        MOV @PSP,S          ;2 -- DVDlo DVDhi       S = DVDlo
+            SUB #2,PSP          ;1 -- DVDlo x DVDhi     TOS = DVDhi
+            CALL #MUSMOD1       ;4 -- REMlo QUOTlo QUOThi
+            MOV @PSP+,0(PSP)    ;4 -- QUOTlo QUOThi  
+TODIGIT     CMP.B #10,W         ;2  W = REMlo
+            JLO TODIGIT1        ;2  U<
+            ADD #7,W            ;2
+TODIGIT1    ADD #30h,W          ;2
+HOLDW       SUB #1,&HP          ;3  store W=char --> -[HP]
+            MOV &HP,Y           ;3
+            MOV.B W,0(Y)        ;3
             mNEXT               ;4  26 words
 
 ;https://forth-standard.org/standard/core/numS
@@ -1189,36 +1183,36 @@ HOLDW       SUB     #1,&HP      ;3  store W=char --> -[HP]
 NUMS        mDOCOL
             .word   NUM         ;       X=QUOTlo
             FORTHtoASM          ;
-            SUB     #2,IP       ;1      restore NUM return
-            CMP     #0,X        ;1      test ud2lo first (generally true)
-            JNZ     NUM1        ;2
-            CMP     #0,TOS      ;1      then test ud2hi (generally false)
-            JNZ     NUM1        ;2
-            MOV     @RSP+,IP    ;2
+            SUB #2,IP           ;1      restore NUM return
+            CMP #0,X            ;1      test ud2lo first (generally true)
+            JNZ NUM1            ;2
+            CMP #0,TOS          ;1      then test ud2hi (generally false)
+            JNZ NUM1            ;2
+            MOV @RSP+,IP        ;2
             mNEXT               ;4 10 words, about 241/417 cycles/char
 
 ;https://forth-standard.org/standard/core/num-end
 ;C #>    udlo:udhi=0 -- c-addr u    end conversion, get string
             FORTHWORD "#>"
-NUMGREATER  MOV     &HP,0(PSP)
-            MOV     #BASE_HOLD,TOS
-            SUB     @PSP,TOS
+NUMGREATER  MOV &HP,0(PSP)
+            MOV #BASE_HOLD,TOS
+            SUB @PSP,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/HOLD
 ;C HOLD  char --        add char to output string
             FORTHWORD "HOLD"
-HOLD        MOV     TOS,W       ;1
-            MOV     @PSP+,TOS   ;2
-            JMP     HOLDW       ;15
+HOLD        MOV TOS,W           ;1
+            MOV @PSP+,TOS       ;2
+            JMP HOLDW           ;15
 
 ;https://forth-standard.org/standard/core/SIGN
 ;C SIGN  n --           add minus sign if n<0
             FORTHWORD "SIGN"
-SIGN        CMP     #0,TOS
-            MOV     @PSP+,TOS
-            MOV     #'-',W
-            JN      HOLDW       ; 0<
+SIGN        CMP #0,TOS
+            MOV @PSP+,TOS
+            MOV #'-',W
+            JN HOLDW        ; 0<
             mNEXT
 
 ;https://forth-standard.org/standard/core/Ud
@@ -1241,7 +1235,7 @@ DOT         CMP #0,TOS
             JGE UDOT
             SUB #2,PSP
             MOV TOS,0(PSP)
-            MOV #-1,TOS     ; extend sign
+            MOV #-1,TOS         ; extend sign
             JMP DDOT
 
 ;-------------------------------------------------------------------------------
@@ -1251,25 +1245,25 @@ DOT         CMP #0,TOS
 ;https://forth-standard.org/standard/core/HERE
 ;C HERE    -- addr      returns dictionary ptr
             FORTHWORD "HERE"
-HERE        SUB     #2,PSP
-            MOV     TOS,0(PSP)
-            MOV     &DDP,TOS
+HERE        SUB #2,PSP
+            MOV TOS,0(PSP)
+            MOV &DDP,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/ALLOT
 ;C ALLOT   n --         allocate n bytes in dict
             FORTHWORD "ALLOT"
-ALLOT       ADD     TOS,&DDP
-            MOV     @PSP+,TOS
+ALLOT       ADD TOS,&DDP
+            MOV @PSP+,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/CComma
 ;C C,   char --        append char to dict
             FORTHWORD "C,"
-CCOMMA      MOV     &DDP,W
-            MOV.B   TOS,0(W)
-            ADD     #1,&DDP
-            MOV     @PSP+,TOS
+CCOMMA      MOV &DDP,W
+            MOV.B TOS,0(W)
+            ADD #1,&DDP
+            MOV @PSP+,TOS
             mNEXT
 
 ; ------------------------------------------------------------------------------
@@ -1278,20 +1272,20 @@ CCOMMA      MOV     &DDP,W
 
 ;Z (KEY)    -- c      get character from the terminal
             FORTHWORD "(KEY)"
-PARENKEY    MOV     &TERMRXBUF,Y        ; empty buffer
-            SUB     #2,PSP              ; 1  push old TOS..
-            MOV     TOS,0(PSP)          ; 4  ..onto stack
-            CALL    #RXON
-KEYLOOP     BIT     #UCRXIFG,&TERMIFG   ; loop if bit0 = 0 in interupt flag register
-            JZ      KEYLOOP             ;
-            MOV     &TERMRXBUF,TOS      ;
-            CALL    #RXOFF              ;
+PARENKEY    MOV &TERMRXBUF,Y        ; empty buffer
+            SUB #2,PSP              ; 1  push old TOS..
+            MOV TOS,0(PSP)          ; 4  ..onto stack
+            CALL #RXON
+KEYLOOP     BIT #UCRXIFG,&TERMIFG   ; loop if bit0 = 0 in interupt flag register
+            JZ KEYLOOP              ;
+            MOV &TERMRXBUF,TOS      ;
+            CALL #RXOFF             ;
             mNEXT
 
 ;https://forth-standard.org/standard/core/KEY
 ;C KEY      -- c      wait character from input device ; deferred word
             FORTHWORD "KEY"
-KEY         MOV     @PC+,PC
+KEY         MOV @PC+,PC
             .word   PARENKEY
 
 ;-------------------------------------------------------------------------------
@@ -1306,9 +1300,9 @@ DEFER_ACCEPT
     .IFDEF DEFER_ACCEPT
 
 ;https://forth-standard.org/standard/core/ACCEPT
-;C ACCEPT  addr addr len -- addr' len'  get line at addr to interpret len' chars
+;C ACCEPT  addr addr len -- addr len'  get line at addr to interpret len' chars
             FORTHWORD "ACCEPT"
-ACCEPT      MOV     @PC+,PC             ;3
+ACCEPT      MOV @PC+,PC             ;3
             .word   PARENACCEPT
 
 ;C (ACCEPT)  addr addr len -- addr len'     get len' (up to len) chars from terminal (TERATERM.EXE) via USBtoUART bridge
@@ -1318,7 +1312,7 @@ PARENACCEPT
     .ELSE
 
 ;https://forth-standard.org/standard/core/ACCEPT
-;C ACCEPT  addr addr len -- addr' len'  get line at addr to interpret len' chars
+;C ACCEPT  addr addr len -- addr len'  get line at addr to interpret len' chars
             FORTHWORD "ACCEPT"
 ACCEPT
 
@@ -1335,69 +1329,72 @@ ACCEPT
 ; 2- the char loop time,
 ; 3- the time between sending XON/RTS_low and clearing UCRXIFG on first received char,
 ; everything must be done to reduce these times, taking into account the necessity of switching to SLEEP (LPMx mode).
-; --------------------------------------;
-; (ACCEPT) part I: prepare TERMINAL_INT ;
-; --------------------------------------;
-            MOV     #ENDACCEPT,S        ;2              S = ACCEPT XOFF return
-            MOV     #AKEYREAD1,T        ;2              T = default XON return
-            .word   152Dh               ;5              PUSHM IP,S,T, as IP ret, XOFF ret, XON ret
-            MOV     TOS,W               ;1 -- addr len
-            MOV     @PSP,TOS            ;2 -- org ptr                                             )
-            ADD     TOS,W               ;1 -- org ptr   W=Bound                                   )
-            MOV     #0Dh,T              ;2              T = 'CR' to speed up char loop in part II  > prepare stack and registers
-            MOV     #20h,S              ;2              S = 'BL' to speed up char loop in part II )  for TERMINAL_INT use
-            MOV     #AYEMIT_RET,IP      ;2              IP = return for YEMIT                     )
-            BIT     #UCRXIFG,&TERMIFG   ;3              RX_Int ?
-            JZ      ACCEPTNEXT          ;2              no : case of quiet input terminal
-            MOV     &TERMRXBUF,Y        ;3              yes: clear RX_Int
-            CMP     #0Ah,Y              ;2                   received char = LF ? (end of downloading ?)
-            JNZ     RXON                ;2                   no : RXON return = AKEYREAD1, to process first char of new line.
-ACCEPTNEXT  ADD     #2,RSP              ;1                   yes: remove AKEYREAD1 as XON return,
-            MOV     #SLEEP,X            ;2                        and set XON return = SLEEP
-            .word   154Dh               ;7                        PUSHM IP,S,T,W,X before SLEEP (and so WAKE on any interrupts)
-; --------------------------------------;
-RXON                                    ;
-; --------------------------------------;
-    .IFDEF TERMINAL3WIRES               ;
-RXON_LOOP   BIT     #UCTXIFG,&TERMIFG   ;3  wait the sending end of XON, useless at high baudrates
-            JZ      RXON_LOOP           ;2
-            MOV     #17,&TERMTXBUF      ;4  move char XON into TX_buf
-    .ENDIF                              ;
-    .IFDEF TERMINAL4WIRES               ;
-            BIC.B   #RTS,&HANDSHAKOUT   ;4  set RTS low
-    .ENDIF                              ;
-; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
-; starts first and 3th stopwatches      ;
-; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
-            RET                         ;4  to BACKGND (End of file download or quiet input) or AKEYREAD1 (get next line of file downloading)
-; --------------------------------------;   ...or user defined
-
-
-; --------------------------------------;
-RXOFF                                   ;
-; --------------------------------------;
-    .IFDEF TERMINAL3WIRES               ;
-RXOFF_LOOP  BIT     #UCTXIFG,&TERMIFG   ;3  wait the sending end of XOFF, useless at high baudrates
-            JZ      RXOFF_LOOP          ;2
-            MOV     #19,&TERMTXBUF      ;4 move XOFF char into TX_buf
-    .ENDIF                              ;
-    .IFDEF TERMINAL4WIRES               ;
-            BIS.B   #RTS,&HANDSHAKOUT   ;4 set RTS high
-    .ENDIF                              ;
-            RET                         ;4 to ENDACCEPT, ...or user defined
-; --------------------------------------;
-
-
-; --------------------------------------;
-    ASMWORD "SLEEP"                     ; may be redirected
-SLEEP       MOV     @PC+,PC             ;3
-            .word   PARENSLEEP          ;
-; --------------------------------------;
-
-; --------------------------------------;
-    ASMWORD "(SLEEP)"                   ;
-PARENSLEEP  BIS &LPM_MODE,SR            ;3  enter in LPMx sleep mode with GIE=1
-; --------------------------------------;   default FAST FORTH mode (for its input terminal use) : LPM0.
+; ----------------------------------;
+; (ACCEPT) I prepare TERMINAL_INT   ;
+; ----------------------------------;
+    .IFDEF TOTAL
+            .word 1537h             ;6              push R7,R6,R5,R4
+    .ENDIF                          ;
+            MOV #ENDACCEPT,S        ;2              S = ACCEPT XOFF return
+            MOV #AKEYREAD1,T        ;2              T = default XON return
+            .word 152Dh             ;5              PUSHM IP,S,T, as IP ret, XOFF ret, XON ret
+            MOV TOS,W               ;1 -- addr len
+            MOV @PSP,TOS            ;2 -- org ptr                                             )
+            ADD TOS,W               ;1 -- org ptr   W=Bound                                   )
+            MOV #0Dh,T              ;2              T = 'CR' to speed up char loop in part II  > prepare stack and registers
+            MOV #20h,S              ;2              S = 'BL' to speed up char loop in part II )  for TERMINAL_INT use
+            MOV #AYEMIT_RET,IP      ;2              IP = return for YEMIT                     )
+            BIT #UCRXIFG,&TERMIFG   ;3              RX_Int ?
+            JZ ACCEPTNEXT           ;2              no : case of quiet input terminal
+            MOV &TERMRXBUF,Y        ;3              yes: clear RX_Int
+            CMP #0Ah,Y              ;2                   received char = LF ? (end of downloading ?)
+            JNZ RXON                ;2                   no : RXON return = AKEYREAD1, to process first char of new line.
+ACCEPTNEXT  ADD #2,RSP              ;1                   yes: remove AKEYREAD1 as XON return,
+            MOV #SLEEP,X            ;2                        and set XON return = SLEEP
+            .word 154Dh             ;7                        PUSHM IP,S,T,W,X before SLEEP (and so WAKE on any interrupts)
+; ----------------------------------;
+RXON                                ;
+; ----------------------------------;
+    .IFDEF TERMINAL3WIRES           ;
+    .IF TERMINALBAUDRATE/FREQUENCY <230400
+RXON_LOOP   BIT #UCTXIFG,&TERMIFG   ;3  wait the sending end of XON, useless at high baudrates
+            JZ RXON_LOOP            ;2
+    .ENDIF
+            MOV #17,&TERMTXBUF      ;4  move char XON into TX_buf
+    .ENDIF                          ;
+    .IFDEF TERMINAL4WIRES           ;
+            BIC.B #RTS,&HANDSHAKOUT ;4  set RTS low
+    .ENDIF                          ;
+; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
+; starts first and 3th stopwatches  ;
+; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
+            RET                     ;4  to BACKGND (End of file download or quiet input) or AKEYREAD1 (get next line of file downloading)
+; ----------------------------------;   ...or user defined
+
+
+; ----------------------------------;
+RXOFF                               ;
+; ----------------------------------;
+    .IFDEF TERMINAL3WIRES           ;
+            MOV #19,&TERMTXBUF      ;4 move XOFF char into TX_buf
+    .ENDIF                          ;
+    .IFDEF TERMINAL4WIRES           ;
+            BIS.B #RTS,&HANDSHAKOUT ;4 set RTS high
+    .ENDIF                          ;
+            RET                     ;4 to ENDACCEPT, ...or user defined
+; ----------------------------------;
+
+
+; ----------------------------------;
+    ASMWORD "SLEEP"                 ; may be redirected
+SLEEP       MOV @PC+,PC             ;3
+            .word   PARENSLEEP      ;
+; ----------------------------------;
+
+; ----------------------------------;
+    ASMWORD "(SLEEP)"               ;
+PARENSLEEP  BIS &LPM_MODE,SR        ;3  enter in LPMx sleep mode with GIE=1
+; ----------------------------------;   default FAST FORTH mode (for its input terminal use) : LPM0.
 
 ;###############################################################################################################
 ;###############################################################################################################
@@ -1422,82 +1419,88 @@ PARENSLEEP  BIS &LPM_MODE,SR            ;3  enter in LPMx sleep mode with GIE=1
 ;           or (bad idea ? previous SR flags are lost) simply : ADD #2 RSP, then RET instead of RETI
 
 
-; ======================================;
-            JMP     SLEEP               ;2  here is the return for any interrupts, else TERMINAL_INT  :-)
-; ======================================;
-
-
-; **************************************;
-TERMINAL_INT                            ; <--- TEMR RX interrupt vector, delayed by the LPMx wake up time
-; **************************************;      if wake up time increases, max bauds rate decreases...
-; (ACCEPT) part II under interrupt      ; Org Ptr -- len'
-; --------------------------------------;
-            ADD     #4,RSP              ;1  remove SR and PC from stack, SR flags are lost (unused by FORTH interpreter)
-            .word   173Ah               ;6  POPM ;W=buffer_bound, T=0Dh,S=20h, IP=AYEMIT_RET
-; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
-; starts the 2th stopwatch              ;
-; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
-AKEYREAD    MOV.B   &TERMRXBUF,Y        ;3  read character into Y, UCRXIFG is cleared
-; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
-; stops the 3th stopwatch               ; 3th bottleneck result : 17~ + LPMx wake_up time ( + 5~ XON loop if F/Bds<230400 )
-; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
-AKEYREAD1   CMP.B   S,Y                 ;1      printable char ?
-            JHS     ASTORETEST          ;2      yes
-            CMP.B   T,Y                 ;1      char = CR ?
-            JZ      RXOFF               ;2      then RET to ENDACCEPT
-; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;+ 4    to send RXOFF
-; stops the first stopwatch             ;=      first bottleneck, best case result: 27~ + LPMx wake_up time..
-; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;       ...or 14~ in case of empty line
-AQBS        CMP.B   #8,Y                ;1      char = BS ?
-            JNE     WAITaKEY            ;2      case of other control chars
-; --------------------------------------;
-; start of backspace                    ;       made only by an human
-; --------------------------------------;
-            CMP     @PSP,TOS            ;       Ptr = Org ?
-            JZ      WAITaKEY            ;       yes: do nothing
-            SUB     #1,TOS              ;       no : dec Ptr
-            JMP     YEMIT1              ;       send BS
-; --------------------------------------;
-; end of backspace                      ;
-; --------------------------------------;
-ASTORETEST  CMP     W,TOS               ; 1 Bound is reached ?
-            JZ      YEMIT1              ; 2 yes: send echo then loopback
-            MOV.B   Y,0(TOS)            ; 3 no: store char @ Ptr, send echo then loopback
-            ADD     #1,TOS              ; 1     increment Ptr
+; ==================================;
+            JMP SLEEP               ;2  here is the return for any interrupts, else TERMINAL_INT  :-)
+; ==================================;
+
+
+; **********************************;
+TERMINAL_INT                        ; <--- TEMR RX interrupt vector, delayed by the LPMx wake up time
+; **********************************;      if wake up time increases, max bauds rate decreases...
+; (ACCEPT) part II under interrupt  ; Org Ptr -- len'
+; ----------------------------------;
+            ADD #4,RSP              ;1  remove SR and PC from stack, SR flags are lost (unused by FORTH interpreter)
+            .word   173Ah           ;6  POPM ;W=buffer_bound, T=0Dh,S=20h, IP=AYEMIT_RET
+; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
+; starts the 2th stopwatch          ;
+; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
+AKEYREAD    MOV.B &TERMRXBUF,Y      ;3  read character into Y, UCRXIFG is cleared
+; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
+; stops the 3th stopwatch           ; 3th bottleneck result : 17~ + LPMx wake_up time ( + 5~ XON loop if F/Bds<230400 )
+; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
+AKEYREAD1
+            CMP.B S,Y               ;1      printable char ?
+            JHS ASTORETEST          ;2      yes
+            CMP.B T,Y               ;1      char = CR ?
+            JZ RXOFF                ;2      then RET to ENDACCEPT
+; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;+ 4    to send RXOFF
+; stops the first stopwatch         ;=      first bottleneck, best case result: 27~ + LPMx wake_up time..
+; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;       ...or 14~ in case of empty line
+            CMP.B #8,Y              ;1      char = BS ?
+            JNE WAITaKEY            ;2      case of other control chars
+; ----------------------------------;
+; start of backspace                ;       made only by an human
+; ----------------------------------;
+            CMP @PSP,TOS            ;       Ptr = Org ?
+            JZ WAITaKEY             ;       yes: do nothing
+            SUB #1,TOS              ;       no : dec Ptr
+            JMP YEMIT1              ;       send BS
+; ----------------------------------;
+; end of backspace                  ;
+; ----------------------------------;
+ASTORETEST  CMP W,TOS               ; 1 Bound is reached ?
+            JZ YEMIT1               ; 2 yes: send echo then loopback
+            MOV.B Y,0(TOS)          ; 3 no: store char @ Ptr, send echo then loopback
+            ADD #1,TOS              ; 1     increment Ptr
 YEMIT1
-    .IF TERMINALBAUDRATE/FREQUENCY <230400
-            BIT     #UCTXIFG,&TERMIFG   ; 3 wait the sending end of previous char (sent before ACCEPT), useless at high baudrates
-            JZ      YEMIT1              ; 2
+    .IF TERMINALBAUDRATE/FREQUENCY <230401
+            BIT #UCTXIFG,&TERMIFG   ; 3 wait the sending end of previous char (sent before ACCEPT), useless at high baudrates
+            JZ YEMIT1               ; 2
     .ENDIF
-    .IFDEF TERMINAL5WIRES               ;
-YEMIT2      BIT.B   #CTS,&HANDSHAKIN    ; 3
-            JNZ     YEMIT2              ; 2
+YEMIT2
+    .IFDEF  TERMINAL5WIRES          ;
+            BIT.B #CTS,&HANDSHAKIN  ; 3
+            JNZ YEMIT2              ; 2
     .ENDIF
-YEMIT       .word   4882h               ; hi7/4~ lo:12/9~ send/send_not  echo to terminal
-            .word   TERMTXBUF           ; 3 MOV Y,&TERMTXBUF
-            mNEXT                       ; 4
-; --------------------------------------;
-AYEMIT_RET  FORTHtoASM                  ; 0     YEMII NEXT address; NOP9
-            SUB     #2,IP               ; 1 set YEMIT NEXT address to AYEMIT_RET
-WAITaKEY    BIT     #UCRXIFG,&TERMIFG   ; 3 new char in TERMRXBUF ?
-            JNZ     AKEYREAD            ; 2 yes
-            JZ      WAITaKEY            ; 2 no
-; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
-; stops the 2th stopwatch               ; best case result: 26~/22~ (with/without echo) ==> 385/455 kBds/MHz
-; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^; 
-
-; --------------------------------------;
-ENDACCEPT                               ; <--- XOFF return address
-; --------------------------------------;
-            MOV     #LPM0+GIE,&LPM_MODE ; reset LPM_MODE to default mode LPM0 for next line of input stream
-            CMP     #0,&LINE            ; if LINE <> 0...
-            JZ      DROPEXIT            ;
-            ADD     #1,&LINE            ; ...increment LINE
-DROPEXIT    SUB     @PSP+,TOS           ; Org Ptr -- len'
-            MOV     @RSP+,IP            ; 2 and continue with INTERPRET with GIE=0.
-                                        ; So FORTH machine is protected against any interrupt...
-            mNEXT                       ; ...until next falling down to LPMx mode of (ACCEPT) part1,
-; **************************************;    i.e. when the FORTH interpreter has no more to do.
+YEMIT                               ; hi7/4~ lo:12/9~ send/send_not  echo to terminal
+            .word   4882h           ; 4882h = MOV Y,&<next_adr>
+            .word   TERMTXBUF       ; 3
+            mNEXT                   ; 4
+; ----------------------------------;
+AYEMIT_RET  FORTHtoASM              ; 0     YEMII NEXT address; NOP9
+            SUB #2,IP               ; 1 set YEMIT NEXT address to AYEMIT_RET
+WAITaKEY    BIT #UCRXIFG,&TERMIFG   ; 3 new char in TERMRXBUF ?
+            JNZ AKEYREAD            ; 2 yes
+            JZ WAITaKEY             ; 2 no
+; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
+; stops the 2th stopwatch           ; best case result: 26~/22~ (with/without echo) ==> 385/455 kBds/MHz
+; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^; 
+
+; ----------------------------------;
+ENDACCEPT                           ; <--- XOFF return address
+; ----------------------------------;
+            MOV #LPM0+GIE,&LPM_MODE ; reset LPM_MODE to default mode LPM0 for next line of input stream
+            CMP #0,&LINE            ; if LINE <> 0...
+            JZ ACCEPTEND            ;
+            ADD #1,&LINE            ; ...increment LINE
+ACCEPTEND   SUB @PSP+,TOS           ; Org Ptr -- len'
+            MOV @RSP+,IP            ; 2 and continue with INTERPRET with GIE=0.
+                                    ; So FORTH machine is protected against any interrupt...
+    .IFDEF TOTAL
+            .word 1734h             ;6              pop R4,R5,R6,R7
+    .ENDIF
+            mNEXT                   ; ...until next falling down to LPMx mode of (ACCEPT) part1,
+; **********************************;    i.e. when the FORTH interpreter has no more to do.
 
 ; ------------------------------------------------------------------------------
 ; TERMINAL I/O, output part
@@ -1508,75 +1511,89 @@ DROPEXIT    SUB     @PSP+,TOS           ; Org Ptr -- len'
 ; hardware or software control on TX flow seems not necessary with UARTtoUSB bridges because
 ; they stop TX when their RX buffer is full. So no problem when the terminal input is echoed to output.
             FORTHWORD "(EMIT)"
-PARENEMIT   MOV     TOS,Y               ; 1
-            MOV     @PSP+,TOS           ; 2
-            JMP     YEMIT1              ;9  12~
+PARENEMIT   MOV TOS,Y               ; 1
+            MOV @PSP+,TOS           ; 2
+            JMP YEMIT1              ;9  12~
 
     .ENDIF  ; HALFDUPLEX
 
+; : REFILL TIB DUP TIB_LEN ACCEPT ;   -- cib cib len    shared by QUIT and [ELSE]
+REFILL      SUB #6,PSP              ;2
+            MOV TOS,4(PSP)          ;3
+            MOV #TIB_LEN,TOS        ;2
+            MOV #TIB_ORG,0(PSP)     ;4
+            MOV @PSP,2(PSP)         ;4
+            JMP ACCEPT              ;2
+
+; : SETIB SOURCE 2! 0 >IN ! ;       ; CIB len --        set Input Buffer, shared by INTERPRET and [ELSE]
+SETIB       MOV #0,&TOIN            ;
+            MOV TOS,&SOURCE_LEN     ; -- CIB len'
+            MOV @PSP+,&SOURCE_ADR   ; -- len' 
+            MOV @PSP+,TOS           ; -- 
+            mNEXT                   ;
 
 ;https://forth-standard.org/standard/core/EMIT
 ;C EMIT     c --    output character to the output device ; deferred word
             FORTHWORD "EMIT"
-EMIT        MOV     @PC+,PC             ;3  15~
+EMIT        MOV @PC+,PC             ;3  15~
             .word   PARENEMIT
 
-
 ;Z ECHO     --      connect console output (default)
             FORTHWORD "ECHO"
-ECHO        MOV     #4882h,&YEMIT        ; 4882h = MOV Y,&<next_adr>
-            MOV     #0,&LINE            ;
+ECHO        MOV #4882h,&YEMIT       ; 4882h = MOV Y,&<next_adr>
+            MOV #0,&LINE            ;
             mNEXT
 
 ;Z NOECHO   --      disconnect console output
             FORTHWORD "NOECHO"
-NOECHO      MOV     #NEXT,&YEMIT        ;  NEXT = 4030h = MOV @IP+,PC
-            MOV     #1,&LINE            ;
+NOECHO      MOV #NEXT,&YEMIT        ;  NEXT = 4030h = MOV @IP+,PC
+            MOV #1,&LINE            ;
             mNEXT
 
 ;https://forth-standard.org/standard/core/SPACE
 ;C SPACE   --               output a space
             FORTHWORD "SPACE"
-SPACE       SUB     #2,PSP      ;1
-            MOV     TOS,0(PSP)  ;3
-            MOV     #20h,TOS    ;2
-            JMP     EMIT        ;17~  23~
+SPACE       SUB #2,PSP              ;1
+            MOV TOS,0(PSP)          ;3
+            MOV #20h,TOS            ;2
+            JMP EMIT                ;17~  23~
 
 ;https://forth-standard.org/standard/core/SPACES
 ;C SPACES   n --            output n spaces
             FORTHWORD "SPACES"
-SPACES      CMP     #0,TOS
-            JZ      SPACESEND
-            PUSH    IP
-            MOV     #SPACESNEXT,IP
-            JMP     SPACE       ;25~
+SPACES      CMP #0,TOS
+            JZ ONEDROP
+            PUSH IP
+            MOV #SPACESNEXT,IP
+            JMP SPACE               ;25~
 SPACESNEXT  FORTHtoASM
-            SUB     #2,IP       ;1
-            SUB     #1,TOS      ;1
-            JNZ     SPACE       ;25~ ==> 27~ by space ==> 2.963 MBds @ 8 MHz
-            MOV     @RSP+,IP
-SPACESEND   MOV     @PSP+,TOS
-            mNEXT
-
+            SUB #2,IP               ;1
+            SUB #1,TOS              ;1
+            JNZ SPACE               ;25~ ==> 27~ by space ==> 2.963 MBds @ 8 MHz
+DROPEXIT    MOV @RSP+,IP            ;
+ONEDROP     MOV @PSP+,TOS           ; --         drop n
+            mNEXT                   ;
 
 ;https://forth-standard.org/standard/core/TYPE
 ;C TYPE    adr len --     type line to terminal
             FORTHWORD "TYPE"
-TYPE        CMP     #0,TOS
-            JZ      TWODROP     ; abort fonction
-            .word   0151Eh      ;5 PUSM TOS,IP      R-- len,IP 
-            MOV     #TYPE_NEXT,IP
-TYPELOOP    MOV     @PSP,Y      ;2 -- adr adr       ; 30~ char loop
-            MOV.B   @Y+,TOS     ;2
-            MOV     Y,0(PSP)    ;3 -- adr+1 char
-            SUB     #2,PSP      ;1 emit consumes one cell
-            JMP     EMIT        ;15
+TYPE        CMP #0,TOS
+            JZ TWODROP              ; abort fonction
+            .word   0151Eh          ;5 PUSM TOS,IP      R-- len,IP 
+            MOV #TYPE_NEXT,IP
+TYPELOOP    MOV @PSP,Y              ;2 -- adr adr       ; 30~ char loop
+            MOV.B @Y+,TOS           ;2
+            MOV Y,0(PSP)            ;3 -- adr+1 char
+            SUB #2,PSP              ;1 emit consumes one cell
+            JMP EMIT                ;15
 TYPE_NEXT   FORTHtoASM
-            SUB     #2,IP       ;1
-            SUB     #1,2(RSP)   ;4 len-1
-            JNZ     TYPELOOP    ;2
-            .word   0171Dh      ;5 POPM IP,TOS
-            JMP     TWODROP     ;2+7
+            SUB #2,IP               ;1
+            SUB #1,2(RSP)           ;4 len-1
+            JNZ TYPELOOP            ;2
+            .word   0171Dh          ;5 POPM IP,TOS
+TWODROP     ADD #2,PSP              ;
+            MOV @PSP+,TOS           ; -- 
+            mNEXT                   ;
 
 ; (CR)     --               send CR+LF to the output terminal (via TYPE)
             FORTHWORD "(CR)"
@@ -1588,7 +1605,7 @@ PARENCR     mDOCOL
 ;https://forth-standard.org/standard/core/CR
 ;C CR      --               send CR to the output device
             FORTHWORD "CR"
-CR          MOV     @PC+,PC
+CR          MOV @PC+,PC
             .word   PARENCR
 
 ; ------------------------------------------------------------------------------
@@ -1597,68 +1614,59 @@ CR          MOV     @PC+,PC
 
 ;Z (S")     -- addr u   run-time code for S"
 ; get address and length of string.
-XSQUOTE     SUB     #4,PSP          ; 1 -- x x TOS      ; push old TOS on stack
-            MOV     TOS,2(PSP)      ; 3 -- TOS x x      ; and reserve one cell on stack
-            MOV.B   @IP+,TOS        ; 2 -- x u          ; u = lenght of string
-            MOV     IP,0(PSP)       ; 3 -- addr u
-            ADD     TOS,IP          ; 1 -- addr u       IP=addr+u=addr(end_of_string)
-            BIT     #1,IP           ; 1 -- addr u       IP=addr+u   Carry set/clear if odd/even
-            ADDC    #0,IP           ; 1 -- addr u       IP=addr+u aligned
+XSQUOTE     SUB #4,PSP              ; 1 -- x x TOS      ; push old TOS on stack
+            MOV TOS,2(PSP)          ; 3 -- TOS x x      ; and reserve one cell on stack
+            MOV.B @IP+,TOS          ; 2 -- x u          ; u = lenght of string
+            MOV IP,0(PSP)           ; 3 -- addr u
+            ADD TOS,IP              ; 1 -- addr u       IP=addr+u=addr(end_of_string)
+            BIT #1,IP               ; 1 -- addr u       IP=addr+u   Carry set/clear if odd/even
+            ADDC #0,IP              ; 1 -- addr u       IP=addr+u aligned
             mNEXT                   ; 4  16~
 
     .IFDEF LOWERCASE
 
             FORTHWORD "CAPS_ON"
-CAPS_ON     MOV     #-1,&CAPS       ; state by default
+CAPS_ON     MOV #-1,&CAPS           ; state by default
             mNEXT
 
             FORTHWORD "CAPS_OFF"
-CAPS_OFF    MOV     #0,&CAPS
+CAPS_OFF    MOV #0,&CAPS    
             mNEXT
 
 ;https://forth-standard.org/standard/core/Sq
 ;C S"       --             compile in-line string
-            FORTHWORDIMM "S\34"        ; immediate
+            FORTHWORDIMM "S\34"     ; immediate
 SQUOTE      mDOCOL
             .word   lit,XSQUOTE,COMMA
 SQUOTE1     .word   CAPS_OFF
-            .word   lit,'"',WORDD ; -- c-addr (= HERE)
+            .word   lit,'"',WORDD   ; -- c-addr (= HERE)
             .word   CAPS_ON
-            FORTHtoASM
-            MOV @RSP+,IP
-            MOV.B @TOS,TOS      ; -- u
-            SUB #1,TOS          ;   -1 byte
-            ADD TOS,&DDP
-            MOV @PSP+,TOS
-CELLPLUSALIGN
-            BIT #1,&DDP         ;3
-            ADDC #2,&DDP        ;4  +2 bytes
-            mNEXT
 
     .ELSE
 
 ;https://forth-standard.org/standard/core/Sq
 ;C S"       --             compile in-line string
-            FORTHWORDIMM "S\34"        ; immediate
+            FORTHWORDIMM "S\34"     ; immediate
 SQUOTE      mDOCOL
             .word   lit,XSQUOTE,COMMA
 SQUOTE1     .word   lit,'"',WORDD ; -- c-addr (= HERE)
+
+    .ENDIF ; LOWERCASE
+
             FORTHtoASM
             MOV @RSP+,IP
-            MOV.B @TOS,TOS      ; -- u
-            SUB #1,TOS          ;   -1 byte
+            MOV.B @TOS,TOS          ; -- u
+            SUB #1,TOS              ;   -1 byte
             ADD TOS,&DDP
             MOV @PSP+,TOS
 CELLPLUSALIGN
-            BIT #1,&DDP         ;3 carry set if 1
-            ADDC #2,&DDP        ;4  +2 bytes
+            BIT #1,&DDP             ;3 carry set if 1
+            ADDC #2,&DDP            ;4  +2 bytes
             mNEXT
 
-    .ENDIF ; LOWERCASE
-
 ;https://forth-standard.org/standard/core/Dotq
 ;C ."       --              compile string to print
-            FORTHWORDIMM ".\34"        ; immediate
+            FORTHWORDIMM ".\34"     ; immediate
 DOTQUOTE    mDOCOL
             .word   SQUOTE
             .word   lit,TYPE,COMMA,EXIT
@@ -1671,47 +1679,48 @@ DOTQUOTE    mDOCOL
 ;C WORD   char -- addr        Z=1 if len=0
 ; parse a word delimited by char separator
 ;                                   "word" is capitalized
-;                                   TOIN is the relative displacement into buffer
-;                                   spaces (as separator) filled line = 25 cycles + 7 cycles by char
+;                                   TOIN is the relative displacement in the ascii string 
+;                                   separator filled line = 25 cycles + 7 cycles by char
             FORTHWORD "WORD"
-WORDD       MOV     #SOURCE_LEN,S   ;2 -- separator
-            MOV     @S+,X           ;2               X = buf_len
-            MOV     @S+,W           ;2               W = buf_org
-            ADD     W,X             ;1               W = buf_org    X = buf_org + buf_len = buf_end
-            ADD     @S+,W           ;2               W = buf_org + >IN = buf_ptr    X = buf_end
-            MOV     @S,Y            ;2 -- separator  W = buf_ptr    X = buf_end     Y = HERE, as dst_ptr
-SKIPCHARLOO CMP     W,X             ;1               buf_ptr = buf_end ?
-            JZ      EOL_END         ;2 -- separator  if yes : End Of Line !
-            CMP.B   @W+,TOS         ;2               does char = separator ?
-            JZ      SKIPCHARLOO     ;2 -- separator  if yes
-SCANWORD    SUB     #1,W            ;1
-            MOV     #96,T           ;2              T = 96 = ascii(a)-1 (test value set in a register before SCANWORD loop)
+WORDD       MOV #SOURCE_LEN,S       ;2 -- separator
+            MOV @S+,X               ;2               X = str_len
+            MOV @S+,W               ;2               W = str_org
+            ADD W,X                 ;1               W = str_org    X = str_org + str_len = str_end
+            ADD @S+,W               ;2               W = str_org + >IN = str_ptr    X = str_end
+            MOV @S,Y                ;2 -- separator  W = str_ptr    X = str_end     Y = HERE, as dst_ptr
+SKIPCHARLOO CMP W,X                 ;1               str_ptr = str_end ?
+            JZ EOL_END              ;2 -- separator  if yes : End Of Line !
+            CMP.B @W+,TOS           ;2               does char = separator ?
+            JZ SKIPCHARLOO          ;2 -- separator  if yes
+SCANWORD    SUB #1,W                ;1
+            MOV #96,T               ;2              T = 96 = ascii(a)-1 (test value set in a register before SCANWORD loop)
 SCANWORDLOO                         ; -- separator  15/23 cycles loop for upper/lower case char... write words in upper case !
-            MOV.B   S,0(Y)          ;3              first time puts anything in dst word length, then put char @ dst.
-            CMP     W,X             ;1              buf_ptr = buf_end ?
-            JZ      SCANWORDEND     ;2              if yes
-            MOV.B   @W+,S           ;2
-            CMP.B   S,TOS           ;1              does char = separator ?
-            JZ      SCANWORDEND     ;2              if yes
-            ADD     #1,Y            ;1              increment dst just before test loop
-            CMP.B   S,T             ;1              char U< 'a' ?  ('a'-1 U>= char) this condition is tested at each loop
-            JC      SCANWORDLOO     ;2              15~ upper case char loop
+            MOV.B S,0(Y)            ;3              first time make room in dst for word length, then put char @ dst.
+            CMP W,X                 ;1              str_ptr = str_end ?
+            JZ SCANWORDEND          ;2              if yes
+            MOV.B @W+,S             ;2
+            CMP.B S,TOS             ;1              does char = separator ?
+            JZ SCANWORDEND          ;2              if yes
+            ADD #1,Y                ;1              increment dst just before test loop
+            CMP.B S,T               ;1              char U< 'a' ?  ('a'-1 U>= char) this condition is tested at each loop
+            JC SCANWORDLOO          ;2              15~ upper case char loop
     .IFDEF LOWERCASE                ;
-QCAPS       CMP     #0,&CAPS        ;3              CAPS is OFF ? (case available only for ABORT" ." .( )
-            JZ      SCANWORDLOO     ;2              yes
+QCAPS       CMP #0,&CAPS            ;3              CAPS is OFF ? (case available only for ABORT" ." .( )
+            JZ SCANWORDLOO          ;2              yes
     .ENDIF ; LOWERCASE              ;               here CAPS is ON (other cases)
-            CMP.B   #123,S          ;2              char U>= 'z'+1 ?
-            JC      SCANWORDLOO     ;2              if yes
-            SUB.B   #32,S           ;2              convert lowercase char to uppercase
-            JMP     SCANWORDLOO     ;2
-
-SCANWORDEND SUB     &SOURCE_ADR,W   ;3 -- separator  W=buf_ptr - buf_org = new >IN (first char separator next)
-            MOV     W,&TOIN         ;3               update >IN
-EOL_END     MOV     &DDP,TOS        ;3 -- c-addr
-            SUB     TOS,Y           ;1               Y=Word_Length
-            MOV.B   Y,0(TOS)        ;3
+            CMP.B #123,S            ;2              char U>= 'z'+1 ?
+            JC SCANWORDLOO          ;2              if yes
+            SUB.B #32,S             ;2              convert lowercase char to uppercase
+            JMP SCANWORDLOO         ;2
+
+SCANWORDEND SUB &SOURCE_ADR,W       ;3 -- separator  W=str_ptr - str_org = new >IN (first char separator next)
+            MOV W,&TOIN             ;3               update >IN
+EOL_END     MOV &DDP,TOS            ;3 -- c-addr
+            SUB TOS,Y               ;1               Y=Word_Length
+            MOV.B Y,0(TOS)          ;3
             mNEXT                   ;4 -- c-addr     40 words      Z=1 <==> lenght=0 <==> EOL
 
+
 ;https://forth-standard.org/standard/core/FIND
 ;C FIND   c-addr -- c-addr 0   if not found ; flag Z=1
 ;C                  xt -1      if found     ; flag Z=0
@@ -1724,90 +1733,84 @@ EOL_END     MOV     &DDP,TOS        ;3 -- c-addr
 ; WORDFOUND to end  : 21 cycles.
 ; note: with 16 threads vocabularies, FIND takes about 75% of CORETEST.4th processing time
             FORTHWORD "FIND"
-FIND        SUB     #2,PSP          ;1 -- ???? c-addr       reserve one cell here, not at FINDEND because interacts with flag Z
-            MOV     TOS,S           ;1                      S=c-addr
-            MOV.B   @S,rDOCON       ;2                      R5= string count
-            MOV.B   #80h,rDODOES    ;2                      R4= immediate mask
-            MOV     #CONTEXT,T      ;2
-VOCLOOP     MOV     @T+,TOS         ;2 -- ???? VOC_PFA      T=CTXT+2
-            CMP     #0,TOS          ;1                      no more vocabulary in CONTEXT ?
-            JZ      FINDEND         ;2 -- ???? 0            yes ==> exit; Z=1
+FIND        SUB #2,PSP              ;1 -- ???? c-addr       reserve one cell here, not at FINDEND because interacts with flag Z
+            MOV TOS,S               ;1                      S=c-addr
+            MOV.B @S,rDOCON         ;2                      R5= string count
+            MOV.B #80h,rDODOES      ;2                      R4= immediate mask
+            MOV #CONTEXT,T          ;2
+VOCLOOP     MOV @T+,TOS             ;2 -- ???? VOC_PFA      T=CTXT+2
+            CMP #0,TOS              ;1                      no more vocabulary in CONTEXT ?
+            JZ FINDEND              ;2 -- ???? 0            yes ==> exit; Z=1
     .SWITCH THREADS
     .CASE   1
     .ELSECASE                       ;                       search thread add 6cycles  5words
-MAKETHREAD  MOV.B   1(S),Y          ;3 -- ???? VOC_PFA0     S=c-addr Y=CHAR0
+MAKETHREAD  MOV.B 1(S),Y            ;3 -- ???? VOC_PFA0     S=c-addr Y=CHAR0
             AND.B #(THREADS-1)*2,Y  ;2 -- ???? VOC_PFA0     Y=thread offset
-            ADD     Y,TOS           ;1 -- ???? VOC_PFAx
+            ADD Y,TOS               ;1 -- ???? VOC_PFAx
     .ENDCASE
-            ADD     #2,TOS          ;1 -- ???? VOC_PFA+2
-WORDLOOP    MOV     -2(TOS),TOS     ;3 -- ???? [VOC_PFA]    [VOC_PFA] first, then [LFA]
-            CMP     #0,TOS          ;1 -- ???? NFA          no more word in the thread ?
-            JZ      VOCLOOP         ;2 -- ???? NFA          yes ==> search next voc in context
-            MOV     TOS,X           ;1
-            MOV.B   @X+,Y           ;2                      TOS=NFA,X=NFA+1,Y=NFA_char
-            BIC.B   rDODOES,Y       ;1                      hide Immediate bit
-LENCOMP     CMP.B   rDOCON,Y        ;1                      compare lenght
-            JNZ     WORDLOOP        ;2 -- ???? NFA          13~ word loop on lenght mismatch
-            MOV     S,W             ;1                      W=c-addr
-CHARLOOP    ADD     #1,W            ;1
-CHARCOMP    CMP.B   @X+,0(W)        ;4                      compare chars
-            JNZ     WORDLOOP        ;2 -- ???? NFA          21~ word loop on first char mismatch
-            SUB.B   #1,Y            ;1                      decr count
-            JNZ     CHARLOOP        ;2 -- ???? NFA          10~ char loop
-
-WORDFOUND   BIT     #1,X            ;1
-            ADDC    #0,X            ;1
-            MOV     X,S             ;1                      S=aligned CFA
-            MOV.B   @TOS,W          ;2 -- ???? NFA          W=NFA_first_char
-            MOV     #1,TOS          ;1 -- ???? 1            preset immediate flag
-            CMP.B   #0,W            ;1                      W is negative if immediate flag
-            JN      FINDEND         ;2 -- ???? 1
-            SUB     #2,TOS          ;1 -- ???? -1
-FINDEND     MOV     S,0(PSP)        ;3 not found: -- c-addr 0                           flag Z=1
+            ADD #2,TOS              ;1 -- ???? VOC_PFA+2
+WORDLOOP    MOV -2(TOS),TOS         ;3 -- ???? [VOC_PFA]    [VOC_PFA] first, then [LFA]
+            CMP #0,TOS              ;1 -- ???? NFA          no more word in the thread ?
+            JZ VOCLOOP              ;2 -- ???? NFA          yes ==> search next voc in context
+            MOV TOS,X               ;1
+            MOV.B @X+,Y             ;2                      TOS=NFA,X=NFA+1,Y=NFA_char
+            BIC.B rDODOES,Y         ;1                      hide Immediate bit
+LENCOMP     CMP.B rDOCON,Y          ;1                      compare lenght
+            JNZ WORDLOOP            ;2 -- ???? NFA          13~ word loop on lenght mismatch
+            MOV S,W                 ;1                      W=c-addr
+CHARLOOP    ADD #1,W                ;1
+CHARCOMP    CMP.B @X+,0(W)          ;4                      compare chars
+            JNZ WORDLOOP            ;2 -- ???? NFA          21~ word loop on first char mismatch
+            SUB.B #1,Y              ;1                      decr count
+            JNZ CHARLOOP            ;2 -- ???? NFA          10~ char loop
+
+WORDFOUND   BIT #1,X                ;1
+            ADDC #0,X               ;1
+            MOV X,S                 ;1                      S=aligned CFA
+            MOV.B @TOS,W            ;2 -- ???? NFA          W=NFA_first_char
+            MOV #1,TOS              ;1 -- ???? 1            preset immediate flag
+            CMP.B #0,W              ;1                      W is negative if immediate flag
+            JN FINDEND              ;2 -- ???? 1
+            SUB #2,TOS              ;1 -- ???? -1
+FINDEND     MOV S,0(PSP)            ;3 not found: -- c-addr 0                           flag Z=1
                                     ;      found: -- xt -1|+1 (not immediate|immediate) flag Z=0
-            MOV     #xdocon,rDOCON  ;2
-            MOV     #xdodoes,rDODOES;2
+            MOV #xdocon,rDOCON      ;2
+            MOV #xdodoes,rDODOES    ;2
             mNEXT                   ;4 42/47 words
 
-
-THREEDROP   ADD     #2,PSP
-TWODROP     ADD     #2,PSP
-            MOV     @PSP+,TOS
-            mNEXT
-
     .IFDEF HRDWMPY
 
 ;https://forth-standard.org/standard/core/toNUMBER
 ;C  convert a string to double number until count2 = 0 or until not convertible char
 ;C >NUMBER  ud1lo|ud1hi addr1 count1 -- ud2lo|ud2hi addr2 count2
             FORTHWORD ">NUMBER"     ; 23 cycles + 32/34 cycles DEC/HEX char loop
-TONUMBER    MOV     @PSP+,S         ;2                          S = adr
-            MOV     @PSP+,Y         ;2                          Y = ud1hi
-            MOV     @PSP,X          ;2                          X = ud1lo
-            SUB     #4,PSP          ;1
-            MOV     &BASE,T         ;3
-TONUMLOOP   MOV.B   @S,W            ;2 -- ud1lo ud1hi adr count W=char
-DDIGITQ     SUB.B   #30h,W          ;2                          skip all chars < '0' 
-            CMP.B   #10,W           ;2                          char was U< "10" ?
-            JLO     DDIGITQNEXT     ;2                          no
-            SUB.B   #7,W            ;2                          skip all chars between "9" and "A"
-            CMP.B   #10,W           ;2
-            JLO     TONUMEND        ;2
-DDIGITQNEXT CMP     T,W             ;1                          digit-base
-            JHS     TONUMEND        ;2 -- ud1lo ud1hi adr count abort if < 0 or >= base
-            MOV     X,&MPY32L       ;3                          Load 1st operand (ud1lo)
-            MOV     Y,&MPY32H       ;3                          Load 1st operand (ud1hi)
-            MOV     T,&OP2          ;3                          Load 2nd operand with BASE
-            MOV     &RES0,X         ;3                          lo result in X (ud2lo)
-            MOV     &RES1,Y         ;3                          hi result in Y (ud2hi)
-            ADD     W,X             ;1                          ud2lo + digit
-            ADDC    #0,Y            ;1                          ud2hi + carry
-TONUMPLUS   ADD     #1,S            ;1 -- ud1lo ud1hi adr count S=adr+1
-            SUB     #1,TOS          ;1 -- ud1lo ud1hi adr count-1
-            JNZ     TONUMLOOP       ;2                          if count <>0
-            MOV     Y,2(PSP)        ;3 -- ud2lo ud2hi adr count2
-TONUMEND    MOV     S,0(PSP)        ;3 -- ud2lo ud2hi addr2 count2
-            MOV     X,4(PSP)        ;3 -- ud2lo ud1hi adr count2
+TONUMBER    MOV @PSP+,S             ;2                          S = adr
+            MOV @PSP+,Y             ;2                          Y = ud1hi
+            MOV @PSP,X              ;2                          X = ud1lo
+            SUB #4,PSP              ;1
+            MOV &BASE,T             ;3
+TONUMLOOP   MOV.B @S,W              ;2 -- ud1lo ud1hi adr count W=char
+DDIGITQ     SUB.B #30h,W            ;2                          skip all chars < '0' 
+            CMP.B #10,W             ;2                          char was U< "10" ?
+            JLO DDIGITQNEXT         ;2                          no
+            SUB.B #7,W              ;2                          skip all chars between "9" and "A"
+            CMP.B #10,W             ;2
+            JLO TONUMEND            ;2
+DDIGITQNEXT CMP T,W                 ;1                          digit-base
+            JHS TONUMEND            ;2 -- ud1lo ud1hi adr count abort if < 0 or >= base
+            MOV X,&MPY32L           ;3                          Load 1st operand (ud1lo)
+            MOV Y,&MPY32H           ;3                          Load 1st operand (ud1hi)
+            MOV T,&OP2              ;3                          Load 2nd operand with BASE
+            MOV &RES0,X             ;3                          lo result in X (ud2lo)
+            MOV &RES1,Y             ;3                          hi result in Y (ud2hi)
+            ADD W,X                 ;1                          ud2lo + digit
+            ADDC #0,Y               ;1                          ud2hi + carry
+TONUMPLUS   ADD #1,S                ;1 -- ud1lo ud1hi adr count S=adr+1
+            SUB #1,TOS              ;1 -- ud1lo ud1hi adr count-1
+            JNZ TONUMLOOP           ;2                          if count <>0
+            MOV Y,2(PSP)            ;3 -- ud2lo ud2hi adr count2
+TONUMEND    MOV S,0(PSP)            ;3 -- ud2lo ud2hi addr2 count2
+            MOV X,4(PSP)            ;3 -- ud2lo ud1hi adr count2
             mNEXT                   ;4 38 words
 
 
@@ -1818,112 +1821,112 @@ TONUMEND    MOV     S,0(PSP)        ;3 -- ud2lo ud2hi addr2 count2
 ; not convertible chars '.' (double) and ',' (fixed point) are processed as >NUMBER exits
 ;Z ?NUMBER  c-addr -- n -1      if convert ok ; flag Z=0
 ;Z          c-addr -- c-addr 0  if convert ko ; flag Z=1
-QNUMBER     MOV     #0,S            ;1
-            MOV     &BASE,T         ;3                          T=BASE
-            BIC     #UF9,SR         ;2                          reset flag UF9, before use as decimal point flag
+QNUMBER     MOV #0,S                ;1
+            MOV &BASE,T             ;3                          T=BASE
+            BIC #UF9,SR             ;2                          reset flag UF9, before use as decimal point flag
             .word   152Dh           ;5                          R-- IP sign base
-            MOV     #0,X            ;1                          X=ud1lo
-            MOV     #0,Y            ;1                          Y=ud1hi
-            MOV     #QNUMNEXT,IP    ;2                          return from >NUMBER
-            SUB     #8,PSP          ;1 -- x x x x c-addr        save TOS and make room for >NUMBER
-            MOV     TOS,6(PSP)      ;3 -- c-addr x x x c-addr
-            MOV     TOS,S           ;1                          S=addrr
-            MOV.B   @S+,TOS         ;2 -- c-addr x x x cnt      TOS=count
-            MOV.B   @S,W            ;2                          W=char
-            SUB.B   #',',W          ;2
-            JHS     QSIGN           ;2                          for current base, and for ',' or '.' process
-            SUB.B   #1,W            ;1
-QBINARY     MOV     #2,T            ;3                              preset base 2
-            ADD.B   #8,W            ;1                          '%' + 8 = '-'   binary number ?
-            JZ      PREFIXED        ;2
-QDECIMAL    ADD     #8,T            ;4
-            ADD.B   #2,W            ;1                          '#' + 2 = '%'   decimal number ?
-            JZ      PREFIXED        ;2
-QHEXA       MOV     #16,T           ;4
-            SUB.B   #1,W            ;2                          '$' - 1 = '#'   hex number ?
-            JNZ     TONUMLOOP       ;2 -- c-addr ud=0 x x       other cases will cause error
-PREFIXED    ADD     #1,S            ;1
-            SUB     #1,TOS          ;1 -- c-addr ud=0 x count   S=adr+1 TOS=count-1
-            MOV.B   @S,W            ;2                          X=2th char, W=adr
-            SUB.B   #',',W          ;2
-QSIGN       CMP.B   #1,W            ;1
-            JNZ     TONUMLOOP       ;2                          for positive number and for , or . process
-            MOV     #-1,2(RSP)      ;3                          R-- IP sign base
-            JMP     TONUMPLUS       ;2
+            MOV #0,X                ;1                          X=ud1lo
+            MOV #0,Y                ;1                          Y=ud1hi
+            MOV #QNUMNEXT,IP        ;2                          return from >NUMBER
+            SUB #8,PSP              ;1 -- x x x x c-addr        save TOS and make room for >NUMBER
+            MOV TOS,6(PSP)          ;3 -- c-addr x x x c-addr
+            MOV TOS,S               ;1                          S=addrr
+            MOV.B @S+,TOS           ;2 -- c-addr x x x cnt      TOS=count
+            MOV.B @S,W              ;2                          W=char
+            SUB.B #',',W            ;2
+            JHS QSIGN               ;2                          for current base, and for ',' or '.' process
+            SUB.B #1,W              ;1
+QBINARY     MOV #2,T                ;3                              preset base 2
+            ADD.B #8,W              ;1                          '%' + 8 = '-'   binary number ?
+            JZ PREFIXED             ;2
+QDECIMAL    ADD #8,T                ;4
+            ADD.B #2,W              ;1                          '#' + 2 = '%'   decimal number ?
+            JZ PREFIXED             ;2
+QHEXA       MOV #16,T               ;4
+            SUB.B #1,W              ;2                          '$' - 1 = '#'   hex number ?
+            JNZ TONUMLOOP           ;2 -- c-addr ud=0 x x       other cases will cause error
+PREFIXED    ADD #1,S                ;1
+            SUB #1,TOS              ;1 -- c-addr ud=0 x count   S=adr+1 TOS=count-1
+            MOV.B @S,W              ;2                          X=2th char, W=adr
+            SUB.B #',',W            ;2
+QSIGN       CMP.B #1,W              ;1
+            JNZ TONUMLOOP           ;2                          for positive number and for , or . process
+            MOV #-1,2(RSP)          ;3                          R-- IP sign base
+            JMP TONUMPLUS           ;2
 ; ----------------------------------; 39
 QNUMNEXT    FORTHtoASM              ;  -- c-addr ud2lo-hi addr2 cnt2    R-- IP sign BASE    S=addr2
-            CMP     #0,TOS          ;1                                  cnt2=0 : conversion is ok ?
-            JZ      QNUMNEXT1       ;2                                  yes
-            BIT     #UF9,SR         ;2                                  already flagged double ? 
+            CMP #0,TOS              ;1                                  cnt2=0 : conversion is ok ?
+            JZ QNUMNEXT1            ;2                                  yes
+            BIT #UF9,SR             ;2                                  already flagged double ? 
                                     ;                                   ( test to discard repeated points or repeated commas)
-            JNZ     QNUMNEXT1       ;2                                  abort
-            BIS     #UF9,SR         ;2                                  set double number flag
+            JNZ QNUMNEXT1           ;2                                  abort
+            BIS #UF9,SR             ;2                                  set double number flag
 
     .IFDEF FIXPOINT_INPUT
 
-QQNUMDP     CMP.B   #'.',0(S)       ;4                                  rejected char by >NUMBER = decimal point ?
-            JNZ     QQcomma         ;2                                  no
-            SUB     #2,IP           ;1                                  yes: set QNUMNEXT address as >NUMBER return
-            JMP     TONUMPLUS       ;2                                      loop back to >NUMBER to terminate conversion
-QQcomma     CMP.B   #',',0(S)       ;5                                  rejected char by >NUMBER is a comma ?
-            JNZ     QNUMNEXT1       ;2                                  no
-S15Q16      MOV     TOS,W           ;1 -- c-addr ud2lo x x x            yes   W=cnt2
-            MOV     #0,X            ;1 -- c-addr ud2lo x 0 x            init X = ud2lo' = 0
-S15Q16LOOP  MOV     X,2(PSP)        ;3 -- c-addr ud2lo ud2lo' ud2lo' x  0(PSP) = ud2lo'   
-            SUB.B   #1,W            ;1                                  decrement cnt2
-            MOV     W,X             ;1                                  X = cnt2-1
-            ADD     S,X             ;1                                  X = end_of_string-1, first...
-            MOV.B   @X,X            ;2                                  X = last char of string, first...
-            SUB     #30h,X          ;2                                  char --> digit conversion
-            CMP.B   #10,X           ;2
-            JLO     QS15Q16DIGI     ;2
-            SUB.B   #7,X            ;2
-            CMP.B   #10,X           ;2
-            JLO     S15Q16EOC       ;2
-QS15Q16DIGI CMP     T,X             ;1                                  R-- IP sign BASE    is X a digit ?
-            JHS     S15Q16EOC       ;2 -- c-addr ud2lo ud2lo' x ud2lo'  if no
-            MOV     X,0(PSP)        ;3 -- c-addr ud2lo ud2lo' digit x 
-            MOV     T,TOS           ;1 -- c-addr ud2lo ud2lo' digit     base R-- IP sign base
-            .word   152Ch           ;6                                  PUSH S,T,W: R-- IP sign base addr2 base cnt2     
-            CALL    #MUSMOD         ;4 -- c-addr ud2lo ur uqlo uqhi
-            .word   172Ah           ;6                                  restore W,T,S: R-- IP sign BASE     
-            JMP     S15Q16LOOP      ;2                                  W=cnt
-S15Q16EOC   MOV     4(PSP),2(PSP)   ;5 -- c-addr ud2lo ud2hi uqlo x     ud2lo from >NUMBER part1 becomes here ud2hi=S15 part2
-            MOV     @PSP,4(PSP)     ;4 -- c-addr ud2lo ud2hi x x        uqlo becomes ud2lo
-            MOV     W,TOS           ;1 -- c-addr ud2lo ud2hi x cnt2
-            CMP.B   #0,TOS          ;1                                  TOS = 0 if end of conversion char = ',' (happy end)
+QQNUMDP     CMP.B #'.',0(S)         ;4                                  rejected char by >NUMBER = decimal point ?
+            JNZ QQcomma             ;2                                  no
+            SUB #2,IP               ;1                                  yes: reset QNUMNEXT address as >NUMBER return
+            JMP TONUMPLUS           ;2                                      loop back to >NUMBER to terminate conversion
+QQcomma     CMP.B #',',0(S)         ;5                                  rejected char by >NUMBER is a comma ?
+            JNZ QNUMNEXT1           ;2                                  no
+S15Q16      MOV TOS,W               ;1 -- c-addr ud2lo x x x            yes   W=cnt2
+            MOV #0,X                ;1 -- c-addr ud2lo x 0 x            init X = ud2lo' = 0
+S15Q16LOOP  MOV X,2(PSP)            ;3 -- c-addr ud2lo ud2lo' ud2lo' x  0(PSP) = ud2lo'   
+            SUB.B #1,W              ;1                                  decrement cnt2
+            MOV W,X                 ;1                                  X = cnt2-1
+            ADD S,X                 ;1                                  X = end_of_string-1, first...
+            MOV.B @X,X              ;2                                  X = last char of string, first...
+            SUB #30h,X              ;2                                  char --> digit conversion
+            CMP.B #10,X             ;2
+            JLO QS15Q16DIGI         ;2
+            SUB.B #7,X              ;2
+            CMP.B #10,X             ;2
+            JLO S15Q16EOC           ;2
+QS15Q16DIGI CMP T,X                 ;1                                  R-- IP sign BASE    is X a digit ?
+            JHS S15Q16EOC           ;2 -- c-addr ud2lo ud2lo' x ud2lo'  if no
+            MOV X,0(PSP)            ;3 -- c-addr ud2lo ud2lo' digit x 
+            MOV T,TOS               ;1 -- c-addr ud2lo ud2lo' digit     base R-- IP sign base
+            .word 152Ch             ;6                                  PUSH S,T,W: R-- IP sign base addr2 base cnt2     
+            CALL #MUSMOD            ;4 -- c-addr ud2lo ur uqlo uqhi
+            .word 172Ah             ;6                                  restore W,T,S: R-- IP sign BASE     
+            JMP S15Q16LOOP          ;2                                  W=cnt
+S15Q16EOC   MOV 4(PSP),2(PSP)       ;5 -- c-addr ud2lo ud2hi uqlo x     ud2lo from >NUMBER part1 becomes here ud2hi=S15 part2
+            MOV @PSP,4(PSP)         ;4 -- c-addr ud2lo ud2hi x x        uqlo becomes ud2lo
+            MOV W,TOS               ;1 -- c-addr ud2lo ud2hi x cnt2
+            CMP.B #0,TOS            ;1                                  TOS = 0 if end of conversion char = ',' (happy end)
 
     .ELSE ; no FIXPOINT_INPUT
 
-QQNUMDP     CMP.B   #'.',0(S)       ;4                                  rejected char by >NUMBER = decimal point ?
-            JNZ     QNUMNEXT1       ;2                                  no
-            SUB     #2,IP           ;1                                  yes: set QNUMNEXT address as >NUMBER return
-            JMP     TONUMPLUS       ;2                                      loop back to >NUMBER to terminate conversion
+QQNUMDP     CMP.B #'.',0(S)         ;4                                  rejected char by >NUMBER = decimal point ?
+            JNZ QNUMNEXT1           ;2                                  no
+            SUB #2,IP               ;1                                  yes: set QNUMNEXT address as >NUMBER return
+            JMP TONUMPLUS           ;2                                      loop back to >NUMBER to terminate conversion
 
     .ENDIF
 
 ; ----------------------------------;88
 QNUMNEXT1   .word   0172Bh          ;4 -- c-addr ud2lo-hi x cnt2        POPM T,S,IP  S = sign flag = {-1;0}
-            MOV     S,TOS           ;1 -- c-addr ud2lo-hi x sign
-            MOV     T,&BASE         ;3
-            JZ      QNUMOK          ;2 -- c-addr ud2lo-hi x sign        conversion OK
-QNUMKO      ADD     #6,PSP          ;1 -- c-addr sign
-            AND     #0,TOS          ;1 -- c-addr ff                     TOS=0 and Z=1 ==> conversion ko
+            MOV S,TOS               ;1 -- c-addr ud2lo-hi x sign
+            MOV T,&BASE             ;3
+            JZ QNUMOK               ;2 -- c-addr ud2lo-hi x sign        conversion OK
+QNUMKO      ADD #6,PSP              ;1 -- c-addr sign
+            AND #0,TOS              ;1 -- c-addr ff                     TOS=0 and Z=1 ==> conversion ko
             mNEXT                   ;4 
 ; ----------------------------------;97
-QNUMOK      ADD     #2,PSP          ;1 -- c-addr ud2lo-hi cnt2 
-            MOV     2(PSP),4(PSP)   ;  -- udlo udlo udhi sign
-            MOV     @PSP+,0(PSP)    ;4 -- udlo udhi sign              note : PSP is incremented before write back !!!
-            XOR     #-1,TOS         ;1 -- udlo udhi inv(sign)
-            JNZ     QDOUBLE         ;2                      if jump : TOS=-1 and Z=0 ==> conversion ok
-Q2NEGATE    XOR     #-1,TOS         ;1 -- udlo udhi tf
-            XOR     #-1,2(PSP)      ;3 -- dlo-1 dhi-1 tf
-            XOR     #-1,0(PSP)      ;3 -- dlo-1 udhi tf
-            ADD     #1,2(PSP)       ;3 -- dlo dhi-1 tf
-            ADDC    #0,0(PSP)       ;3 -- dlo dhi tf
-QDOUBLE     BIT     #UF9,SR         ;2                      decimal point added ?
-            JNZ     QNUMEND         ;2                      leave double
-            ADD     #2,PSP          ;1                      leave number
+QNUMOK      ADD #2,PSP              ;1 -- c-addr ud2lo-hi cnt2 
+            MOV 2(PSP),4(PSP)       ;  -- udlo udlo udhi sign
+            MOV @PSP+,0(PSP)        ;4 -- udlo udhi sign              note : PSP is incremented before write back !!!
+            XOR #-1,TOS             ;1 -- udlo udhi inv(sign)
+            JNZ QDOUBLE             ;2                      if jump : TOS=-1 and Z=0 ==> conversion ok
+Q2NEGATE    XOR #-1,TOS             ;1 -- udlo udhi tf
+            XOR #-1,2(PSP)          ;3 -- dlo-1 dhi-1 tf
+            XOR #-1,0(PSP)          ;3 -- dlo-1 udhi tf
+            ADD #1,2(PSP)           ;3 -- dlo dhi-1 tf
+            ADDC #0,0(PSP)          ;3 -- dlo dhi tf
+QDOUBLE     BIT #UF9,SR             ;2                      decimal point added ?
+            JNZ QNUMEND             ;2                      leave double
+            ADD #2,PSP              ;1                      leave number
 QNUMEND    mNEXT                    ;4                      TOS=-1 and Z=0 ==> conversion ok
 ; ----------------------------------;119 words 
 
@@ -1934,61 +1937,60 @@ QNUMEND    mNEXT                    ;4                      TOS=-1 and Z=0 ==> c
 ;https://forth-standard.org/standard/core/UMTimes
 ;C UM*     u1 u2 -- ud   unsigned 16x16->32 mult.
             FORTHWORD "UM*"
-UMSTAR      MOV @PSP,S      ;2 MDlo
-UMSTAR1     MOV #0,T        ;1 MDhi=0
-            MOV #0,X        ;1 RES0=0
-            MOV #0,Y        ;1 RES1=0
-            MOV #1,W        ;1 BIT TEST REGISTER
-UMSTARLOOP  BIT W,TOS       ;1 TEST ACTUAL BIT MRlo
-            JZ UMSTARNEXT   ;2 IF 0: DO NOTHING
-            ADD S,X         ;1 IF 1: ADD MDlo TO RES0
-            ADDC T,Y        ;1      ADDC MDhi TO RES1
-UMSTARNEXT  ADD S,S         ;1 (RLA LSBs) MDlo x 2
-            ADDC T,T        ;1 (RLC MSBs) MDhi x 2
-            ADD W,W         ;1 (RLA) NEXT BIT TO TEST
-            JNC UMSTARLOOP  ;2 IF BIT IN CARRY: FINISHED    10~ loop
-            MOV X,0(PSP)    ;3 low result on stack
-            MOV Y,TOS       ;1 high result in TOS
-            mNEXT           ;4 17 words
+UMSTAR      MOV @PSP,S              ;2 MDlo
+UMSTAR1     MOV #0,T                ;1 MDhi=0
+            MOV #0,X                ;1 RES0=0
+            MOV #0,Y                ;1 RES1=0
+            MOV #1,W                ;1 BIT TEST REGISTER
+UMSTARLOOP  BIT W,TOS               ;1 TEST ACTUAL BIT MRlo
+            JZ UMSTARNEXT           ;2 IF 0: DO NOTHING
+            ADD S,X                 ;1 IF 1: ADD MDlo TO RES0
+            ADDC T,Y                ;1      ADDC MDhi TO RES1
+UMSTARNEXT  ADD S,S                 ;1 (RLA LSBs) MDlo x 2
+            ADDC T,T                ;1 (RLC MSBs) MDhi x 2
+            ADD W,W                 ;1 (RLA) NEXT BIT TO TEST
+            JNC UMSTARLOOP          ;2 IF BIT IN CARRY: FINISHED    10~ loop
+            MOV X,0(PSP)            ;3 low result on stack
+            MOV Y,TOS               ;1 high result in TOS
+            mNEXT                   ;4 17 words
 
 ;https://forth-standard.org/standard/core/toNUMBER
 ;C  convert a string to double number until count2 = 0 or until not convertible char
 ;C >NUMBER  ud1lo|ud1hi addr1 count1 -- ud2lo|ud2hi addr2 count2
-
             FORTHWORD ">NUMBER"
-TONUMBER    MOV     @PSP,S          ;                           S=adr
-            MOV     TOS,T           ;                           T=count
-TONUMLOOP   MOV.B   @S,X            ; -- ud1lo ud1hi x x        X=char
-DDIGITQ     SUB.B   #30h,X          ;2                          skip all chars < '0'
-            CMP.B   #10,X           ;                           char was > "9" ?
-            JLO     DDIGITQNEXT     ; -- ud1lo ud1hi x x        no
-            SUB.B   #07,X           ;2                          skip all chars between "9" and "A"
-            CMP.B   #10,X           ;2
-            JLO     TONUMEND        ;2
-DDIGITQNEXT CMP     &BASE,X         ; -- ud1lo ud1hi x x        digit-base
-            JHS     TONUMEND        ; U>=
-UDSTAR      .word   154Dh           ; -- ud1lo ud1hi x x        R-- IP adr count x digit        PSUHM IP,S,T,W,X
-            MOV     2(PSP),S        ; -- ud1lo ud1hi x x        S=ud1hi
-            MOV     &BASE,TOS       ; -- ud1lo ud1hi x base
-            MOV     #UMSTARNEXT1,IP ;
-UMSTARONE   JMP     UMSTAR1         ; ud1hi * base -- x ud3hi   X=ud3lo
+TONUMBER    MOV @PSP,S              ;                           S=adr
+            MOV TOS,T               ;                           T=count
+TONUMLOOP   MOV.B @S,X              ; -- ud1lo ud1hi x x        X=char
+DDIGITQ     SUB.B #30h,  X          ;2                          skip all chars < '0'
+            CMP.B #10,X             ;                           char was > "9" ?
+            JLO DDIGITQNEXT         ; -- ud1lo ud1hi x x        no: good end
+            SUB.B #07,X             ;2                          skip all chars between "9" and "A"
+            CMP.B #10,X             ;2                          char was < "A" ?
+            JLO TONUMEND            ;2                          yes: bad end
+DDIGITQNEXT CMP &BASE,X             ; -- ud1lo ud1hi x x        digit-base
+            JHS TONUMEND            ; U>=
+UDSTAR      .word 154Dh             ; -- ud1lo ud1hi x x        R-- IP adr count x digit        PSUHM IP,S,T,W,X
+            MOV 2(PSP),S            ; -- ud1lo ud1hi x x        S=ud1hi
+            MOV &BASE,TOS           ; -- ud1lo ud1hi x base
+            MOV #UMSTARNEXT1,IP     ;
+UMSTARONE   JMP UMSTAR1             ; ud1hi * base -- x ud3hi   X=ud3lo
 UMSTARNEXT1 FORTHtoASM              ; -- ud1lo ud1hi x ud3hi     
-            MOV     X,2(RSP)        ;                           R-- IP adr count ud3lo digit
-            MOV     4(PSP),S        ; -- ud1lo ud1hi x ud3hi    S=ud1lo
-            MOV     &BASE,TOS       ; -- ud1lo ud1hi x base
-            MOV     #UMSTARNEXT2,IP ;
-UMSTARTWO   JMP     UMSTAR1         ; ud1lo * base -- x ud4hi   X=ud4lo
+            MOV X,2(RSP)            ;                           R-- IP adr count ud3lo digit
+            MOV 4(PSP),S            ; -- ud1lo ud1hi x ud3hi    S=ud1lo
+            MOV &BASE,TOS           ; -- ud1lo ud1hi x base
+            MOV #UMSTARNEXT2,IP     ;
+UMSTARTWO   JMP UMSTAR1             ; ud1lo * base -- x ud4hi   X=ud4lo
 UMSTARNEXT2 FORTHtoASM              ; -- ud1lo ud1hi x ud4hi    r-- IP adr count ud3lo digit
-            ADD     @RSP+,X         ; -- ud1lo ud1hi x ud4hi    X = ud4lo+digit = ud2lo
-MPLUS       ADDC    @RSP+,TOS       ; -- ud1lo ud1hi x ud2hi    TOS = ud4hi+ud3lo+carry = ud2hi
-            MOV     X,4(PSP)        ; -- ud2lo ud1hi x ud2hi           
-            MOV     TOS,2(PSP)      ; -- ud2lo ud2hi x x        R-- IP adr count 
-            .word   172Bh           ; -- ud2lo ud2hi x x        T=count, S=adr  POPM T,S,IP
-TONUMPLUS   ADD     #1,S            ;
-            SUB     #1,T            ;
-            JNZ     TONUMLOOP       ; -- ud2lo ud2hi x x        S=adr+1, T=count-1, X=ud2lo
-TONUMEND    MOV     S,0(PSP)        ; -- ud2lo ud2hi adr2 count2
-            MOV     T,TOS           ; -- ud2lo ud2hi adr2 count2
+            ADD @RSP+,X             ; -- ud1lo ud1hi x ud4hi    X = ud4lo+digit = ud2lo
+MPLUS       ADDC @RSP+,TOS          ; -- ud1lo ud1hi x ud2hi    TOS = ud4hi+ud3lo+carry = ud2hi
+            MOV X,4(PSP)            ; -- ud2lo ud1hi x ud2hi           
+            MOV TOS,2(PSP)          ; -- ud2lo ud2hi x x        R-- IP adr count 
+            .word 172Bh             ; -- ud2lo ud2hi x x        T=count, S=adr  POPM T,S,IP
+TONUMPLUS   ADD #1,S                ;
+            SUB #1,T                ;
+            JNZ TONUMLOOP           ; -- ud2lo ud2hi x x        S=adr+1, T=count-1, X=ud2lo
+TONUMEND    MOV S,0(PSP)            ; -- ud2lo ud2hi adr2 count2
+            MOV T,TOS               ; -- ud2lo ud2hi adr2 count2
             mNEXT                   ; 46 words
 
 ; convert a string to a signed number
@@ -1999,114 +2001,114 @@ TONUMEND    MOV     S,0(PSP)        ; -- ud2lo ud2hi adr2 count2
 ; with FIXPOINT_INPUT switched ON, fixed point signed numbers (with a comma) are recognised.
 ; prefixes # % $ - are processed before calling >NUMBER, decimal point and comma are >NUMBER exits
 ;            FORTHWORD "?NUMBER"
-QNUMBER     MOV     #0,S            ;1
-            MOV     &BASE,T         ;3          T=BASE
-            BIC     #UF9,SR         ;2          reset flag UF9 used here as decimal point flag
-            .word   152Dh           ;5          R-- IP sign base
-            MOV     #QNUMNEXT,IP    ;2          define return from >NUMBER
-            SUB     #8,PSP          ;1 -- x x x x c-addr
-            MOV     TOS,6(PSP)      ;3 -- c-addr x x x c-addr
-            MOV     #0,4(PSP)       ;3
-            MOV     #0,2(PSP)       ;3 -- c-addr ud=0 x c-addr
-            MOV     TOS,S           ;1
-            MOV.B   @S+,T           ;2 -- c-addr ud=0 x x   S=adr, T=count
-            MOV.B   @S,X            ;2                      X=char
-            SUB.B   #',',X          ;2
-            JHS     QSIGN           ;2                      for current base, and for ',' or '.' process
-            SUB.B   #1,X            ;1
-QBINARY     MOV     #2,&BASE        ;3                      preset base 2
-            ADD.B   #8,X            ;1                      '%' + 8 = '-'   binary number ?
-            JZ      PREFIXED        ;2
-QDECIMAL    ADD     #8,&BASE        ;4
-            ADD.B   #2,X            ;1                      '#' + 2 = '%'   decimal number ?
-            JZ      PREFIXED        ;2
-QHEXA       MOV     #16,&BASE       ;4
-            SUB.B   #1,X            ;2                      '$' - 1 = '#'   hex number ?
-            JNZ     TONUMLOOP       ;2 -- c-addr ud=0 x x   other cases will cause error
-PREFIXED    ADD     #1,S            ;1
-            SUB     #1,T            ;1 -- c-addr ud=0 x x   S=adr+1 T=count-1
-            MOV.B   @S,X            ;2                      X=2th char, W=adr
-            SUB.B   #',',X          ;2
-QSIGN       CMP.B   #1,X            ;1
-            JNZ     TONUMLOOP       ;2                      for positive number and for , or . process
-            MOV     #-1,2(RSP)      ;3                      R-- IP sign base
-            JMP     TONUMPLUS       ;2
+QNUMBER     MOV #0,S                ;1
+            MOV &BASE,T             ;3          T=BASE
+            BIC #UF9,SR             ;2          reset flag UF9 used here as decimal point flag
+            .word 152Dh             ;5          R-- IP sign base
+            MOV #QNUMNEXT,IP        ;2          define >NUMBER return
+            SUB #8,PSP              ;1 -- x x x x c-addr
+            MOV TOS,6(PSP)          ;3 -- c-addr x x x c-addr
+            MOV #0,4(PSP)           ;3
+            MOV #0,2(PSP)           ;3 -- c-addr ud=0 x c-addr
+            MOV TOS,S               ;1
+            MOV.B @S+,T             ;2 -- c-addr ud=0 x x   S=adr, T=count
+            MOV.B @S,X              ;2                      X=char
+            SUB.B #',',X            ;2
+            JHS QSIGN               ;2                      for current base, and for ',' or '.' process
+            SUB.B #1,X              ;1
+QBINARY     MOV #2,&BASE            ;3                      preset base 2
+            ADD.B #8,X              ;1                      '%' + 8 = '-'   binary number ?
+            JZ PREFIXED             ;2
+QDECIMAL    ADD #8,&BASE            ;4
+            ADD.B #2,X              ;1                      '#' + 2 = '%'   decimal number ?
+            JZ PREFIXED             ;2
+QHEXA       MOV #16,&BASE           ;4
+            SUB.B #1,X              ;2                      '$' - 1 = '#'   hex number ?
+            JNZ TONUMLOOP           ;2 -- c-addr ud=0 x x   other cases will cause error
+PREFIXED    ADD #1,S                ;1
+            SUB #1,T                ;1 -- c-addr ud=0 x x   S=adr+1 T=count-1
+            MOV.B @S,X              ;2                      X=2th char, W=adr
+            SUB.B #',',X            ;2
+QSIGN       CMP.B #1,X              ;1
+            JNZ TONUMLOOP           ;2                      for positive number and for , or . process
+            MOV #-1,2(RSP)          ;3                      R-- IP sign base
+            JMP TONUMPLUS           ;2
 ; ----------------------------------;45
 QNUMNEXT    FORTHtoASM              ;  -- c-addr ud2lo-hi addr2 cnt2    R-- IP sign BASE    S=addr2,T=cnt2
-            CMP     #0,TOS          ;1                                  cnt2=0 ? conversion is ok ?
-            JZ      QNUMNEXT1       ;2                                  yes
-            BIT     #UF9,SR         ;2                                  already flagged double ? 
+            CMP #0,TOS              ;1                                  cnt2=0 ? conversion is ok ?
+            JZ QNUMNEXT1            ;2                                  yes
+            BIT #UF9,SR             ;2                                  already flagged double ? 
                                     ;                                   ( test to discard repeated points or repeated commas)
-            JNZ     QNUMNEXT1       ;2                                  abort
-            BIS     #UF9,SR         ;2                                  set double number flag
+            JNZ QNUMNEXT1           ;2                                  abort
+            BIS #UF9,SR             ;2                                  set double number flag
+; ----------------------------------;
 
     .IFDEF FIXPOINT_INPUT
 
-QNUMDP      CMP.B   #'.',0(S)       ;4                                  rejected char by >NUMBER is a decimal point ?
-            JNZ     QS15Q16         ;2                                  no
-QNUMDPFOUND SUB     #2,IP           ;1                                      set >NUMBER return address
-            JMP     TONUMPLUS       ;2                                      to terminate conversion
-QS15Q16     CMP.B   #',',0(S)       ;5                                  rejected char by >NUMBER is a comma ?
-            JNZ     QNUMNEXT1       ;2                                  no
-; ----------------------------------;
-S15Q16      MOV     T,W             ;1 -- c-addr ud2lo x x x            W=cnt2
-            MOV     &BASE,T         ;3                                  T=current base
-            MOV     #0,X            ;1 -- c-addr ud2lo x 0 x            init ud2lo' = 0
-S15Q16LOOP  MOV     X,2(PSP)        ;3 -- c-addr ud2lo ud2lo' ud2lo' x  X = 0(PSP) = ud2lo'   
-            SUB.B   #1,W            ;1                                  decrement cnt2
-            MOV     W,X             ;1                                  X = cnt2-1
-            ADD     S,X             ;1                                  X = end_of_string-1, first...
-            MOV.B   @X,X            ;2                                  X = last char of string, first...
-            SUB     #30h,X          ;2                                  char --> digit conversion
-            CMP.B   #10,X           ;2
-            JLO     QS15Q16DIGI     ;2
-            SUB.B   #7,X            ;2
-            CMP.B   #10,X           ;2
-            JLO     S15Q16EOC       ;2
-QS15Q16DIGI CMP     T,X             ;1                                  R-- IP sign BASE    is X a digit ?
-            JHS     S15Q16EOC       ;2 -- c-addr ud2lo ud2lo' x ud2lo'  if no
-            MOV     X,0(PSP)        ;3 -- c-addr ud2lo ud2lo' digit x 
-            MOV     T,TOS           ;1 -- c-addr ud2lo ud2lo' digit     base R-- IP sign base
-            .word   152Ch           ;6                                  PUSH S,T,W: R-- IP sign base addr2 base cnt2     
-            CALL    #MUSMOD         ;4 -- c-addr ud2lo ur uqlo uqhi
-            .word   172Ah           ;6                                  restore W,T,S: R-- IP sign BASE     
-            JMP     S15Q16LOOP      ;2                                  W=cnt
-S15Q16EOC   MOV     4(PSP),2(PSP)   ;5 -- c-addr ud2lo ud2lo uqlo x     ud2lo from >NUMBER part1 becomes here ud2hi=S15 part2
-            MOV     @PSP,4(PSP)     ;4 -- c-addr ud2lo ud2hi x x        uqlo becomes ud2lo
-            MOV     W,TOS           ;1 -- c-addr ud2lo ud2hi x cnt2
-            CMP.B   #0,TOS          ;1                                  TOS = 0 if end of conversion char = ',' (happy end)
+QNUMDP      CMP.B #'.',0(S)         ;4                                  rejected char by >NUMBER is a decimal point ?
+            JNZ QS15Q16             ;2                                  no
+QNUMDPFOUND SUB #2,IP               ;1                                      set >NUMBER return address
+            JMP TONUMPLUS           ;2                                      to terminate conversion
+QS15Q16     CMP.B #',',0(S)         ;5                                  rejected char by >NUMBER is a comma ?
+            JNZ QNUMNEXT1           ;2                                  no
+S15Q16      MOV T,W                 ;1 -- c-addr ud2lo x x x            W=cnt2
+            MOV &BASE,T             ;3                                  T=current base
+            MOV #0,X                ;1 -- c-addr ud2lo x 0 x            init ud2lo' = 0
+S15Q16LOOP  MOV X,2(PSP)            ;3 -- c-addr ud2lo ud2lo' ud2lo' x  X = 0(PSP) = ud2lo'   
+            SUB.B #1,W              ;1                                  decrement cnt2
+            MOV W,X                 ;1                                  X = cnt2-1
+            ADD S,X                 ;1                                  X = end_of_string-1, first...
+            MOV.B @X,X              ;2                                  X = last char of string, first...
+            SUB #30h,X              ;2                                  char --> digit conversion
+            CMP.B #10,X             ;2
+            JLO QS15Q16DIGI         ;2
+            SUB.B #7,X              ;2
+            CMP.B #10,X             ;2
+            JLO S15Q16EOC           ;2
+QS15Q16DIGI CMP T,X                 ;1                                  R-- IP sign BASE    is X a digit ?
+            JHS S15Q16EOC           ;2 -- c-addr ud2lo ud2lo' x ud2lo'  if no
+            MOV X,0(PSP)            ;3 -- c-addr ud2lo ud2lo' digit x 
+            MOV T,TOS               ;1 -- c-addr ud2lo ud2lo' digit     base R-- IP sign base
+            .word 152Ch             ;6                                  PUSH S,T,W: R-- IP sign base addr2 base cnt2     
+            CALL #MUSMOD            ;4 -- c-addr ud2lo ur uqlo uqhi
+            .word 172Ah             ;6                                  restore W,T,S: R-- IP sign BASE     
+            JMP S15Q16LOOP          ;2                                  W=cnt
+S15Q16EOC   MOV 4(PSP),2(PSP)       ;5 -- c-addr ud2lo ud2lo uqlo x     ud2lo from >NUMBER part1 becomes here ud2hi=S15 part2
+            MOV @PSP,4(PSP)         ;4 -- c-addr ud2lo ud2hi x x        uqlo becomes ud2lo
+            MOV W,TOS               ;1 -- c-addr ud2lo ud2hi x cnt2
+            CMP.B #0,TOS            ;1                                  TOS = 0 if end of conversion char = ',' (happy end)
 
     .ELSE ; no FIXPOINT_INPUT
 
-QNUMDP      CMP.B   #'.',0(S)       ;4                                  rejected char by >NUMBER is a decimal point ?
-            JNZ     QNUMNEXT1       ;2                                  no
-QNUMDPFOUND SUB     #2,IP           ;1                                      set >NUMBER return address
-            JMP     TONUMPLUS       ;2                                      to terminate conversion
+QNUMDP      CMP.B #'.',0(S)         ;4                                  rejected char by >NUMBER is a decimal point ?
+            JNZ QNUMNEXT1           ;2                                  no
+QNUMDPFOUND SUB #2,IP               ;1                                      set >NUMBER return address
+            JMP TONUMPLUS           ;2                                      to terminate conversion
 
     .ENDIF
 
 ; ----------------------------------;97
-QNUMNEXT1   .word   0172Bh          ;4 -- c-addr ud2lo-hi x cnt2        POPM T,S,IP   S = sign flag = {-1;0}
-            MOV     S,TOS           ;1 -- c-addr ud2lo-hi x sign
-            MOV     T,&BASE         ;3
-            JZ      QNUMOK          ;2 -- c-addr ud2lo-hi x sign        conversion OK
-QNUMKO      ADD     #6,PSP          ;1 -- c-addr sign
-            AND     #0,TOS          ;1 -- c-addr ff                     TOS=0 and Z=1 ==> conversion ko
+QNUMNEXT1   .word 0172Bh            ;4 -- c-addr ud2lo-hi x cnt2        POPM T,S,IP   S = sign flag = {-1;0}
+            MOV S,TOS               ;1 -- c-addr ud2lo-hi x sign
+            MOV T,&BASE             ;3
+            JZ QNUMOK               ;2 -- c-addr ud2lo-hi x sign        conversion OK
+QNUMKO      ADD #6,PSP              ;1 -- c-addr sign
+            AND #0,TOS              ;1 -- c-addr ff                     TOS=0 and Z=1 ==> conversion ko
             mNEXT                   ;4
 ; ----------------------------------;
-QNUMOK      ADD     #2,PSP          ;1 -- c-addr ud2lo-hi sign
-            MOV     2(PSP),4(PSP)   ;  -- udlo udlo udhi sign
-            MOV     @PSP+,0(PSP)    ;4 -- udlo udhi sign                note : PSP is incremented before write back !!!
-            XOR     #-1,TOS         ;1 -- udlo udhi inv(sign)
-            JNZ     QDOUBLE         ;2                                  if jump : TOS=-1 and Z=0 ==> conversion ok
-Q2NEGATE    XOR     #-1,TOS         ;1 -- udlo udhi tf
-            XOR     #-1,2(PSP)      ;3 -- dlo-1 dhi-1 tf
-            XOR     #-1,0(PSP)      ;3 -- dlo-1 udhi tf
-            ADD     #1,2(PSP)       ;3 -- dlo dhi-1 tf
-            ADDC    #0,0(PSP)       ;3 -- dlo dhi tf
-QDOUBLE     BIT     #UF9,SR         ;2      decimal point added ?
-            JNZ     QNUMEND         ;2      leave double
-            ADD     #2,PSP          ;1      leave number
+QNUMOK      ADD #2,PSP              ;1 -- c-addr ud2lo-hi sign
+            MOV 2(PSP),4(PSP)       ;  -- udlo udlo udhi sign
+            MOV @PSP+,0(PSP)        ;4 -- udlo udhi sign                note : PSP is incremented before write back !!!
+            XOR #-1,TOS             ;1 -- udlo udhi inv(sign)
+            JNZ QDOUBLE             ;2                                  if jump : TOS=-1 and Z=0 ==> conversion ok
+Q2NEGATE    XOR #-1,TOS             ;1 -- udlo udhi tf
+            XOR #-1,2(PSP)          ;3 -- dlo-1 dhi-1 tf
+            XOR #-1,0(PSP)          ;3 -- dlo-1 udhi tf
+            ADD #1,2(PSP)           ;3 -- dlo dhi-1 tf
+            ADDC #0,0(PSP)          ;3 -- dlo dhi tf
+QDOUBLE     BIT #UF9,SR             ;2      decimal point added ?
+            JNZ QNUMEND             ;2      leave double
+            ADD #2,PSP              ;1      leave number
 QNUMEND     mNEXT                   ;4                           TOS=-1 and Z=0 ==> conversion ok
 ; ----------------------------------;128 words
 
@@ -2115,109 +2117,94 @@ QNUMEND     mNEXT                   ;4                           TOS=-1 and Z=0
 ;https://forth-standard.org/standard/core/EXECUTE
 ;C EXECUTE   i*x xt -- j*x   execute Forth word at 'xt'
             FORTHWORD "EXECUTE"
-EXECUTE     MOV     TOS,W       ; 1 put word address into W
-            MOV     @PSP+,TOS   ; 2 fetch new TOS
-            MOV     W,PC        ; 3 fetch code address into PC
+EXECUTE     MOV TOS,W               ; 1 put word address into W
+            MOV @PSP+,TOS           ; 2 fetch new TOS
+            MOV W,PC                ; 3 fetch code address into PC
 
 ;https://forth-standard.org/standard/core/Comma
 ;C ,    x --           append cell to dict
             FORTHWORD ","
-COMMA       MOV     &DDP,W      ;3
-            ADD     #2,&DDP     ;3
-            MOV     TOS,0(W)    ;3
-            MOV     @PSP+,TOS   ;2
-            mNEXT               ;4 15~
+COMMA       MOV &DDP,W              ;3
+            ADD #2,&DDP             ;3
+            MOV TOS,0(W)            ;3
+            MOV @PSP+,TOS           ;2
+            mNEXT                   ;4 15~
 
 ;https://forth-standard.org/standard/core/LITERAL
 ;C LITERAL  (n|d) --        append single numeric literal if compiling state
 ;           (n|d) --        append double numeric literal if compiling state and if UF9<>0 (not ANS)
-            FORTHWORDIMM "LITERAL"      ; immediate
-LITERAL     CMP     #0,&STATE   ;3
-            JZ      LITERALEND  ;2
-LITERAL1    MOV     &DDP,W      ;3
-            ADD     #4,&DDP     ;3
-            MOV     #lit,0(W)   ;4
-            MOV     TOS,2(W)    ;3
-            MOV     @PSP+,TOS   ;2
-            BIT     #UF9,SR     ;2
-            BIC     #UF9,SR     ;2
-            JNZ     LITERAL1    ;2
-LITERALEND  mNEXT               ;4 30~
+            FORTHWORDIMM "LITERAL"  ; immediate
+LITERAL     CMP #0,&STATE           ;3
+            JZ LITERALEND           ;2 if not immediate, leave n|d on the stack
+LITERAL1    MOV &DDP,W              ;3
+            ADD #4,&DDP             ;3
+            MOV #lit,0(W)           ;4
+            MOV TOS,2(W)            ;3
+            MOV @PSP+,TOS           ;2
+            BIT #UF9,SR             ;2
+            BIC #UF9,SR             ;2
+            JNZ LITERAL1            ;2
+LITERALEND  mNEXT                   ;4 30~
 
 ;https://forth-standard.org/standard/core/COUNT
 ;C COUNT   c-addr1 -- adr len   counted->adr/len
             FORTHWORD "COUNT"
-COUNT       SUB     #2,PSP      ;1
-            ADD     #1,TOS      ;1
-            MOV     TOS,0(PSP)  ;3
-            MOV.B   -1(TOS),TOS ;3
-            mNEXT               ;4 15~
+COUNT       SUB #2,PSP              ;1
+            ADD #1,TOS              ;1
+            MOV TOS,0(PSP)          ;3
+            MOV.B -1(TOS),TOS       ;3
+            mNEXT                   ;4 15~
 
 ;C INTERPRET    i*x addr u -- j*x      interpret given buffer
 ; This is the common factor of EVALUATE and QUIT.
 ; set addr u as input buffer then parse it word by word
-;            FORTHWORD "INTERPRET"
-INTERPRET   MOV     TOS,&SOURCE_LEN     ; -- addr u     buffer lentgh  ==> ticksource variable
-            MOV     @PSP+,&SOURCE_ADR   ; -- u          buffer address ==> ticksource+2 variable
-            MOV     @PSP+,TOS           ; --
-            MOV     #0,&TOIN            ;
-            mDOCOL                      ;
-INTLOOP     .word   FBLANK,WORDD        ; -- c-addr     Z = End Of Line
-            FORTHtoASM                  ;
-            MOV     #INTFINDNEXT,IP     ;2              define INTFINDNEXT as FIND return
-            JNZ     FIND                ;2              if EOL not reached
-            MOV     @PSP+,TOS           ; --            else EOL is reached
-            MOV     @RSP+,IP            ; --
-            mNEXT                       ;               return to QUIT on EOL
-
-INTFINDNEXT FORTHtoASM                  ; -- c-addr fl  Z = not found
-            MOV     TOS,W               ;               W = flag =(-1|0|+1)  as (normal|not_found|immediate)
-            MOV     @PSP+,TOS           ; -- c-addr
-            MOV     #INTQNUMNEXT,IP     ;2              define QNUMBER return
-            JZ      QNUMBER             ;2 c-addr --    if not found search a number
-            MOV     #INTLOOP,IP         ;2              define (EXECUTE | COMMA) return
-            XOR     &STATE,W            ;3
-            JZ      COMMA               ;2 c-addr --    if W xor STATE = 0 compile xt then loop back to INTLOOP
-            JNZ     EXECUTE             ;2 c-addr --    if W xor STATE <>0 execute xt then loop back to INTLOOP
-
-INTQNUMNEXT FORTHtoASM                  ;  -- n|c-addr fl   Z = not a number, SR(UF9) double number request
-            MOV     @PSP+,TOS           ;2
-            MOV     #INTLOOP,IP         ;2 -- n|c-addr  define LITERAL return
-            JNZ     LITERAL             ;2 n --         execute LITERAL then loop back to INTLOOP
-NotFoundExe ADD.B   #1,0(TOS)           ;3 c-addr --    Not a Number : incr string count to add '?'
-            MOV.B   @TOS,Y              ;2
-            ADD     TOS,Y               ;1
-            MOV.B   #'?',0(Y)           ;5              add '?' to end of word string
-            MOV     #FQABORTYES,IP      ;2              define COUNT return
-            JMP     COUNT               ;2 -- addr len  44 words
+INTERPRET   mDOCOL                  ;
+            .word   SETIB           ;               set Input buffer pointers SOURCE_LEN, SOURCE_ORG clear >IN
+INTLOOP     .word   FBLANK,WORDD    ; -- c-addr     Z = End Of Line
+            FORTHtoASM              ;
+            MOV #INTFINDNEXT,IP     ;2              define INTFINDNEXT as FIND return
+            JNZ FIND                ;2              if EOL not reached
+            JMP DROPEXIT            ;               if EOL reached
+
+INTFINDNEXT FORTHtoASM              ; -- c-addr fl  Z = not found
+            MOV TOS,W               ;               W = flag =(-1|0|+1)  as (normal|not_found|immediate)
+            MOV @PSP+,TOS           ; -- c-addr
+            MOV #INTQNUMNEXT,IP     ;2              define QNUMBER return
+            JZ QNUMBER              ;2 c-addr --    if not found search a number
+            MOV #INTLOOP,IP         ;2              define (EXECUTE | COMMA) return
+            XOR &STATE,W            ;3
+            JZ COMMA                ;2 c-addr --    if W xor STATE = 0 compile xt then loop back to INTLOOP
+            JNZ EXECUTE             ;2 c-addr --    if W xor STATE <>0 execute xt then loop back to INTLOOP
+
+INTQNUMNEXT FORTHtoASM              ;  -- n|c-addr fl   Z = not a number, SR(UF9) double number request
+            MOV @PSP+,TOS           ;2
+            MOV #INTLOOP,IP         ;2 -- n|c-addr  define LITERAL return
+            JNZ LITERAL             ;2 n --         execute LITERAL then loop back to INTLOOP
+NotFoundExe ADD.B #1,0(TOS)         ;3 c-addr --    Not a Number : incr string count to add '?'
+            MOV.B @TOS,Y            ;2
+            ADD TOS,Y               ;1
+            MOV.B #'?',0(Y)         ;5              add '?' to end of word string
+            MOV #FQABORTYES,IP      ;2              define COUNT return
+            JMP COUNT               ;2 -- addr len  36 words
 
 ;https://forth-standard.org/standard/core/EVALUATE
 ; EVALUATE          \ i*x c-addr u -- j*x  interpret string
             FORTHWORD "EVALUATE"
-EVALUATE    MOV    #SOURCE_LEN,X        ;2
-            MOV    @X+,S                ;2 S = SOURCE_LEN
-            MOV    @X+,T                ;2 T = SOURCE_ADR
-            MOV    @X+,W                ;2 W = TOIN
-            .word  153Dh                ;6 PUSHM IP,S,T,W
+EVALUATE    MOV #SOURCE_LEN,X       ;2
+            MOV @X+,S               ;2 S = SOURCE_LEN
+            MOV @X+,T               ;2 T = SOURCE_ADR
+            MOV @X+,W               ;2 W = TOIN
+            .word 153Dh             ;6 PUSHM IP,S,T,W
             ASMtoFORTH
             .word   INTERPRET
             FORTHtoASM
-            MOV     @RSP+,&TOIN         ;4
-            MOV     @RSP+,&SOURCE_ADR   ;4
-            MOV     @RSP+,&SOURCE_LEN   ;4
-            MOV     @RSP+,IP            ;2
+            MOV @RSP+,&TOIN         ;4
+            MOV @RSP+,&SOURCE_ADR   ;4
+            MOV @RSP+,&SOURCE_LEN   ;4
+            MOV @RSP+,IP            ;2
             mNEXT
 
-    .IFDEF SD_CARD_LOADER 
-    .IFDEF CONDCOMP
-    .IFDEF BOOTLOADER
-BOOTLOAD
-    .ENDIF
-    .ENDIF
-    .ENDIF
-
-
-    .IFDEF BOOTLOAD ; IF BOOTLOADER
+    .IFDEF BOOTLOAD ; Boot loader requires Conditional Compilation
 ;c BOOT  --  jump to bootstrap then continues with (QUIT)
         FORTHWORD "BOOT"
 BOOT    MOV #RSTACK,RSP
@@ -2249,29 +2236,28 @@ BOOT    MOV #RSTACK,RSP
 ;https://forth-standard.org/standard/core/QUIT
 ;c QUIT  --     interpret line by line the input stream, but may be redirected as here:
         FORTHWORD "QUIT"
-QUIT    MOV     @PC+,PC
+QUIT    MOV @PC+,PC
         .word   BOOT
 
         FORTHWORD "(QUIT)"
 PARENQUIT
 
-    .ELSE ; no BOOTLOADER
+    .ELSE ; no BOOTLOADER, QUIT is not defered
 ;https://forth-standard.org/standard/core/QUIT
 ;c QUIT  --     interpret line by line the input stream
         FORTHWORD "QUIT"
 QUIT
 
+            MOV #RSTACK,RSP
+            MOV #LSTACK,&LEAVEPTR
+            MOV #0,&STATE
     .ENDIF
-            MOV     #RSTACK,RSP
-            MOV     #LSTACK,&LEAVEPTR
-            MOV     #0,&STATE
-QUIT0       MOV     #0,&SAVE_SYSRSTIV   ;
+QUIT0       MOV #0,&SAVE_SYSRSTIV   ;
             ASMtoFORTH
 QUIT1       .word   XSQUOTE
-            .byte   5,13,10,"ok "       ; CR+LF + Forth prompt
-QUIT2       .word   TYPE                ; display it
-REFILL      .word   FCIB,DUP,CPL        ; -- Org Org size
-            .word   ACCEPT              ; -- Org len (len <= size)
+            .byte   5,13,10,"ok "   ; CR+LF + Forth prompt
+QUIT2       .word   TYPE            ; display it
+            .word   REFILL
 QUIT3       .word   SPACE
 QUIT4       .word   INTERPRET
             .word   DEPTH,ZEROLESS
@@ -2283,51 +2269,50 @@ QUIT4       .word   INTERPRET
             .byte   11,"FRAM full! "
             .word   QABORT
             .word   FSTATE,FETCH
-            .word   QBRAN,QUIT1         ; case of interpretion state
-            .word   XSQUOTE             ; case of compilation state
-            .byte   5,13,10,"   "       ; CR+LF + 3 blanks
+            .word   QBRAN,QUIT1     ; case of interpretion state
+            .word   XSQUOTE         ; case of compilation state
+            .byte   5,13,10,"   "   ; CR+LF + 3 blanks
             .word   BRAN,QUIT2
 
-
-
-
-WIP_DEFER
-            MOV #PARENWARM,&WARM+2      ; reset all FACTORY defered words
-            MOV #PARENSLEEP,&SLEEP+2
-; common part for QABORT and WIPE
-QAB_DEFER   MOV #PARENEMIT,&EMIT+2      ;4 always restore default console output
-            MOV #PARENCR,&CR+2          ;4 and CR to CR EMIT
-            MOV #PARENKEY,&KEY+2        ;4
-    .IFDEF SD_CARD_LOADER
-            MOV #PARENACCEPT,&ACCEPT+2  ;4 always restore default console input
-            MOV #TIB_ORG,&FCIB+2        ;4 TIB is the Current Input Buffer
-    .ENDIF
-    .IFDEF MSP430ASSEMBLER              ; reset all branch labels
-            MOV #0,&CLRBW1              ;3
-            MOV #0,&CLRBW2              ;3
-            MOV #0,&CLRBW3              ;3
-            MOV #0,&CLRFW1              ;3
-            MOV #0,&CLRFW2              ;3
-            MOV #0,&CLRFW3              ;3
-    .ENDIF
-            MOV #10,&BASE               ;4
-            RET
-
 ;https://forth-standard.org/standard/core/ABORT
 ;C ABORT    i*x --   R: j*x --   clear stack & QUIT
             FORTHWORD "ABORT"
-ABORT       MOV     #PSTACK,PSP
-            JMP     QUIT
+ABORT       MOV #PSTACK,PSP
+            JMP QUIT
+
+WIP_DEFER   ; WIPE resets ALL factory defered words
+            MOV #PARENWARM,&WARM+2  ; kill application interrupts init
+            MOV #PARENSLEEP,&SLEEP+2    ; kill application background task
+QAB_DEFER   ; QABORT resets some defered words 
+            MOV #TIB_ORG,&FCIB+2    ;4 TIB is the Current Input Buffer
+            MOV #PARENEMIT,&EMIT+2  ;4 default console output
+            MOV #PARENCR,&CR+2      ;4 CR
+            MOV #PARENKEY,&KEY+2    ;4 KEY
+    .IFDEF DEFER_ACCEPT
+            MOV #PARENACCEPT,&ACCEPT+2  ;4 restore default ACCEPT
+    .ENDIF
+    .IFDEF MSP430ASSEMBLER          ; reset all branch labels
+            MOV #0,&CLRBW1          ;3
+            MOV #0,&CLRBW2          ;3
+            MOV #0,&CLRBW3          ;3
+            MOV #0,&CLRFW1          ;3
+            MOV #0,&CLRFW2          ;3
+            MOV #0,&CLRFW3          ;3
+    .ENDIF  
+            MOV #10,&BASE           ;4
+            RET
 
-RefillUSBtime .equ int(frequency*2730) ; 2730*frequency ==> word size max value @ 24 MHz
+RefillUSBtime .equ int(frequency*2730) ; 2730*frequency ==> 65520 @ max freq (24MHz)
 
 ;Z ?ABORT   f c-addr u --      abort & print msg
 ;            FORTHWORD "?ABORT"
 QABORT      CMP #0,2(PSP)           ; -- f c-addr u         flag test
-QABORTNO    JZ THREEDROP
+            JNZ QABORTYES
+THREEDROP   ADD #4,PSP
+            MOV @PSP+,TOS
+            mNEXT
 
-QABORTYES  ; MOV @PSP+,0(PSP)        ; -- c-addr u    
-            MOV #4882h,&YEMIT       ;                       restore default YEMIT = set ECHO
+QABORTYES   MOV #4882h,&YEMIT       ;                       restore default YEMIT = set ECHO
 
     .IFDEF SD_CARD_LOADER           ; close all handles
             MOV &CurrentHdl,T
@@ -2371,15 +2356,14 @@ QABUSBLOOPI NOP                     ; 1~        <---+   |
             .word   XSQUOTE         ; -- c-addr u c-addr1 u1
             .byte   4,27,"[7m"      ;
             .word   TYPE            ; -- c-addr u       set reverse video
-            .word   lit,LINE,FETCH,QDUP;       if LINE <> 0
+ERRLINE     .word   lit,LINE,FETCH,QDUP;       if LINE <> 0
             .word   QBRAN,ERRLINE_END
             .word   XSQUOTE         ;       displays the line where error occured
             .byte   5,"line:"       ;
             .word   TYPE            ;
-            .word   lit,1,MINUS,UDOT;
-            .word   ECHO            ;       to clear LINE
-ERRLINE_END                         ;
-            .word   TYPE            ; --                type abort message
+            .word   ONEMINUS,UDOT   ;
+            .word   ECHO            ;
+ERRLINE_END .word   TYPE            ; --                type abort message
             .word   XSQUOTE         ; -- c-addr2 u2
             .byte   4,27,"[0m"      ;
             .word   TYPE            ; --                set normal video
@@ -2391,12 +2375,12 @@ ERRLINE_END                         ;
     .IFDEF LOWERCASE
             .word   CAPS_ON         ;
     .ENDIF
-            .word   ABORT           ;
+            .word   ABORT           ; no return
+; ----------------------------------;
 
 ;https://forth-standard.org/standard/core/ABORTq
 ;C ABORT"  i*x flag -- i*x   R: j*x -- j*x  flag=0
 ;C         i*x flag --       R: j*x --      flag<>0
-
             FORTHWORDIMM "ABORT\34"        ; immediate
 ABORTQUOTE  mDOCOL
             .word   SQUOTE
@@ -2416,7 +2400,7 @@ NotFound    .word   NotFoundExe          ; in INTERPRET
 ; \         --      backslash
 ; everything up to the end of the current line is a comment.
             FORTHWORDIMM "\\"      ; immediate
-BACKSLASH   MOV     &SOURCE_LEN,&TOIN   ;
+BACKSLASH   MOV &SOURCE_LEN,&TOIN       ;
             mNEXT
 
 ;-------------------------------------------------------------------------------
@@ -2426,18 +2410,18 @@ BACKSLASH   MOV     &SOURCE_LEN,&TOIN   ;
 ;https://forth-standard.org/standard/core/Bracket
 ;C [        --      enter interpretative state
                 FORTHWORDIMM "["    ; immediate
-LEFTBRACKET     MOV     #0,&STATE
+LEFTBRACKET     MOV #0,&STATE
                 mNEXT
 
 ;https://forth-standard.org/standard/core/right-bracket
 ;C ]        --      enter compiling state
                 FORTHWORD "]"
-RIGHTBRACKET    MOV     #-1,&STATE
+RIGHTBRACKET    MOV  #-1,&STATE
                 mNEXT
 
 ;https://forth-standard.org/standard/core/BracketTick
 ;C ['] <name>        --         find word & compile it as literal
-            FORTHWORDIMM "[']"      ; immediate word, i.e. word executed also during compilation
+            FORTHWORDIMM "[']"      ; immediate word, i.e. word executed during compilation
 BRACTICK    mDOCOL
             .word   TICK            ; get xt of <name>
             .word   lit,lit,COMMA   ; append LIT action
@@ -2446,8 +2430,8 @@ BRACTICK    mDOCOL
 ;https://forth-standard.org/standard/core/DEFERStore
 ;C DEFER!       xt CFA_DEFER --     ; store xt to the address after DODEFER
 ;                FORTHWORD "DEFER!"
-DEFERSTORE  MOV     @PSP+,2(TOS)    ; -- CFA_DEFER          xt --> [CFA_DEFER+2]
-            MOV     @PSP+,TOS       ; --
+DEFERSTORE  MOV @PSP+,2(TOS)        ; -- CFA_DEFER          xt --> [CFA_DEFER+2]
+            MOV @PSP+,TOS           ; --
             mNEXT
 
 ;https://forth-standard.org/standard/core/IS
@@ -2473,19 +2457,18 @@ IS_EXEC     .word   TICK,DEFERSTORE     ; find the word, leave its CFA on the st
 ;https://forth-standard.org/standard/core/IMMEDIATE
 ;C IMMEDIATE        --   make last definition immediate
             FORTHWORD "IMMEDIATE"
-IMMEDIATE   MOV     &LAST_NFA,W
-            BIS.B   #80h,0(W)
+IMMEDIATE   MOV &LAST_NFA,W
+            BIS.B #80h,0(W)
             mNEXT
 
 ;https://forth-standard.org/standard/core/RECURSE
 ;C RECURSE  --      recurse to current definition (compile current definition)
             FORTHWORDIMM "RECURSE"  ; immediate
-RECURSE     MOV     &DDP,X          ;
-            MOV     &LAST_CFA,0(X)  ;
-            ADD     #2,&DDP         ;
+RECURSE     MOV &DDP,X              ;
+            MOV &LAST_CFA,0(X)      ;
+            ADD #2,&DDP             ;
             mNEXT
 
-
 ;https://forth-standard.org/standard/core/POSTPONE
             FORTHWORDIMM "POSTPONE" ; immediate
 POSTPONE    mDOCOL
@@ -2497,16 +2480,62 @@ POSTPONE    mDOCOL
             .word   lit,COMMA
 POST1       .word   COMMA,EXIT
 
-
 ;;Z ?REVEAL   --      if no stack mismatch, link this created word in the CURRENT vocabulary
 ;            FORTHWORD "REVEAL"
-QREVEAL     CMP     PSP,&LAST_PSP   ; Check SP with its saved value by :
-            JZ      GOOD_CSP        ; if no stack mismatch. See MARKER below
+QREVEAL     CMP PSP,&LAST_PSP       ; Check SP with its saved value by :
+            JZ GOOD_CSP             ; if no stack mismatch.
 BAD_CSP     mDOCOL
             .word   XSQUOTE
             .byte   15,"stack mismatch!"
 FQABORTYES  .word   QABORTYES
 
+;https://forth-standard.org/standard/core/Semi
+;C ;            --      end a colon definition
+            FORTHWORDIMM ";"        ; immediate
+SEMICOLON   CMP #0,&STATE           ; in interpret mode semicolon becomes a comment separator
+            JZ BACKSLASH            ; tip: ";" is transparent to the preprocessor, so semicolon comments are kept in file.4th
+            mDOCOL                  ; compile mode
+            .word   lit,EXIT,COMMA
+            .word   QREVEAL,LEFTBRACKET,EXIT
+
+    .IFDEF NONAME
+;https://forth-standard.org/standard/core/ColonNONAME
+;CE :NONAME        -- xt
+        FORTHWORD ":NONAME"
+            SUB #2,PSP
+            MOV TOS,0(PSP)
+            MOV &DDP,TOS
+            MOV TOS,W
+            ADD #4,W
+            MOV W,&DDP
+            MOV #OPCODE,&LAST_THREAD; lure for semicolon REVEAL
+            MOV #ASMTYPE,&LAST_NFA  ; lure for semicolon REVEAL
+            MOV TOS,&LAST_CFA       ; for >DOES (works fine if DTC=2) and RECURSE
+    .ENDIF 
+COLONNEXT
+    .SWITCH DTC
+    .CASE 1
+            MOV #DOCOL1,-4(W)       ; compile CALL rDOCOL
+            SUB #2,&DDP             
+    .CASE 2
+            MOV #DOCOL1,-4(W)       ; compile PUSH IP       3~
+            MOV #DOCOL2,-2(W)       ; compile CALL rEXIT
+    .CASE 3 ; inlined DOCOL
+            MOV #DOCOL1,-4(W)       ; compile PUSH IP       3~
+            MOV #DOCOL2,-2(W)       ; compile MOV PC,IP     1~
+            MOV #DOCOL3,0(W)        ; compile ADD #4,IP     1~
+            MOV #NEXT,+2(W)         ; compile MOV @IP+,PC   4~
+            ADD #4,&DDP           
+    .ENDCASE ; of DTC
+            MOV #-1,&STATE          ; enter compiling state
+SAVE_PSP    MOV PSP,&LAST_PSP       ; save PSP for check compiling, used by QREVEAL
+            mNEXT
+
+;https://forth-standard.org/standard/core/Colon
+;C : <name>     --      begin a colon definition
+            FORTHWORD ":"
+COLON       PUSH #COLONNEXT
+
 ; HEADER        create an header for a new word. Max count of chars = 126
 ;               common code for VARIABLE, CONSTANT, CREATE, DEFER, :, MARKER, CODE, ASM.
 ;               don't link created word in vocabulary.
@@ -2523,17 +2552,17 @@ HEADER      mDOCOL
     .SWITCH THREADS
     .CASE   1                       ;               nothing to do
     .ELSECASE                       ;               multithreading add 5~ 4words
-            MOV.B   @TOS,TOS        ; -- xxx        TOS=first CHAR of new word
+            MOV.B @TOS,TOS          ; -- xxx        TOS=first CHAR of new word
             AND #(THREADS-1)*2,TOS  ; -- xxx        TOS= Thread offset
-            ADD     TOS,X           ; -- xxx        TOS= Thread   X=VOC_PFAx = thread x of VOC_PFA of CURRENT
+            ADD TOS,X               ; -- xxx        TOS= Thread   X=VOC_PFAx = thread x of VOC_PFA of CURRENT
     .ENDCASE
-            MOV     Y,&LAST_NFA     ; -- xxx        NFA --> LAST_NFA            used by QREVEAL, IMMEDIATE
-            MOV     X,&LAST_THREAD  ; -- xxx        VOC_PFAx --> LAST_THREAD    used by QREVEAL
-            MOV     W,&LAST_CFA     ; -- xxx        HERE=CFA --> LAST_CFA       used by DOES>, RECURSE
-            ADD     #4,W            ; -- xxx        by default make room for two words...
-            MOV     W,&DDP          ; -- xxx
-            MOV     @PSP+,TOS       ; --
-            MOV     @RSP+,IP
+            MOV Y,&LAST_NFA         ; -- xxx        NFA --> LAST_NFA            used by QREVEAL, IMMEDIATE
+            MOV X,&LAST_THREAD      ; -- xxx        VOC_PFAx --> LAST_THREAD    used by QREVEAL
+            MOV W,&LAST_CFA         ; -- xxx        HERE=CFA --> LAST_CFA       used by DOES>, RECURSE
+            ADD #4,W                ; -- xxx        by default make room for two words...
+            MOV W,&DDP              ; -- xxx
+            MOV @PSP+,TOS           ; --
+            MOV @RSP+,IP           
             RET                     ; 23 words, W is the new DDP value )
                                     ;           X is LAST_THREAD       > used by VARIABLE, CONSTANT, CREATE, DEFER and :
                                     ;           Y is NFA               )
@@ -2541,18 +2570,18 @@ HEADER      mDOCOL
 ;https://forth-standard.org/standard/core/VARIABLE
 ;C VARIABLE <name>       --                      define a Forth VARIABLE
             FORTHWORD "VARIABLE"
-VARIABLE    CALL    #HEADER         ; W = DDP = CFA + 2 words
-            MOV     #DOVAR,-4(W)    ;   CFA = DOVAR
-            JMP     REVEAL          ;   PFA = undefined
+VARIABLE    CALL #HEADER            ; W = DDP = CFA + 2 words
+            MOV #DOVAR,-4(W)        ;   CFA = DOVAR
+            JMP REVEAL              ;   PFA is undefined
 
 ;https://forth-standard.org/standard/core/CONSTANT
 ;C CONSTANT <name>     n --                      define a Forth CONSTANT (it's also an alias of VALUE)
             FORTHWORD "CONSTANT"
-CONSTANT    CALL    #HEADER         ; W = DDP = CFA + 2 words
-            MOV     #DOCON,-4(W)    ;   CFA = DOCON
-            MOV     TOS,-2(W)       ;   PFA = n
-            MOV     @PSP+,TOS
-            JMP     REVEAL
+CONSTANT    CALL #HEADER            ; W = DDP = CFA + 2 words
+            MOV #DOCON,-4(W)        ;   CFA = DOCON
+            MOV TOS,-2(W)           ;   PFA = n
+            MOV @PSP+,TOS         
+            JMP REVEAL            
 
 ;;https://forth-standard.org/standard/core/VALUE
 ;;( x "<spaces>name" -- )                      define a Forth VALUE
@@ -2573,25 +2602,28 @@ CONSTANT    CALL    #HEADER         ; W = DDP = CFA + 2 words
 ;            FORTHWORDIMM "TO"       ; is an alias of IS
 ;            JMP IS
 
+; usage : SDIB_ORG IS CIB           ; modify Current_Input_Buffer address to read a SD file sector
+;         ...
+;         TIB_ORG IS CIB            ; restore Terminal_Input_Buffer address as Current_Input_Buffer address
 
 ;https://forth-standard.org/standard/core/CREATE
 ;C CREATE <name>        --          define a CONSTANT with its next address
 ; Execution: ( -- a-addr )          ; a-addr is the address of name's data field
 ;                                   ; the execution semantics of name may be extended by using DOES>
             FORTHWORD "CREATE"
-CREATE      CALL    #HEADER         ; --        W = DDP
-            MOV     #DOCON,-4(W)    ;4  CFA = DOCON
-            MOV     W,-2(W)         ;3  PFA = next address
-            JMP     REVEAL
+CREATE      CALL #HEADER            ; --        W = DDP
+            MOV #DOCON,-4(W)        ;4  CFA = DOCON
+            MOV W,-2(W)             ;3  PFA = next address
+            JMP REVEAL           
 
 ;https://forth-standard.org/standard/core/DOES
 ;C DOES>    --          set action for the latest CREATEd definition
             FORTHWORD "DOES>"
-DOES        MOV     &LAST_CFA,W     ; W = CFA of CREATEd word
-            MOV     #DODOES,0(W)    ; replace CFA (DOCON) by new CFA (DODOES)
-            MOV     IP,2(W)         ; replace PFA by the address after DOES> as execution address
-            MOV     @RSP+,IP        ; exit of the new created word
-NEXTADR     mNEXT
+DOES        MOV &LAST_CFA,W         ; W = CFA of CREATEd word
+            MOV #DODOES,0(W)        ; replace CFA (DOCON) by new CFA (DODOES)
+            MOV IP,2(W)             ; replace PFA by the address after DOES> as execution address
+            MOV @RSP+,IP            ; exit of the new created word
+PFA_DEFER   mNEXT
 
 ;https://forth-standard.org/standard/core/DEFER
 ;C DEFER "<spaces>name"   -- 
@@ -2603,62 +2635,31 @@ NEXTADR     mNEXT
 ;until the phrase ' word IS name is executed, causing a new value of xt to be assigned to name.
 
             FORTHWORD "DEFER"
-DEFER       CALL    #HEADER
-            MOV     #4030h,-4(W)    ;4  CFA = MOV @PC+,PC = BR...
-            MOV     #NEXTADR,-2(W)  ;4  PFA = address of NEXT: created word does nothing by default
-            JMP     REVEAL
+DEFER       CALL #HEADER   
+            MOV #4030h,-4(W)        ;4  CFA = MOV @PC+,PC = BR...
+            MOV #PFA_DEFER,-2(W)    ;4  PFA = address of NEXT: created word does nothing by default
+            JMP REVEAL             
 
+    .IFDEF CONDCOMP
 
-;https://forth-standard.org/standard/core/Colon
-;C : <name>     --      begin a colon definition
-            FORTHWORD ":"
- COLON:      CALL    #HEADER
-    .SWITCH DTC
-    .CASE 1
-            MOV     #DOCOL1,-4(W)   ; compile CALL rDOCOL
-            SUB     #2,&DDP
-    .CASE 2
-            MOV     #DOCOL1,-4(W)   ; compile PUSH IP       3~
-            MOV     #DOCOL2,-2(W)   ; compile CALL rEXIT
-    .CASE 3 ; inlined DOCOL
-            MOV     #DOCOL1,-4(W)   ; compile PUSH IP       3~
-            MOV     #DOCOL2,-2(W)   ; compile MOV PC,IP     1~
-            MOV     #DOCOL3,0(W)    ; compile ADD #4,IP     1~
-            MOV     #NEXT,+2(W)     ; compile MOV @IP+,PC   4~
-            ADD     #4,&DDP
-    .ENDCASE ; of DTC
-            MOV     #-1,&STATE      ; enter compiling state
-SAVE_PSP    MOV     PSP,&LAST_PSP   ; save PSP for check compiling, used by QREVEAL
-            mNEXT
-
-;https://forth-standard.org/standard/core/Semi
-;C ;            --      end a colon definition
-            FORTHWORDIMM ";"        ; immediate
-SEMICOLON   CMP     #0,&STATE       ; interpret mode : semicolon becomes a comment separator
-            JZ      BACKSLASH       ; tip: ";" is transparent to the preprocessor, so semicolon comments are kept in file.4th
-            mDOCOL                  ; compile mode
-            .word   lit,EXIT,COMMA
-            .word   QREVEAL,LEFTBRACKET,EXIT
-
-; ------------------------------------------------------------------------------------------
+; ------------------------------------------------------------------------------
 ; forthMSP430FR :  CONDITIONNAL COMPILATION
-; ------------------------------------------------------------------------------------------
-    .IFDEF CONDCOMP ; 2- conditionnal compilation part
-
+; ------------------------------------------------------------------------------
     .include "forthMSP430FR_CONDCOMP.asm"
 
-    .ENDIF ; CONDCOMP
+            ; compile the words COMPARE [THEN] [ELSE] [IF] [UNDEFINED] [DEFINED] MARKER 
 
-GOOD_CSP    MOV     &LAST_NFA,Y         ;
-            MOV     &LAST_THREAD,X      ;
-REVEAL      MOV     @X,-2(Y)            ; [LAST_THREAD] --> LFA
-            MOV     Y,0(X)              ; LAST_NFA --> [LAST_THREAD]
-            mNEXT
+    .ENDIF  ; CONDCOMP
 
+GOOD_CSP    MOV &LAST_NFA,Y             ; GOOD_CSP is the end of word MARKER
+            MOV &LAST_THREAD,X          ;
+REVEAL      MOV @X,-2(Y)                ; [LAST_THREAD] --> LFA
+            MOV Y,0(X)                  ; LAST_NFA --> [LAST_THREAD]
+            mNEXT
 
-; ----------------------------------------------------------------------
+; ------------------------------------------------------------------------------
 ; CONTROL STRUCTURES
-; ----------------------------------------------------------------------
+; ------------------------------------------------------------------------------
 ; THEN and BEGIN compile nothing
 ; DO compile one word
 ; IF, ELSE, AGAIN, UNTIL, WHILE, REPEAT, LOOP & +LOOP compile two words
@@ -2667,54 +2668,53 @@ REVEAL      MOV     @X,-2(Y)            ; [LAST_THREAD] --> LFA
 ;https://forth-standard.org/standard/core/IF
 ;C IF       -- IFadr    initialize conditional forward branch
             FORTHWORDIMM "IF"       ; immediate
-IFF         SUB     #2,PSP          ;
-            MOV     TOS,0(PSP)      ;
-            MOV     &DDP,TOS        ; -- HERE
-            ADD     #4,&DDP         ;           compile one word, reserve one word
-            MOV     #QBRAN,0(TOS)   ; -- HERE   compile QBRAN
-CELLPLUS    ADD     #2,TOS          ; -- HERE+2=IFadr
+IFF         SUB #2,PSP              ;
+            MOV TOS,0(PSP)          ;
+            MOV &DDP,TOS            ; -- HERE
+            ADD #4,&DDP             ;           compile one word, reserve one word
+            MOV #QBRAN,0(TOS)       ; -- HERE   compile QBRAN
+CELLPLUS    ADD #2,TOS              ; -- HERE+2=IFadr
             mNEXT
 
-
 ;https://forth-standard.org/standard/core/ELSE
 ;C ELSE     IFadr -- ELSEadr        resolve forward IF branch, leave ELSEadr on stack
             FORTHWORDIMM "ELSE"     ; immediate
-ELSS        ADD     #4,&DDP         ; make room to compile two words
-            MOV     &DDP,W          ; W=HERE+4 
-            MOV     #bran,-4(W)
-            MOV     W,0(TOS)        ; HERE+4 ==> [IFadr]
-            SUB     #2,W            ; HERE+2
-            MOV     W,TOS           ; -- ELSEadr
+ELSS        ADD #4,&DDP             ; make room to compile two words
+            MOV &DDP,W              ; W=HERE+4 
+            MOV #bran,-4(W)     
+            MOV W,0(TOS)            ; HERE+4 ==> [IFadr]
+            SUB #2,W                ; HERE+2
+            MOV W,TOS               ; -- ELSEadr
             mNEXT
 
 ;https://forth-standard.org/standard/core/THEN
 ;C THEN     IFadr --                resolve forward branch
             FORTHWORDIMM "THEN"     ; immediate
-THEN        MOV     &DDP,0(TOS)     ; -- IFadr
-            MOV     @PSP+,TOS       ; --
+THEN        MOV &DDP,0(TOS)         ; -- IFadr
+            MOV @PSP+,TOS           ; --
             mNEXT
 
 ;https://forth-standard.org/standard/core/BEGIN
 ;C BEGIN    -- BEGINadr             initialize backward branch
             FORTHWORDIMM "BEGIN"    ; immediate
-BEGIN       MOV     #HERE,PC        ; BR HERE
+BEGIN       MOV #HERE,PC            ; BR HERE
 
 ;https://forth-standard.org/standard/core/UNTIL
 ;C UNTIL    BEGINadr --             resolve conditional backward branch
             FORTHWORDIMM "UNTIL"    ; immediate
-UNTIL       MOV     #qbran,X
-UNTIL1      ADD     #4,&DDP         ; compile two words
-            MOV     &DDP,W          ; W = HERE
-            MOV     X,-4(W)         ; compile Bran or qbran at HERE
-            MOV     TOS,-2(W)       ; compile bakcward adr at HERE+2
-            MOV     @PSP+,TOS
+UNTIL       MOV #qbran,X    
+UNTIL1      ADD #4,&DDP             ; compile two words
+            MOV &DDP,W              ; W = HERE
+            MOV X,-4(W)             ; compile Bran or qbran at HERE
+            MOV TOS,-2(W)           ; compile bakcward adr at HERE+2
+            MOV @PSP+,TOS
             mNEXT
 
 ;https://forth-standard.org/standard/core/AGAIN
 ;X AGAIN    BEGINadr --             resolve uncondionnal backward branch
             FORTHWORDIMM "AGAIN"    ; immediate
-AGAIN       MOV     #bran,X
-            JMP     UNTIL1
+AGAIN       MOV #bran,X    
+            JMP UNTIL1     
 
 ;https://forth-standard.org/standard/core/WHILE
 ;C WHILE    BEGINadr -- WHILEadr BEGINadr
@@ -2728,85 +2728,85 @@ WHILE       mDOCOL
 REPEAT      mDOCOL
             .word   AGAIN,THEN,EXIT
 
-;https://forth-standard.org/standard/core/DO
+;https://forth-standard.org/standard/core/
+
 ;C DO       -- DOadr   L: -- 0
             FORTHWORDIMM "DO"       ; immediate
-DO          SUB     #2,PSP          ;
-            MOV     TOS,0(PSP)      ;
-            ADD     #2,&DDP         ;   make room to compile xdo
-            MOV     &DDP,TOS        ; -- HERE+2
-            MOV     #xdo,-2(TOS)    ;   compile xdo
-            ADD     #2,&LEAVEPTR    ; -- HERE+2     LEAVEPTR+2
-            MOV     &LEAVEPTR,W     ;
-            MOV     #0,0(W)         ; -- HERE+2     L-- 0
+DO          SUB #2,PSP              ;
+            MOV TOS,0(PSP)          ;
+            ADD #2,&DDP             ;   make room to compile xdo
+            MOV &DDP,TOS            ; -- HERE+2
+            MOV #xdo,-2(TOS)        ;   compile xdo
+            ADD #2,&LEAVEPTR        ; -- HERE+2     LEAVEPTR+2
+            MOV &LEAVEPTR,W         ;
+            MOV #0,0(W)             ; -- HERE+2     L-- 0
             mNEXT
 
 ;https://forth-standard.org/standard/core/LOOP
 ;C LOOP    DOadr --         L-- an an-1 .. a1 0
             FORTHWORDIMM "LOOP"     ; immediate
-LOO         MOV     #xloop,X
-ENDLOOP     ADD     #4,&DDP         ; make room to compile two words
-            MOV     &DDP,W
-            MOV     X,-4(W)         ; xloop --> HERE
-            MOV     TOS,-2(W)       ; DOadr --> HERE+2
+LOO         MOV #xloop,X            
+ENDLOOP     ADD #4,&DDP             ; make room to compile two words
+            MOV &DDP,W         
+            MOV X,-4(W)             ; xloop --> HERE
+            MOV TOS,-2(W)           ; DOadr --> HERE+2
 ; resolve all "leave" adr
-LEAVELOOP   MOV     &LEAVEPTR,TOS   ; -- Adr of top LeaveStack cell
-            SUB     #2,&LEAVEPTR    ; --
-            MOV     @TOS,TOS        ; -- first LeaveStack value
-            CMP     #0,TOS          ; -- = value left by DO ?
-            JZ      ENDLOOPEND
-            MOV     W,0(TOS)        ; move adr after loop as UNLOOP adr
-            JMP     LEAVELOOP
-ENDLOOPEND  MOV     @PSP+,TOS
+LEAVELOOP   MOV &LEAVEPTR,TOS       ; -- Adr of top LeaveStack cell
+            SUB #2,&LEAVEPTR        ; --
+            MOV @TOS,TOS            ; -- first LeaveStack value
+            CMP #0,TOS              ; -- = value left by DO ?
+            JZ ENDLOOPEND         
+            MOV W,0(TOS)            ; move adr after loop as UNLOOP adr
+            JMP LEAVELOOP         
+ENDLOOPEND  MOV @PSP+,TOS         
             mNEXT
 
 ;https://forth-standard.org/standard/core/PlusLOOP
 ;C +LOOP   adrs --   L-- an an-1 .. a1 0
             FORTHWORDIMM "+LOOP"    ; immediate
-PLUSLOOP    MOV     #xploop,X
-            JMP     ENDLOOP
+PLUSLOOP    MOV #xploop,X    
+            JMP ENDLOOP      
 
 ;https://forth-standard.org/standard/core/LEAVE
 ;C LEAVE    --    L: -- adrs
             FORTHWORDIMM "LEAVE"    ; immediate
-LEAV        MOV     &DDP,W          ; compile three words
-            MOV     #UNLOOP,0(W)    ; [HERE] = UNLOOP
-            MOV     #BRAN,2(W)      ; [HERE+2] = BRAN
-            ADD     #6,&DDP         ; [HERE+4] = take word for AfterLOOPadr
-            ADD     #2,&LEAVEPTR
-            ADD     #4,W
-            MOV     &LEAVEPTR,X
-            MOV     W,0(X)          ; leave HERE+4 on LEAVEPTR stack
+LEAV        MOV &DDP,W              ; compile three words
+            MOV #UNLOOP,0(W)        ; [HERE] = UNLOOP
+            MOV #BRAN,2(W)          ; [HERE+2] = BRAN
+            ADD #6,&DDP             ; [HERE+4] = take word for AfterLOOPadr
+            ADD #2,&LEAVEPTR     
+            ADD #4,W             
+            MOV &LEAVEPTR,X      
+            MOV W,0(X)              ; leave HERE+4 on LEAVEPTR stack
             mNEXT
 
 ;https://forth-standard.org/standard/core/MOVE
 ;C MOVE    addr1 addr2 u --     smart move
 ;             VERSION FOR 1 ADDRESS UNIT = 1 CHAR
             FORTHWORD "MOVE"
-MOVE        MOV     TOS,W       ; 1
-            MOV     @PSP+,Y     ; dest adrs
-            MOV     @PSP+,X     ; src adrs
-            MOV     @PSP+,TOS   ; pop new TOS
-            CMP     #0,W
-            JZ      MOVE_X      ; already made !
-            CMP     X,Y         ; Y-X ; dst - src
-            JZ      MOVE_X      ; already made !
-            JC      MOVEUP      ; U>= if dst > src
-MOVEDOWN    MOV.B   @X+,0(Y)    ; if X=src > Y=dst copy W bytes down
-            ADD     #1,Y
-            SUB     #1,W
-            JNZ     MOVEDOWN
+MOVE        MOV TOS,W           ; 1
+            MOV @PSP+,Y         ; dest adrs
+            MOV @PSP+,X         ; src adrs
+            MOV @PSP+,TOS       ; pop new TOS
+            CMP #0,W         
+            JZ MOVE_X           ; already made !
+            CMP X,Y             ; Y-X ; dst - src
+            JZ MOVE_X           ; already made !
+            JC MOVEUP           ; U>= if dst > src
+MOVEDOWN    MOV.B @X+,0(Y)      ; if X=src > Y=dst copy W bytes down
+            ADD #1,Y        
+            SUB #1,W        
+            JNZ MOVEDOWN    
             mNEXT
-MOVEUP      ADD     W,Y         ; start at end
-            ADD     W,X
-MOVUP1      SUB     #1,X
-            SUB     #1,Y
-MOVUP2      MOV.B   @X,0(Y)     ; if X=src < Y=dst copy W bytes up
-            SUB     #1,W
-            JNZ     MOVUP1
+MOVEUP      ADD W,Y             ; start at end
+            ADD W,X        
+MOVUP1      SUB #1,X       
+            SUB #1,Y       
+MOVUP2      MOV.B @X,0(Y)       ; if X=src < Y=dst copy W bytes up
+            SUB #1,W
+            JNZ MOVUP1
 MOVE_X      mNEXT
 
-
 ;-------------------------------------------------------------------------------
 ; WORDS SET for VOCABULARY, not ANS compliant
 ;-------------------------------------------------------------------------------
@@ -2910,39 +2910,39 @@ FORTH_BODY  .word   lastforthword
 
     .ELSECASE   ; = CASE 1
     .ENDCASE
-            .word   voclink         ; voclink = 0
+            .word   voclink         ; here, voclink = 0
 voclink         .set    $-2
 
 ;X  ALSO    --                  make room to put a vocabulary as first in context
     .IFDEF VOCABULARY_SET
             FORTHWORD "ALSO"
     .ENDIF ; VOCABULARY_SET
-ALSO        MOV     #14,W           ; -- move up 7 words
-            MOV     #CONTEXT,X      ; X=src
-            MOV     #CONTEXT+2,Y    ; Y=dst
-            JMP     MOVEUP          ; src < dst
+ALSO        MOV #14,W               ; -- move up 7 words
+            MOV #CONTEXT,X          ; X=src
+            MOV #CONTEXT+2,Y        ; Y=dst
+            JMP MOVEUP              ; src < dst
 
 ;X  PREVIOUS   --               pop last vocabulary out of context
     .IFDEF VOCABULARY_SET
             FORTHWORD "PREVIOUS"
     .ENDIF ; VOCABULARY_SET
-PREVIOUS    MOV     #14,W           ; -- move down 7 words
-            MOV     #CONTEXT+2,X    ; X=src
-            MOV     #CONTEXT,Y      ; Y=dst
-            JMP     MOVEDOWN        ; src > dst
+PREVIOUS    MOV #14,W               ; -- move down 7 words
+            MOV #CONTEXT+2,X        ; X=src
+            MOV #CONTEXT,Y          ; Y=dst
+            JMP MOVEDOWN            ; src > dst
 
 ;X ONLY     --      cut context list to access only first vocabulary, ex.: FORTH ONLY
     .IFDEF VOCABULARY_SET
             FORTHWORD "ONLY"
     .ENDIF ; VOCABULARY_SET
-ONLY        MOV     #0,&CONTEXT+2
+ONLY        MOV #0,&CONTEXT+2
             mNEXT
 
 ;X DEFINITIONS  --      set last context vocabulary as entry for further defining words
     .IFDEF VOCABULARY_SET
             FORTHWORD "DEFINITIONS"
     .ENDIF ; VOCABULARY_SET
-DEFINITIONS  MOV     &CONTEXT,&CURRENT
+DEFINITIONS  MOV &CONTEXT,&CURRENT
             mNEXT
 
 ;-------------------------------------------------------------------------------
@@ -2952,36 +2952,36 @@ DEFINITIONS  MOV     &CONTEXT,&CURRENT
 STATE_DOES  ; execution part of PWR_STATE ; sorry, doesn't restore search order pointers
             .word   FORTH,ONLY,DEFINITIONS
             FORTHtoASM              ; -- BODY       IP is free
-            MOV     @TOS+,W         ; -- BODY+2     W = old VOCLINK = VLK
-            MOV     W,&LASTVOC      ; -- BODY+2     restore LASTVOC
-            MOV     @TOS,TOS        ; -- OLD_DP
-            MOV     TOS,&DDP        ; -- OLD_DP     restore DP
+            MOV @TOS+,W             ; -- BODY+2     W = old VOCLINK = VLK
+            MOV W,&LASTVOC          ; -- BODY+2     restore LASTVOC
+            MOV @TOS,TOS            ; -- OLD_DP
+            MOV TOS,&DDP            ; -- OLD_DP     restore DP
                                     ; then restore words link(s) with it value < old DP 
     .SWITCH THREADS
     .CASE   1 ; mono thread vocabularies
-MARKALLVOC  MOV     W,Y             ; -- OLD_DP      W=VLK   Y=VLK
-MRKWORDLOOP MOV     -2(Y),Y         ; -- OLD_DP      W=VLK   Y=NFA
-            CMP     Y,TOS           ; -- OLD_DP      CMP = TOS-Y : OLD_DP-NFA
-            JNC     MRKWORDLOOP     ;                loop back if TOS<Y : OLD_DP<NFA
-            MOV     Y,-2(W)         ;                W=VLK   X=THD   Y=NFA   refresh thread with good NFA
-            MOV     @W,W            ; -- OLD_DP      W=[VLK] = next voclink
-            CMP     #0,W            ; -- OLD_DP      W=[VLK] = next voclink   end of vocs ?
-            JNZ     MARKALLVOC      ; -- OLD_DP      W=VLK                   no : loopback
+MARKALLVOC  MOV W,Y                 ; -- OLD_DP      W=VLK   Y=VLK
+MRKWORDLOOP MOV -2(Y),Y             ; -- OLD_DP      W=VLK   Y=NFA
+            CMP Y,TOS               ; -- OLD_DP      CMP = TOS-Y : OLD_DP-NFA
+            JNC MRKWORDLOOP         ;                loop back if TOS<Y : OLD_DP<NFA
+            MOV Y,-2(W)             ;                W=VLK   X=THD   Y=NFA   refresh thread with good NFA
+            MOV @W,W                ; -- OLD_DP      W=[VLK] = next voclink
+            CMP #0,W                ; -- OLD_DP      W=[VLK] = next voclink   end of vocs ?
+            JNZ MARKALLVOC          ; -- OLD_DP      W=VLK                   no : loopback
 
     .ELSECASE ; multi threads vocabularies
-MARKALLVOC  MOV     #THREADS,IP     ; -- OLD_DP      W=VLK
-            MOV     W,X             ; -- OLD_DP      W=VLK   X=VLK
-MRKTHRDLOOP MOV     X,Y             ; -- OLD_DP      W=VLK   X=VLK   Y=VLK
-            SUB     #2,X            ; -- OLD_DP      W=VLK   X=THD (thread ((case-2)to0))
-MRKWORDLOOP MOV     -2(Y),Y         ; -- OLD_DP      W=VLK   Y=NFA
-            CMP     Y,TOS           ; -- OLD_DP      CMP = TOS-Y : OLD_DP-NFA
-            JNC     MRKWORDLOOP     ;               loop back if TOS<Y : OLD_DP<NFA
-MARKTHREAD  MOV     Y,0(X)          ;               W=VLK   X=THD   Y=NFA   refresh thread with good NFA
-            SUB     #1,IP           ; -- OLD_DP      W=VLK   X=THD   Y=NFA   IP=CFT-1
-            JNZ     MRKTHRDLOOP     ;                       loopback to compare NFA in next thread (thread-1)
-            MOV     @W,W            ; -- OLD_DP      W=[VLK] = next voclink
-            CMP     #0,W            ; -- OLD_DP      W=[VLK] = next voclink   end of vocs ?
-            JNZ     MARKALLVOC      ; -- OLD_DP      W=VLK                   no : loopback
+MARKALLVOC  MOV #THREADS,IP         ; -- OLD_DP      W=VLK
+            MOV W,X                 ; -- OLD_DP      W=VLK   X=VLK
+MRKTHRDLOOP MOV X,Y                 ; -- OLD_DP      W=VLK   X=VLK   Y=VLK
+            SUB #2,X                ; -- OLD_DP      W=VLK   X=THD (thread ((case-2)to0))
+MRKWORDLOOP MOV -2(Y),Y             ; -- OLD_DP      W=VLK   Y=NFA
+            CMP Y,TOS               ; -- OLD_DP      CMP = TOS-Y : OLD_DP-NFA
+            JNC MRKWORDLOOP         ;               loop back if TOS<Y : OLD_DP<NFA
+MARKTHREAD  MOV Y,0(X)              ;               W=VLK   X=THD   Y=NFA   refresh thread with good NFA
+            SUB #1,IP               ; -- OLD_DP      W=VLK   X=THD   Y=NFA   IP=CFT-1
+            JNZ MRKTHRDLOOP         ;                       loopback to compare NFA in next thread (thread-1)
+            MOV @W,W                ; -- OLD_DP      W=[VLK] = next voclink
+            CMP #0,W                ; -- OLD_DP      W=[VLK] = next voclink   end of vocs ?
+            JNZ MARKALLVOC          ; -- OLD_DP      W=VLK                   no : loopback
 
     .ENDCASE ; of THREADS           ; -- DDP
             MOV     @PSP+,TOS       ;
@@ -3024,25 +3024,6 @@ SIGNLOOP    MOV #-1,0(X)            ; reset signature; WARNING ! DON'T CHANGE TH
 ; forthMSP430FR : WARM
 ; ------------------------------------------------------------------------------
 
-; define FREQ  used in WARM message (6)
-    .IF     FREQUENCY = 0.25
-FREQ    .set " .2MHz"
-    .ELSEIF FREQUENCY = 0.5
-FREQ    .set " .5MHz"
-    .ELSEIF FREQUENCY = 1
-FREQ    .set "  1MHz"
-    .ELSEIF FREQUENCY = 2
-FREQ    .set "  2MHz"
-    .ELSEIF FREQUENCY = 4
-FREQ    .set "  4MHz"
-    .ELSEIF FREQUENCY = 8
-FREQ    .set "  8MHz"
-    .ELSEIF FREQUENCY = 16
-FREQ    .set " 16MHz"
-    .ELSEIF FREQUENCY = 24
-FREQ    .set " 24MHz"
-    .ENDIF
-
 ;Z (WARM)   --      ; init some user variables,
                     ; print start message if ECHO is set,
                     ; then ABORT
@@ -3060,7 +3041,8 @@ PARENWARM
 ;            .word   DOT                 ; display SYSSNIV
 ;            .word   DOT                 ; display SYSUNIV
             .word   XSQUOTE
-            .byte   39," FastForth V204",FREQ," (C) J.M.Thoorens "
+;            .byte   39," FastForth ",VER,FREQ," (C) J.M.Thoorens "
+            .byte   31,"FastForth ",VER," (C)J.M.Thoorens "
             .word   TYPE
             .word   LIT,FRAM_FULL,HERE,MINUS,UDOT
             .word   XSQUOTE         ;
@@ -3071,77 +3053,58 @@ PARENWARM
 ;Z WARM   --    ; deferred word used to init your application
                 ; define this word:  : START ...init app here... LIT RECURSE IS WARM (WARM) ;
             FORTHWORD "WARM"
-WARM        MOV     @PC+,PC             ;3
+WARM        MOV @PC+,PC                 ;3
             .word   PARENWARM
 
-; ------------------------------------------------------------------------------
-; forthMSP430FR : COLD
-; ------------------------------------------------------------------------------
-
-;Z COLD     --      performs a software reset
-            FORTHWORD "COLD"
-COLD        MOV     #0A500h+PMMSWBOR,&PMMCTL0
-
-;-------------------------------------------------------------------------------
-; in addition to <reset>, DEEP_RST restores the program as it was in the forthMSP430FR.txt file and the electronic fuse so.
 ;-------------------------------------------------------------------------------
-RESET
+; RESET : Target Init, limited to FORTH usage : I/O, FRAM, RTC
+; all others I/O are set as input with pullup resistor
 ;-------------------------------------------------------------------------------
-; case 1  : Power ON ==> RESET + the volatile program beyond PWR_HERE (not protected by PWR_STATE against POWER OFF) is lost
-;           SYSRSTIV = 2
 
-; case 2 : <reset>  ==> RESET + the program beyond RST_HERE (not protected by RST_STATE against reset) is lost
-;           SYSRSTIV = 4
-; case 2.1 : software <reset> is performed by COLD.
-;           SYSRSTIV = 6
+;Z COLD     --      performs a software reset
+            FORTHWORD "COLD"
+COLD        MOV #0A500h+PMMSWBOR,&PMMCTL0
 
-; case 3 : TERM_TX wired to GND via 4k7 + <reset> ===> DEEP_RST, works even if the electronic fuse is "blown" !
-; case 3.1 : (SYSRSTIV = 0Ah | SYSRSTIV >= 16h) ===> DEEP_RST on failure,
-; case 3.2 : writing -1 in SAVE_SYSRSTIV then COLD ===> software DEEP_RST (WARM displays "-1")
-;-------------------------------------------------------------------------------
 
-;-------------------------------------------------------------------------------
-; RESET : Target Init, limited to FORTH usage : I/O, FRAM, RTC
-; all others I/O are set as input with pullup resistor
-;-------------------------------------------------------------------------------
+RESET
 
-    .include "TargetInit.asm"   ; include for each target the init code
+    .include "TargetInit.asm"   ; include target specific init code
 
 ; reset all interrupt vectors to RESET vector
-            MOV     #RESET,W        ; W = reset vector
-            MOV     #INTVECT,X      ; interrupt vectors base address
-RESETINT    MOV     W,0(X)
-            ADD     #2,X
-            JNZ     RESETINT        ; endloop when X = 0
+            MOV #RESET,W            ; W = reset vector
+            MOV #INTVECT,X          ; interrupt vectors base address
+RESETINT    MOV W,0(X)           
+            ADD #2,X             
+            JNZ RESETINT            ; endloop when X = 0
 
 ; reset default TERMINAL vector interrupt and LPM0 mode for terminal use
-            MOV     &INI_TERM,&TERMVEC
-            MOV     #CPUOFF+GIE,&LPM_MODE
+            MOV &INI_TERM,&TERMVEC
+            MOV #CPUOFF+GIE,&LPM_MODE
 
 ; init RAM
-            MOV     #RAMSTART,X
-INITRAM     MOV     #0,0(X)
-            ADD     #2,X
-            CMP     #RAMEND,X
-            JLO     INITRAM
+            MOV #RAMSTART,X
+INITRAM     MOV #0,0(X)
+            ADD #2,X
+            CMP #RAMEND,X
+            JLO INITRAM
 ;-------------------------------------------------------------------------------
 ; RESET : INIT FORTH machine
 ;-------------------------------------------------------------------------------
-            MOV     #RSTACK,SP      ; init return stack
-            MOV     #PSTACK,PSP     ; init parameter stack
+            MOV #RSTACK,SP          ; init return stack
+            MOV #PSTACK,PSP         ; init parameter stack
     .SWITCH DTC
     .CASE 1
-            MOV     #xdocol,rDOCOL
+            MOV #xdocol,rDOCOL
     .CASE 2
-            MOV     #EXIT,rEXIT
+            MOV #EXIT,rEXIT
     .CASE 3 ; inlined DOCOL, do nothing here
     .ENDCASE
-            MOV     #RFROM,rDOVAR
-            MOV     #xdocon,rDOCON
-            MOV     #xdodoes,rDODOES
+            MOV #RFROM,rDOVAR
+            MOV #xdocon,rDOCON
+            MOV #xdodoes,rDODOES
 
-            MOV     #10,&BASE
-            MOV     #-1,&CAPS
+            MOV #10,&BASE
+            MOV #-1,&CAPS
 
 ;-------------------------------------------------------------------------------
 ; RESET : test TERM_TXD/Deep_RST before init TERM_UART  I/O
@@ -3251,89 +3214,17 @@ COLD_END
 
 ;-------------------------------------------------------------------------------
 ; ADD HERE YOUR PROGRAM TO BE INTEGRATED IN CORE (protected against WIPE)
-;-------------------------------------------------------------------------------
-        
-;-------------------------------------------------------------------------------
-; IT'S FINISH : RESOLVE ASSEMBLY PTR
-;-------------------------------------------------------------------------------
-
-ROMDICT         ; init DDP with this current address
-lastvoclink     .equ voclink
-lastforthword   .equ forthlink
-lastasmword     .equ asmlink
-
-    .IF THREADS <> 1
-
-lastforthword1  .equ forthlink1
-lastforthword2  .equ forthlink2
-lastforthword3  .equ forthlink3
-lastforthword4  .equ forthlink4
-lastforthword5  .equ forthlink5
-lastforthword6  .equ forthlink6
-lastforthword7  .equ forthlink7
-lastforthword8  .equ forthlink8
-lastforthword9  .equ forthlink9
-lastforthword10 .equ forthlink10
-lastforthword11 .equ forthlink11
-lastforthword12 .equ forthlink12
-lastforthword13 .equ forthlink13
-lastforthword14 .equ forthlink14
-lastforthword15 .equ forthlink15
-lastforthword16 .equ forthlink16
-lastforthword17 .equ forthlink17
-lastforthword18 .equ forthlink18
-lastforthword19 .equ forthlink19
-lastforthword20 .equ forthlink20
-lastforthword21 .equ forthlink21
-lastforthword22 .equ forthlink22
-lastforthword23 .equ forthlink23
-lastforthword24 .equ forthlink24
-lastforthword25 .equ forthlink25
-lastforthword26 .equ forthlink26
-lastforthword27 .equ forthlink27
-lastforthword28 .equ forthlink28
-lastforthword29 .equ forthlink29
-lastforthword30 .equ forthlink30
-lastforthword31 .equ forthlink31
-
-lastasmword1    .equ asmlink1
-lastasmword2    .equ asmlink2
-lastasmword3    .equ asmlink3
-lastasmword4    .equ asmlink4
-lastasmword5    .equ asmlink5
-lastasmword6    .equ asmlink6
-lastasmword7    .equ asmlink7
-lastasmword8    .equ asmlink8
-lastasmword9    .equ asmlink9
-lastasmword10   .equ asmlink10
-lastasmword11   .equ asmlink11
-lastasmword12   .equ asmlink12
-lastasmword13   .equ asmlink13
-lastasmword14   .equ asmlink14
-lastasmword15   .equ asmlink15
-lastasmword16   .equ asmlink16
-lastasmword17   .equ asmlink17
-lastasmword18   .equ asmlink18
-lastasmword19   .equ asmlink19
-lastasmword20   .equ asmlink20
-lastasmword21   .equ asmlink21
-lastasmword22   .equ asmlink22
-lastasmword23   .equ asmlink23
-lastasmword24   .equ asmlink24
-lastasmword25   .equ asmlink25
-lastasmword26   .equ asmlink26
-lastasmword27   .equ asmlink27
-lastasmword28   .equ asmlink28
-lastasmword29   .equ asmlink29
-lastasmword30   .equ asmlink30
-lastasmword31   .equ asmlink31
+;vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv
 
-    .ENDIF
+;^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
 
 ;-------------------------------------------------------------------------------
-; Don't forget me !
+; RESOLVE ASSEMBLY PTR
 ;-------------------------------------------------------------------------------
 
+    .include "ResolveThreads.mac"
+
+
     .org 0FFFEh 
     .word reset
 
index fab790b..d6330f5 100644 (file)
@@ -45,10 +45,6 @@ COMPEQUAL
         FORTHWORDIMM "[THEN]"   ; do nothing
         mNEXT
 
-ONEMIN
-        SUB #1,TOS
-        mNEXT
-
 ;[ELSE]
 ;Compilation:
 ;Perform the execution semantics given below.
@@ -79,8 +75,9 @@ BRACKETELSE3                            ;           ELSE
         .byte   6,"[ELSE]"              ; 
         .word   COMPARE                 ;               COMPARE
         .word   QZBRAN,BRACKETELSE5     ;               0= IF
-        .word   TWODROP,ONEMIN          ;                   2DROP 1-
-        .word   DUP,QBRAN,BRACKETELSE4  ;                   DUP IF
+        .word   TWODROP,ONEMINUS        ;                   2DROP 1-
+;        .word   DUP,QBRAN,BRACKETELSE4  ;                  DUP IF
+        .word   DUP,QBRAN,BRACKETELSE7  ;
         .word   ONEPLUS                 ;                       1+
 BRACKETELSE4                            ;                   THEN
         .word   BRAN,BRACKETELSE7       ;               (ENDIF)
@@ -89,32 +86,24 @@ BRACKETELSE5                            ;               ELSE
         .byte   6,"[THEN]"              ; 
         .word   COMPARE                 ;                   COMPARE
         .word   QZBRAN,BRACKETELSE6     ;                   0= IF
-        .word   ONEMIN                  ;                       1-
+        .word   ONEMINUS                ;                       1-
 BRACKETELSE6                            ;                   THEN
 BRACKETELSE7                            ;               THEN
 BRACKETELSE8                            ;           THEN
         .word   QDUP                    ;           ?DUP
-        .word   QZBRAN,BRACKETELSE9     ;           0= IF
+;        .word   QZBRAN,BRACKETELSE9     ;           0= IF
+        .word   QZBRAN,BRACKETELSE2     ;
         .word   EXIT                    ;               EXIT
-BRACKETELSE9                            ;           THEN
-        .word   BRAN,BRACKETELSE2       ;       REPEAT
+;BRACKETELSE9                            ;           THEN
+;        .word   BRAN,BRACKETELSE2       ;       REPEAT
 BRACKETELSE10                           ;
         .word   TWODROP                 ;       2DROP
         .word   XSQUOTE                 ;
-;        .byte   3,13,107,111            ;
-;        .word   TYPE,SPACE              ;       CR ." ko "     to show false branch of conditionnal compilation
         .byte   5,13,10,"ko "           ;
         .word   TYPE                    ;       CR+LF ." ko "     to show false branch of conditionnal compilation
-        .word   FCIB,DUP,CPL            ;                   )  
-                                        ;                   > REFILL
-        .word   ACCEPT                  ;       -- CIB len  )
-        FORTHtoASM                      ;
-        MOV     #0,&TOIN                ;
-        MOV     TOS,&SOURCE_LEN         ;       -- CIB len
-        MOV     @PSP+,&SOURCE_ADR       ;       -- len' 
-        MOV     @PSP+,TOS               ;       --
-        MOV     #BRACKETELSE1,IP        ;   AGAIN
-        mNEXT                           ; 78 words
+        .word   REFILL                  ;       REFILL
+        .word   SETIB                   ;               SET Input Buffer pointers SOURCE_LEN, SOURCE_ORG and clear >IN
+        .word   BRAN,BRACKETELSE1       ;   AGAIN
 
 
 ;[IF]
@@ -188,4 +177,6 @@ MARKER_DOES FORTHtoASM                  ; execution part
             SUB     #2,Y                ;1 Y = LFA
             MOV     Y,2(W)              ;3 [BODY+2] = LFA = DP to be restored
             ADD     #4,&DDP             ;3
-                                        ; the next is GOOD_CSP in forthMSP430FR.asm
+
+
+                                        ; the next in forthMSP430FR.asm is GOOD_CSP
index 792d784..6e1cd64 100644 (file)
@@ -6,6 +6,9 @@
 ; --------------------------------------;
 ; (ACCEPT) part I: prepare TERMINAL_INT ;
 ; --------------------------------------;
+    .IFDEF TOTAL
+            .word 1537h                 ;6              push R7,R6,R5,R4
+    .ENDIF                              ;
             MOV     #ENDACCEPT,S        ;2              S = ACCEPT XOFF return
             MOV     #AKEYREAD1,T        ;2              T = default XON return
             .word   152Dh               ;5              PUSHM IP,S,T, as IP ret, XOFF ret, XON ret
@@ -143,11 +146,14 @@ ENDACCEPT                               ; <--- XOFF return address
 ; --------------------------------------;
             MOV     #LPM0+GIE,&LPM_MODE ; reset LPM_MODE to default mode LPM0 for next line of input stream
             CMP     #0,&LINE            ; if LINE <> 0...
-            JZ      DROPEXIT            ;
-            ADD     #1,&LINE            ; ...increment LINE
-DROPEXIT    SUB     @PSP+,TOS           ; Org Ptr -- len'
-            MOV     @RSP+,IP            ; 2 and continue with INTERPRET with GIE=0.
+            JZ ACCEPTEND                ;
+            ADD #1,&LINE                ; ...increment LINE
+ACCEPTEND   SUB @PSP+,TOS               ; Org Ptr -- len'
+            MOV @RSP+,IP                ; 2 and continue with INTERPRET with GIE=0.
                                         ; So FORTH machine is protected against any interrupt...
+    .IFDEF TOTAL
+            .word 1734h                 ;6              pop R4,R5,R6,R7
+    .ENDIF
             mNEXT                       ; ...until next falling down to LPMx mode of (ACCEPT) part1,
 ; **************************************;    i.e. when the FORTH interpreter has no more to do.
 
diff --git a/howto.md b/howto.md
deleted file mode 100644 (file)
index f5d924f..0000000
--- a/howto.md
+++ /dev/null
@@ -1,805 +0,0 @@
-
-
-    WHAT IS FAST FORTH FOR MSP430FR ?
-    HARDWARE TO START
-    FAST FORTH IS IT AN IDE ?
-    HOW TO MIX ASSEMBLY and FORTH ?
-    WRITING RULES
-    ASSEMBLY WITHOUT LABEL ?
-    SYMBOLIC ASSEMBLER ? YES !
-    COMPILE FAST FORTH FOR YOUR MODULE
-    START YOUR PROJECT
-    Case of MSP430FR2xxx family (with FLL)
-    ANNEXE
-    
-WHAT IS FAST FORTH FOR MSP430FRxxxx ?
---
-
-FAST FORTH is a FORTH program written in MSP430 assembly and it runs on TI's LAUNCHPAD : 
-MSP-EXP430FR5739, MSP-EXP430FR5969, MSP-EXP430FR6989... or any MSP430 FRAM device.
-Its core is ANS FORTH standard compliant.
-
-Built-in assembler allows you to program an application using interruptions and LPMX modes.
-
-If you are beginner in FORTH, a vastefull literature is available on the web, try: http://www.forth.org/tutorials.html.
-Select "starting Forth" of Leo Brodie, that is sufficient for our purpose.
-In addition, as you can see in forthMSP430FR.asm, each FORTH word definition includes a reference to the ANS standard.
-
-
-
-HARDWARE TO START
---
-
-    a TI launchpad, the basic MSP-EXP430FR5969 or the MSP_EXP4305994 with SD card slot.
-
-    an UARTtoUSB cable with a PL2303TA (best choice) :
-        Search :"PL2303TA"
-        RX and TX wires are 3.3V level.
-
-        BE CAREFULL ! if you plan to supply your MSP430FRxxxx device with the PL2303TA cable,
-        you MUST open it to weld the red wire (+) onto the 3.3V pad !!!
-        otherwise, cut it...
-
-
-    or UARTtoUSB bridge with CP2102 device :
-        search on ebay :"UART to USB CP2102"
-        Check for a 3.3V pin before paying !
-
-    
-If you want to test RC5toLCD.f :
-    
-    a standard LCD DISPLAY 2x16 or 2x20 chars,
-    a VISHAY IR receiver TSOP32236 or equivalent plus an IR remote with RC5/RC6 Philips protocol,
-    a piece of PCB to wire the diode, resistor and two capacitors of the LCD_Vo booster. See RC5toLCD.f
-
-And to use the SD_Card extension : 
-
-    http://www.ebay.com/itm/2-PCS-SD-Card-Module-Slot-Socket-Reader-For-Arduino-MCU-/181211954262?pt=LH_DefaultDomain_0&hash=item2a3112fc56
-    http://fr.aliexpress.com/item/5V-3-3V-Compatible-Perfect-SD-Card-Module-Slot-Socket-Reader-For-ARM-MCU-Read/32223868267.html?isOrigTitle=true
-
-It is not wasteful.
-
-
-I suggest you to wire constantly the RX0 TX0 pins of your LAUNCHPAD (RX1 TX1 pins for MSP-EXP430FR6989 launchpad) to a free USB socket on your PC via the cable UARTtoUSB PL2303TA.
-So you can drag and drop HEX file on MSP-EXP430FRxxxxprog.bat to regenerate FORTH kernel or download RC5toLCD.f without doing anything else...
-
-
-FAST FORTH IS IT AN IDE ?
---
-
-YES, if you admit that you can program in FORTH / in assembler, not C... Look at "RC5toLCD.f".
-
-In fact, you have an IDE with two languages, one low level other high level, and it's easy to mix them. 
-
-
-HOW TO MIX assembly and FORTH ?
----
-
-FAST FORTH knows two kinds of words :
-
-    low level assembly words start with CODE <name> and end with ENDCODE.
-
-    high level FORTH words begin with : <name> and end with ;
-
-
-Examples
-
-    CODE ADD    \ Assembly word, alias of word +
-        ADD @PSP+,TOS
-        MOV @IP+,PC
-    ENDCODE
-
-
-    : NOOP      \ FORTH word, do nothing
-        DUP
-        DROP
-    ;
-
-
-
-To end a low level assembly word, the instruction MOV @IP+,PC jumps to the next FORTH word. This faster (4 cycles) and shorter (one word) instruction replaces the famous pair of assembly instructions : CALL #LABEL ... RET (4+4 cycles, 2+1 words). The register IP is the Interpretative Pointer. 
-
-High level FORTH word starts with a boot code DOCOL that save the IP pointer, load it with the first address of a list of execution addresses, then perform a postincrement branch to this first address. The list ends with the address of another piece of code EXIT (6 cycles) that restores IP before the instruction MOV @IP+,PC.
-
-
-here, the compilation of low level word ADD :
-
-                    preamble        \ compiled by the word CODE
-    execution addr  ADD @PSP+,TOS
-                    MOV @IP+,PC     \ instruction called NEXT
-
-and the one of the high level word NOOP :
-
-                    preamble        \ compiled by the word :
-    execution addr  PUSH IP         \ boot code compiled by the word :
-                    CALL rEXIT      \ boot code compiled by the word :
-                    addr of DUP     \ execution addr of DUP
-                    addr of DROP    \ execution addr of DROP
-                    addr of EXIT    \ execution addr of EXIT compiled by the word ;
-
-
-_A high level FORTH word is a list of execution addresses preceded by a boot code and ending with EXIT address._
-
-
-WRITING RULES
---
-
-any low level FORTH words must be ended with the instruction MOV @IP+,PC (NEXT).
-
-        CODE TEST               \ CODE starts a low level word
-            asm1                \ assembly instruction 1
-            asm2                \ assembly instruction 2
-            MOV @IP+,PC         \ NEXT
-        ENDCODE                 \ end of low level word
-
-
-If you want to use the IP register, save it before and restore it before NEXT
-
-        CODE TEST1              \ CODE starts a low level word
-            asm1                \ assembly instructions
-            ...
-            PUSH IP             \ save IP before use
-            MOV #1,IP           \ assembly instruction that uses IP
-            ...                 \ assembly instructions
-            MOV @RSP+,IP        \ restore IP
-            MOV @IP+,PC         \ NEXT
-        ENDCODE                 \ end of low level word
-
-
-A little more complex, the case of mixing FORTH and assembly that is enabled by the words HI2LO, LO2HI and COLON
-
-        : MIX_FORTH_ASM         \ definition of a FORTH word starts with :
-            SWAP
-            DUP
-        HI2LO                   \ FORTH to assembler switch
-            asm1                \ assembly instruction
-            asm2                \ assembly instruction
-            ...                 \ you can freely use IP !
-            ...                 \ assembly instructions
-            MOV @RSP+,IP        \ restore IP
-            MOV @IP+,PC         \ NEXT
-        ENDCODE                 \ end of low level word
-    
-If we see the code "MIX\_FORTH\_ASM" after compilation :
-
-            preamble            \ compiled by :
-    exec@   PUSH IP             \ save IP compiled by :
-            CALL rEXIT          \ execute EXIT compiled by :
-            addr                \ execution addr of SWAP
-            addr                \ execution addr of DUP
-            next addr           \ addr of asm1, compiled by HI2LO
-            asm1                \ assembly instruction
-            asm2                \ assembly instruction
-            ...                 \ you can freely use IP !
-            ...                 \ assembly instructions
-            MOV @RSP+,IP        \ restore IP saved by :
-            MOV @IP+,PC         \ NEXT
-
-the instruction "CALL rEXIT" (CALL R7), have EXIT address as rEXIT content.
-
-
-going a step further :
-
-        CODE MIX_ASM_FORTH      \ CODE starts a low level word
-            asm1                \ assembly instruction 1
-            asm2                \ assembly instruction 2
-        COLON                   \ starts high level
-            word1
-            word2
-        ;                       \ end of high level word
-
-
-If we see this code "MIX\_ASM\_FORTH" after compilation :
-
-            preamble            \ compiled by CODE
-    exec@   asm1                \ assembly instruction 1
-            asm2                \ assembly instruction 2
-            PUSH IP             \ save IP compiled by COLON
-            CALL rEXIT          \ execute EXIT compiled by COLON
-            addr1               \ of word1
-            addr2               \ of word2
-            addr of EXIT        \ the word ; compiles EXIT that restores IP then executes MOV @IP+,PC
-
-
-EXIT is used twice !
-
-the first time, at the start of FORTH word, after save IP:
-
-    EXIT    MOV @RSP+,IP    \ 2 pop into IP next PC pushed on return stack by CALL rEXIT
-            MOV @IP+,PC     \ 4 execute the routine pointed by the the address next "CALL rEXIT" 
-
-then at the end of FORTH word :
-
-    EXIT    MOV @RSP+,IP    \ 2 pop old IP from return stack
-            MOV @IP+,PC     \ 4 execute the routine pointed by the old IP
-
-
-A new step
-
-        : MIX_FORTH_ASM_FORTH   \ definition of a FORTH word starts with :
-            word1
-            word2
-            ...
-        HI2LO                   \ FORTH to assembler switch
-            MOV #0,IP           \ IP is free for use
-            asm1
-            ...
-        LO2HI                   \ assembler to FORTH switch
-            word3
-            word4
-        ;                       \ end of high level word
-
-the compiled result    
-
-            preamble            \ compiled by :
-    exec@   PUSH IP             \ save IP compiled by :
-            CALL rEXIT          \ move next PC from return stack into IP, compiled by :
-            addr1               \ of word1
-            addr2               \ of word2
-            ...
-            next addr           \ compiled by HI2LO
-            MOV #0,IP           \ IP is free for use
-            asm1                \ assembly instruction
-            ...
-            CALL rEXIT          \ compiled by LO2HI (10 cycles switch)
-            addr3               \ of word3
-            addr4               \ of word4
-            addr5               \ of EXIT
-
-Still another step : 
-
-        CODE MIX_ASM_FORTH_ASM  \ CODE starts a low level word
-            asm1                \ assembly instruction
-            asm2                \ assembly instruction
-        COLON                   \ starts high level
-            word
-            ... 
-        HI2LO                   \ FORTH to assembler switch
-            asm3                \ assembly instruction
-            asm4                \ assembly instruction
-            MOV @RSP+,IP        \ restore IP
-            MOV @IP+,PC         \ NEXT
-        ENDCODE                 \ end of low level word
-
-In fact, an exclusive of FAST FORTH, the start of a word FORTH can be placed anywhere :
-
-        CODE MIX_ASM_FORTH_ASM_FORTH
-            asm
-            asm
-            ...
-        COLON                   \ starts high level
-            word
-            word
-            ...
-        HI2LO                   \ FORTH to assembler switch
-            asm
-            asm
-           ...
-        LO2HI                   \ assembler to FORTH switch
-            word
-            word
-            ...
-        ;                       \ end of high level word
-
-with the compiled result :
-
-            preamble            \ compiled by CODE
-    exec@   asm
-            asm
-            PUSH IP             \ compiled by COLON
-            CALL rEXIT          \ compiled by COLON
-            addr
-            addr
-            next address        \ compiled by HI2LO
-            asm
-            asm
-            CALL rEXIT          \ compiled by LO2HI
-            addr
-            addr
-            EXIT addr           \ that restores IP from return stack and then executes MOV @IP+,PC
-
-As we see, IP is saved only once, it's logical.                      
-
-
-ASSEMBLY WITHOUT LABEL ?
----
-
-Yes ! the assembly syntax borrows FORTH's one for jumps :
-
-    CODE TEST_IF_THEN
-        CMP #1,R8           \ set Z,N,V, flags
-        0= IF               \ irritating, the "IF =" upside down, isn't it?
-            ADD R8,R9       \ true part of comparaison
-        THEN                    
-        ...                 \ the next
-        MOV @IP+,PC         \ don't forget...
-    ENDCODE                 \ don't forget...
-
-and the complete version :
-
-    CODE TEST_IF_ELSE_THEN
-        CMP #1,R8           \ set Z,N,V, flags
-        0= IF               \
-            ADD R8,R9       \ true part of comparaison
-        ELSE
-            SUB R8,R9       \ false part of comparaison
-        THEN                    
-        ...                 \ following for the two branches
-        MOV @IP+,PC         \ don't forget...
-    ENDCODE                 \ don't forget...
-
-test for loop back version BEGIN ... UNTIL
-                            
-    CODE TEST_BEGIN_UNTIL
-        MOV #8,R10
-        BEGIN           
-            SUB #1,R10      \ set Z,N,V flags
-        0= UNTIL            \ loop back to BEGIN if flag Z is set
-        ... 
-        MOV @IP+,PC
-    ENDCODE
-
-test for out of loop version BEGIN ... WHILE ... REPEAT
-
-    CODE TEST_BEGIN_WHILE_REPEAT
-        MOV #8,R10
-        BEGIN
-            SUB #1,R10      \ set Z,N,V flags
-        0<> WHILE           \ go to out of loop if X=0 (Z flag =1)
-            XOR #1,R9   
-        REPEAT              \ unconditionnal loop back to BEGIN 
-        ...                 \ out of loop here
-        MOV @IP+,PC
-    ENDCODE
-
-infinite loop :
-
-    CODE TEST_BEGIN_AGAIN
-        BEGIN
-            ADD #1,R9
-        AGAIN               \ unconditionnal loop back to BEGIN 
-    ENDCODE
-
-to quit this infinite loop, press <reset> 
-
-
-We can nest several conditional branches :
-
-    CODE TEST_NESTED_IF_ELSE
-        CMP #0,R10
-        0= IF
-            CMP #0,R10
-            0= IF
-                MOV #0,R11
-            ELSE
-                SUB #1,R11
-            THEN
-        ELSE
-            MOV #1,R11
-        THEN
-        MOV @IP+,PC
-    ENDCODE
-    
-another nest :
-
-    CODE TEST_NESTED_BEGIN_AGAIN_IF
-        MOV #8,R9
-        BEGIN
-            CMP #-1,R9
-            0= IF   
-                MOV @IP+,PC \ out of test_NESTED_BEGIN_AGAIN_IF
-            THEN
-            SUB #1,R9
-        AGAIN
-    ENDCODE
-
-
-you can also MIX conditional branches with a mix of FORTH/assembly :
-
-    see TEST5 in the demo file \MSP430-FORTH\TESTASM.4TH
-
-
-...but not quite !
----
-
-unconditionnal backward jump :
-
-        CODE UNCOND_BACKWARD
-            asm
-            asm
-            JMP TEST        \ jump backward to the word TEST
-        ENDCODE
-
-conditionnal backward jump :
-
-        CODE COND_BACKWARD
-            asm
-            CMP #0,R8
-            S< ?JMP TEST    \ jump backward to TEST if negative
-            asm
-            MOV @IP+,PC
-        ENDCODE
-
-FAST FORTH have one pass assembler, not able to make forward jump.
-
-I have added possibility of several "non canonical" jumps, up to 3 backward and up to 3 forward imbricated jumps to label :
-
-    \ C UM/MOD   udlo|udhi u1 -- ur uq
-    CODE UM/MOD
-        MOV @PSP+,W     \ 2 W = DIVIDENDhi
-        MOV @PSP,S      \ 2 S = DIVIDENDlo
-    \ T.I. ROUTINE  Section 5.1.5 of MSP430 Family Application Reports
-        MOV #0,Y        \ 1 CLEAR RESULT
-        MOV #16,X       \ 2 INITIALIZE LOOP COUNTER
-    BW1 CMP TOS,W       \ 1
-        U< ?GOTO FW1    \ 2 if not carry
-        SUB TOS,W       \ 1 if carry DIVIDENDhi-divisor
-    FW1                 \   FW1 label is resolved therefore reusable
-    BW2 ADDC Y,Y        \ 1 RLC quotient
-        U>= ?GOTO FW1   \ 2 if carry Error: result > 16 bits
-        SUB #1,X        \ 1 Decrement loop counter
-        <0 ?GOTO FW2    \ 2 if 0< terminate w/o error
-        ADD S,S         \ 1 RLA DIVIDENDlo
-        ADDC W,W        \ 1 RLC DIVIDENDhi
-        U< ?GOTO BW1    \ 2 if not carry    14~ loop
-        SUB TOS,W       \ 1 if carry DIVIDENDhi-divisor
-        BIS #1,SR       \ 1 SETC
-        GOTO BW2        \ 2                 14~ loop
-    FW2 BIC #1,SR       \ 1 CLRC  No error, C = 0
-    FW1                 \  Error indication in C
-    \ END T.I. ROUTINE  Section 5.1.5 of MSP430 Family Application Reports
-        MOV W,0(PSP)    \ 3 remainder on stack
-        MOV Y,TOS       \ 1 quotient in TOS
-        MOV @IP+,PC     \ 4
-    ENDCODE
-
-
-SYMBOLIC ASSEMBLER ? YES !
---
-
-I have discovered a little semantic preprocessor "GEMA", just like that FAST FORTH have its symbolic assembler !
-
-    \config\gema\MSP430FR_FastForth.pat contains variables FORTH for all devices
-    \config\gema\MSP430FR57xx.pat contains declarations for FR57 family
-    \config\gema\MSP430FR5x6x.pat ... for FR59/FR69 families
-    \config\gema\MSP430FR2x4x.pat ... for FR2/FR4 families.
-    \config\gema\DEVICE.pat contains memory map and vectors for a specified DEVICE
-    \MSP430-FORTH\LAUNCHPAD.pat is the I/O config file for specific LAUNCHPAD or application
-
-gema translates also FORTH registers in ASM registers (R0 to R15)
-
-If you have created a network drive from your local gitlab directory, it's easy :
-with scite editor open a file.f, then select in the menu "tools" the items "preprocess..." 
-
-furnished examples : see \MSP430-FORTH\
-Enjoy !
-
-Try SD\_TEST.f to build a SD\_Card test.
-
-
-COMPILE FAST FORTH FOR YOUR MODULE
---
-
-The principle is to create (or modify) first existing configuration files only to compile FAST FORTH.
-
-1- in forthMSP430FR.asm "TARGET configuration SWITCHES"  create a line for your target, example:
-
-    ;MY_MSP430FR5738_1 ; compile for my own MSP430FR5738 miniboard
-
-2- in Target.inc add one item:
-
-        .IFDEF MY_MSP430FR5738_1
-        .warning "Code for MY_MSP430FR5738_1"
-    DEVICE = "MSP430FR5738" ; for family.inc file below, defines your device
-    ;CHIP  .equ 5738 ; not used
-    UCA0_UART   ; for family.inc file below, defines uart used by FORTH input terminal 
-    LF_XTAL     ; for family.inc file below, defines if your module have a 32768 Hz xtal, to enable it.
-    UCB0_SD     ; for family.inc file below, defines UC used for SD Card driver if used
-        .include "MSP430FR57xx.inc"  ; include family declarations file: MSP430FR2x4x.inc, MSP430FR57xx.inc or MSP430FR5x6x.inc
-        .ENDIF  ; MY_MSP430FR5738_1
-
-3- complete family.inc file with declarations for your device if not exists. 
-   take care to verify they not already exist in common part at the end of the file.
-
-4- include an item in TargetInit.asm:
-    .IFDEF MY_MSP430FR5738_1
-    .include "MSP430FR5738_1.asm"
-    .ENDIF
-
-5- create your target MSP430FR5738_1.asm from another target.asm as model, then customize declarations.
-
-
-6- if you use SD Card you must add an item in the forthMSP430FR_SD_INIT.asm file. Proceed as target.asm:
-
-        .IFDEF MY_MSP430FR5738_1
-    
-    ; COLD default state : Px{DIR,SEL0,SEL1,SELC,IE,IFG,IV} = 0 ; PX{OUT,REN} = 1 ; Px{IN,IES} = ?
-    
-    ; P2.3 as SD_CD
-    SD_CD           .equ  08h
-    SD_CDIN         .equ  P2IN
-    ; P2.4 as SD_CS
-    SD_CS           .equ  10h
-    SD_CSOUT        .equ  P2OUT
-    
-        BIS.B #SD_CS,&P2DIR ; SD_CS output high
-    
-    ; P2.2/UCB0CLK                ---> SD_CardAdapter CLK (SCK)   default value
-    ; P1.6/UCB0SIMO/UCB0SDA/TA0.0 ---> SD_CardAdapter SDI (MOSI)  default value
-    ; P1.7/UCB0SOMI/UCB0SCL/TA1.0 <--- SD_CardAdapter SDO (MISO)  default value
-        BIS #04C0h,&PASEL1  ; Configure UCB0 pins: P2.2 as UCB0CLK, P1.6 as UCB0SIMO & P1.7 as UCB0SOMI
-                            ; P2DIR.x is controlled by eUSCI_B0 module
-        BIC #04C0h,&PAREN   ; disable pullup resistors for SIMO/SOMI/CLK pins
-    
-        .ENDIF
-
-Then, for the needs of syntactic preprocessor:
-
-1- create a \config\gema\device.pat file if not exist, from analog device.pat file
-
-2- create your MSP430-FORTH\target.pat file from analog target.pat file, include same forth declarations as target.asm and complete it for your application
-
-Best practice, I suggest you that all digital pins you define (input or output) in your projects have their idle state high, with external pull up resistor
-
-
-START YOUR PROJECT
---
-
-How to start your project ?
-
-I show you, assuming you are working from the scite editor with its enhanced tools menu.
-
-First you create two files : project.f and test.f
-
-PROJECT.f :
-
-    ; ----------------------------------------------------
-    ; MSP430FR5969 MSP_EXP430FR5969 8MHZ 921600bds PROJECT
-    ; ----------------------------------------------------
-    WIPE        ; restore the content of your target.txt HEX file
-
-here you append your already tested routines :
-
-    CODE FIRST  \ assembler CODE words are FORTH executable
-        ...
-    MOV @IP+,PC \ NEXT
-    ENCODE
-
-    ASM TWO     \ assembler ASM words are not FORTH executable and can only be used in assembler mode
-        ...     \ used to define interrupt routines, or subroutines as here.
-    RET
-    ENDASM
-
-    CODE THREE
-        ...
-    CALL #TWO   \ CALL only ASM words (finishing with RET(I))...
-        ...
-    MOV @IP+,PC \ NEXT
-    ENCODE
-
-    ASM WDT_INT             \ interrupt routine
-        ...
-        ...
-    BIC #WDTIFG,&SFRIFG1    \ reset WDT_INT flag
-    BIC #$F8,0(RSP)         \ set CPU ON and GIE OFF in retiSR
-    RETI                    \   
-    ENDASM
-
-    ;
-
-then finish with this 2 "magic" words plus one optional : START, STOP and optional BACKGROUND
-
-    ASM BACKGROUND          \ (optional)
-        ...                 \ insert here your background task
-        ...
-        ...
-    MOV #(SLEEP),PC         \ Must be the last statement of BACKGROUND
-    ENDASM                  \
-
-    CODE START              \ to init your app
-        ...                 \ init assembly part
-    
-
-    MOV #SLEEP,X            \ redirect default background task to yours (optional)
-    MOV #BACKGROUND,2(X)    \
-
-    COLON
-        ...                 \ init FORTH part
-    
-    \   NOECHO              \ uncomment if your app runs without terminal
-        LIT RECURSE IS WARM \ insert START (so your init app) in the FORTH init process
-        (WARM)              \ then continue the FORTH init process
-    ;
-
-
-    CODE STOP               \ to properly stop your app
-        MOV #SLEEP,X        \ restore the default background (optional)
-        MOV #(SLEEP),2(X)   \ (words SLEEP and (SLEEP) can only be used in assembler mode)
-                            \ (thus "['] (SLEEP) IS SLEEP" don't works.)
-    COLON
-        ['] (WARM) IS WARM  \ remove START from FORTH init process 
-        ECHO                \ to retrieve FORTH input terminal
-        COLD                \ reset CPU, interrupt vectors and restart FORTH.
-    ;
-
-
-                ; compiling is done
-    RST_HERE    ; thus allowing to restart your app with <reset> or COLD
-    START       ; let's go!
-
-end of file
-
-
-Each time you download this project file in LAUNCHPAD, the word WIPE returns the dictionary set as it was in TXT file. 
-And the word RST_HERE protects the PROJECT against <RESET\>. 
-
-The word START allows to include your app init into FORTH's one.
-The word STOP unlink your app.
-
-Look at the file RC5toLCD.f to retrieve this structure.
-
-
-
-TEST.f :
-
-    \ ----------------------------------
-    \ MSP-EXP430FR5969_8MHZ_TEST.f
-    \ ----------------------------------
-    RST_STATE   \ restore the state defined by PROJECT.f
-
-    here you write your routine to test
-    
-    CODE TEST
-    ...
-    ...
-    MOV @IP+,PC
-    ENDCODE
-
-
-    PWR_HERE    \ test.f content is protected against POWER OFF, but volatile with <reset>
-
-
-
-Each time you download this test file, the word RST\_STATE returns the <RESET\> dictionary set (i.e. PROJECT). The word PWR\_HERE protects the test against POWER OFF. without the word PWR\_HERE, the test is lost when power down.
-
-let's go
---
-
-With the SCITE menu tools : send a file.f, you download first your project.f file, then your test.f file that include the routine to test.
-
-If the test don't work, modify it in the test.f file, then reload it.
-
-When the routine "test" works as you want, you cut it in test.f file and copy it in project.f, then when you reload it, test is done !
-
-Good luck !
-
-
-
-Case of MSP430FR2xxx family (with FLL)
----
-
-
-Difficult to download CORETEST.4th on CHIPSTICK @ 8MHz without error (tested with USBtoUART device = CP2102).
-
-To resolve, I was forced to speed the clock up to 8.29 MHz ! (see ChipStick_fr2433.inc) 
-
-And there is no this problem @ 16MHz !
-
-Is a problem that affects this device only, or corrupt TLV area during welding?
-
-If you ever encounter the same difficulty, recompile + download CORETEST.4th several times by increasing each time by 2 the FLLN value until you reach the good compromising...
-
-
-ANNEXE
---
-
-The embedded assembler don't recognize the (useless) TI's symbolic addressing mode: ADD.B EDE,TONI.
-
-REGISTERS correspondence
-
-    ASM     TI      FASTFORTH   comment 
-                             
-    R0      PC      PC          Program Counter
-    R1      SP      RSP         Return Stack Pointer
-    R2      SR/CG1  SR          Status Register/Constant Generator 1
-    R3      CG2                 Constant Generator 2
-    R4      R4      rDODOES     contents address of xdodoes   
-    R5      R5      rDOCON      contents address of xdocon    
-    R6      R6      rDOVAR      contents address of RFROM           
-    R7      R7      rEXIT       contents address of EXIT            
-    R8      R8      Y           scratch register
-    R9      R9      X           scratch register
-    R10     R10     W           scratch register
-    R11     R11     T           scratch register
-    R12     R12     S           scratch register      
-    R13     R13     IP          Interpretation Pointer
-    R14     R14     TOS         Top Of parameters Stack
-    R15     R15     PSP         Parameters Stack Pointer
-
-    FASTFORTH registers must be preprocessed by gema.exe before sending to the embedded assembler.
-    (don't use R3 and use R2 only with register addressing mode).
-
-REGISTERS use
-
-    The FASTFORTH registers rDOCOL, rDOVAR, rDOCON and rDODOES must be preserved. 
-    PUSHM R13,R10 before use and POPM R10,R13 after.
-
-
-PARAMETERS STACK use
-
-    The register TOS (Top Of Stack) is the first cell of the Parameters stack. 
-    The register PSP (Parameters Stack Pointer) points the second cell.
-
-    to push one cell on the PSP stack :
-
-        SUB #2,PSP                  \ insert a empty 2th cell
-        MOV TOS,0(PSP)              \ mov first cell in this empty 2th cell
-        MOV <what you want>,TOS     \ or MOV.B <what you want>,TOS ; i.e. in first cell
-        ...
-
-    to pop one cell from the PSP stack :
-
-        MOV @PSP+,TOS               \ first cell TOS is lost
-        ...
-
-    don't never pop a byte with instruction MOV.B @PSP+, ...
-
-RETURN STACK use
-
-    register RSP is the Return Stack Pointer (SP).
-
-    to push one cell on the RSP stack :
-
-        PUSH <what you want>        \
-        ...
-
-    to pop one cell from the RSP stack :
-
-        MOV @RSP+,<where you want>   \
-        ...
-
-    don't never pop a byte with instruction MOV.B @RSP+, ...
-
-
-    to push multiple registers on the RSP stack :
-
-        PUSHM Rx,Ry                 \ x > y 
-        ...
-
-    to pop multiple registers from the RSP stack :
-
-        POPM Ry,Rx                  \ y < x
-        ...
-
-CPUx instructions PUSHM / POPM (my own syntax, not the TI's one, too bad :-)
-
-    PUSHM order : PSP,TOS,IP, S, T, W, X, Y, R7, R6, R5, R4
-
-    example : PUSHM IP,Y    \ push IP, S, T, W, X, Y registers onto the stack RSP
-
-
-    POPM  order : R4, R5, R6, R7, Y, X, W, T, S, IP,TOS,PSP
-
-    example : POPM Y,IP     \ pop Y, X, W, T, S, IP registers from the stack RSP
-
-    error occurs if bad order (PUSHM Y,IP for example)
-
-
-CPUx instructions RRCM,RRAM,RLAM,RRUM
-    
-    example : RRUM #3,R8      \ R8 register is Unsigned Right shifted by n=3
-
-    error occurs if 1 > n > 4
-
-
-conditionnal jumps use with symbolic assembler
-
-    0=    { IF UNTIL WHILE ?JMP ?GOTO }
-    0<>   { IF UNTIL WHILE ?JMP ?GOTO }   
-    U>=   { IF UNTIL WHILE ?JMP ?GOTO }   
-    U<    { IF UNTIL WHILE ?JMP ?GOTO }    
-    S<    { IF UNTIL WHILE ?JMP ?GOTO }    
-    S>=   { IF UNTIL WHILE ?JMP ?GOTO }   
-    0>=   { IF UNTIL WHILE }
-    0<    { ?JMP ?GOTO } 
-
index 1b554a7..9a31b3d 100644 (file)
@@ -1,13 +1,13 @@
                 save
-                listing off   ; kein Listing über diesen File
+                listing off   ; kein Listing uber diesen File
 
 ;****************************************************************************
 ;*                                                                          *
 ;*   AS 1.41 - Datei REGMSP.INC von Alfred Arnold                           *
 ;*                                                                         *
-;*   Sinn : enthält Makro- und Registerdefinitionen für den MSP430          *
+;*   Sinn : enthält Makro- und Registerdefinitionen fu den MSP430          *
 ;*                                                                         *
-;*   letzte Änderungen : 2002-01-11                                         *
+;*   letzte underungen : 2002-01-11                                         *
 ;*                       2010/2011/2012 erweitert von Matthias Koch         *
 ;*                                                                          *
 ;****************************************************************************