OSDN Git Service

more work.
[motonesfpga/motonesfpga.git] / de0_cv_nes / de0-cv-cpu-analyze-all_auto_stripped.stp
1 <session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: 5CE(BA4|FA4) (0x02B050DD)" sof_file="">\r
2   <display_tree gui_logging_enabled="0">\r
3     <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
4   </display_tree>\r
5   <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
6     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
7     <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/09/22 17:42:02  #0">\r
8       <clock name="dbg_base_clk" polarity="posedge" tap_mode="classic"/>\r
9       <config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="4096" trigger_in_enable="no" trigger_out_enable="no"/>\r
10       <top_entity/>\r
11       <signal_vec>\r
12         <trigger_input_vec>\r
13           <wire name="mos6502:cpu_inst|reg_acc[0]" tap_mode="classic"/>\r
14           <wire name="mos6502:cpu_inst|reg_acc[1]" tap_mode="classic"/>\r
15           <wire name="mos6502:cpu_inst|reg_acc[2]" tap_mode="classic"/>\r
16           <wire name="mos6502:cpu_inst|reg_acc[3]" tap_mode="classic"/>\r
17           <wire name="mos6502:cpu_inst|reg_acc[4]" tap_mode="classic"/>\r
18           <wire name="mos6502:cpu_inst|reg_acc[5]" tap_mode="classic"/>\r
19           <wire name="mos6502:cpu_inst|reg_acc[6]" tap_mode="classic"/>\r
20           <wire name="mos6502:cpu_inst|reg_acc[7]" tap_mode="classic"/>\r
21           <wire name="mos6502:cpu_inst|reg_addr[0]" tap_mode="classic"/>\r
22           <wire name="mos6502:cpu_inst|reg_addr[10]" tap_mode="classic"/>\r
23           <wire name="mos6502:cpu_inst|reg_addr[11]" tap_mode="classic"/>\r
24           <wire name="mos6502:cpu_inst|reg_addr[12]" tap_mode="classic"/>\r
25           <wire name="mos6502:cpu_inst|reg_addr[13]" tap_mode="classic"/>\r
26           <wire name="mos6502:cpu_inst|reg_addr[14]" tap_mode="classic"/>\r
27           <wire name="mos6502:cpu_inst|reg_addr[15]" tap_mode="classic"/>\r
28           <wire name="mos6502:cpu_inst|reg_addr[1]" tap_mode="classic"/>\r
29           <wire name="mos6502:cpu_inst|reg_addr[2]" tap_mode="classic"/>\r
30           <wire name="mos6502:cpu_inst|reg_addr[3]" tap_mode="classic"/>\r
31           <wire name="mos6502:cpu_inst|reg_addr[4]" tap_mode="classic"/>\r
32           <wire name="mos6502:cpu_inst|reg_addr[5]" tap_mode="classic"/>\r
33           <wire name="mos6502:cpu_inst|reg_addr[6]" tap_mode="classic"/>\r
34           <wire name="mos6502:cpu_inst|reg_addr[7]" tap_mode="classic"/>\r
35           <wire name="mos6502:cpu_inst|reg_addr[8]" tap_mode="classic"/>\r
36           <wire name="mos6502:cpu_inst|reg_addr[9]" tap_mode="classic"/>\r
37           <wire name="mos6502:cpu_inst|reg_d_in[0]" tap_mode="classic"/>\r
38           <wire name="mos6502:cpu_inst|reg_d_in[1]" tap_mode="classic"/>\r
39           <wire name="mos6502:cpu_inst|reg_d_in[2]" tap_mode="classic"/>\r
40           <wire name="mos6502:cpu_inst|reg_d_in[3]" tap_mode="classic"/>\r
41           <wire name="mos6502:cpu_inst|reg_d_in[4]" tap_mode="classic"/>\r
42           <wire name="mos6502:cpu_inst|reg_d_in[5]" tap_mode="classic"/>\r
43           <wire name="mos6502:cpu_inst|reg_d_in[6]" tap_mode="classic"/>\r
44           <wire name="mos6502:cpu_inst|reg_d_in[7]" tap_mode="classic"/>\r
45           <wire name="mos6502:cpu_inst|reg_d_out[0]" tap_mode="classic"/>\r
46           <wire name="mos6502:cpu_inst|reg_d_out[1]" tap_mode="classic"/>\r
47           <wire name="mos6502:cpu_inst|reg_d_out[2]" tap_mode="classic"/>\r
48           <wire name="mos6502:cpu_inst|reg_d_out[3]" tap_mode="classic"/>\r
49           <wire name="mos6502:cpu_inst|reg_d_out[4]" tap_mode="classic"/>\r
50           <wire name="mos6502:cpu_inst|reg_d_out[5]" tap_mode="classic"/>\r
51           <wire name="mos6502:cpu_inst|reg_d_out[6]" tap_mode="classic"/>\r
52           <wire name="mos6502:cpu_inst|reg_d_out[7]" tap_mode="classic"/>\r
53           <wire name="mos6502:cpu_inst|reg_idl_h[0]" tap_mode="classic"/>\r
54           <wire name="mos6502:cpu_inst|reg_idl_h[1]" tap_mode="classic"/>\r
55           <wire name="mos6502:cpu_inst|reg_idl_h[2]" tap_mode="classic"/>\r
56           <wire name="mos6502:cpu_inst|reg_idl_h[3]" tap_mode="classic"/>\r
57           <wire name="mos6502:cpu_inst|reg_idl_h[4]" tap_mode="classic"/>\r
58           <wire name="mos6502:cpu_inst|reg_idl_h[5]" tap_mode="classic"/>\r
59           <wire name="mos6502:cpu_inst|reg_idl_h[6]" tap_mode="classic"/>\r
60           <wire name="mos6502:cpu_inst|reg_idl_h[7]" tap_mode="classic"/>\r
61           <wire name="mos6502:cpu_inst|reg_idl_l[0]" tap_mode="classic"/>\r
62           <wire name="mos6502:cpu_inst|reg_idl_l[1]" tap_mode="classic"/>\r
63           <wire name="mos6502:cpu_inst|reg_idl_l[2]" tap_mode="classic"/>\r
64           <wire name="mos6502:cpu_inst|reg_idl_l[3]" tap_mode="classic"/>\r
65           <wire name="mos6502:cpu_inst|reg_idl_l[4]" tap_mode="classic"/>\r
66           <wire name="mos6502:cpu_inst|reg_idl_l[5]" tap_mode="classic"/>\r
67           <wire name="mos6502:cpu_inst|reg_idl_l[6]" tap_mode="classic"/>\r
68           <wire name="mos6502:cpu_inst|reg_idl_l[7]" tap_mode="classic"/>\r
69           <wire name="mos6502:cpu_inst|reg_inst[0]" tap_mode="classic"/>\r
70           <wire name="mos6502:cpu_inst|reg_inst[1]" tap_mode="classic"/>\r
71           <wire name="mos6502:cpu_inst|reg_inst[2]" tap_mode="classic"/>\r
72           <wire name="mos6502:cpu_inst|reg_inst[3]" tap_mode="classic"/>\r
73           <wire name="mos6502:cpu_inst|reg_inst[4]" tap_mode="classic"/>\r
74           <wire name="mos6502:cpu_inst|reg_inst[5]" tap_mode="classic"/>\r
75           <wire name="mos6502:cpu_inst|reg_inst[6]" tap_mode="classic"/>\r
76           <wire name="mos6502:cpu_inst|reg_inst[7]" tap_mode="classic"/>\r
77           <wire name="mos6502:cpu_inst|reg_pc_h[0]" tap_mode="classic"/>\r
78           <wire name="mos6502:cpu_inst|reg_pc_h[1]" tap_mode="classic"/>\r
79           <wire name="mos6502:cpu_inst|reg_pc_h[2]" tap_mode="classic"/>\r
80           <wire name="mos6502:cpu_inst|reg_pc_h[3]" tap_mode="classic"/>\r
81           <wire name="mos6502:cpu_inst|reg_pc_h[4]" tap_mode="classic"/>\r
82           <wire name="mos6502:cpu_inst|reg_pc_h[5]" tap_mode="classic"/>\r
83           <wire name="mos6502:cpu_inst|reg_pc_h[6]" tap_mode="classic"/>\r
84           <wire name="mos6502:cpu_inst|reg_pc_h[7]" tap_mode="classic"/>\r
85           <wire name="mos6502:cpu_inst|reg_pc_l[0]" tap_mode="classic"/>\r
86           <wire name="mos6502:cpu_inst|reg_pc_l[1]" tap_mode="classic"/>\r
87           <wire name="mos6502:cpu_inst|reg_pc_l[2]" tap_mode="classic"/>\r
88           <wire name="mos6502:cpu_inst|reg_pc_l[3]" tap_mode="classic"/>\r
89           <wire name="mos6502:cpu_inst|reg_pc_l[4]" tap_mode="classic"/>\r
90           <wire name="mos6502:cpu_inst|reg_pc_l[5]" tap_mode="classic"/>\r
91           <wire name="mos6502:cpu_inst|reg_pc_l[6]" tap_mode="classic"/>\r
92           <wire name="mos6502:cpu_inst|reg_pc_l[7]" tap_mode="classic"/>\r
93           <wire name="mos6502:cpu_inst|reg_sp[0]" tap_mode="classic"/>\r
94           <wire name="mos6502:cpu_inst|reg_sp[1]" tap_mode="classic"/>\r
95           <wire name="mos6502:cpu_inst|reg_sp[2]" tap_mode="classic"/>\r
96           <wire name="mos6502:cpu_inst|reg_sp[3]" tap_mode="classic"/>\r
97           <wire name="mos6502:cpu_inst|reg_sp[4]" tap_mode="classic"/>\r
98           <wire name="mos6502:cpu_inst|reg_sp[5]" tap_mode="classic"/>\r
99           <wire name="mos6502:cpu_inst|reg_sp[6]" tap_mode="classic"/>\r
100           <wire name="mos6502:cpu_inst|reg_sp[7]" tap_mode="classic"/>\r
101           <wire name="mos6502:cpu_inst|reg_status[0]" tap_mode="classic"/>\r
102           <wire name="mos6502:cpu_inst|reg_status[1]" tap_mode="classic"/>\r
103           <wire name="mos6502:cpu_inst|reg_status[2]" tap_mode="classic"/>\r
104           <wire name="mos6502:cpu_inst|reg_status[3]" tap_mode="classic"/>\r
105           <wire name="mos6502:cpu_inst|reg_status[4]" tap_mode="classic"/>\r
106           <wire name="mos6502:cpu_inst|reg_status[5]" tap_mode="classic"/>\r
107           <wire name="mos6502:cpu_inst|reg_status[6]" tap_mode="classic"/>\r
108           <wire name="mos6502:cpu_inst|reg_status[7]" tap_mode="classic"/>\r
109           <wire name="mos6502:cpu_inst|reg_tmp_data[0]" tap_mode="classic"/>\r
110           <wire name="mos6502:cpu_inst|reg_tmp_data[1]" tap_mode="classic"/>\r
111           <wire name="mos6502:cpu_inst|reg_tmp_data[2]" tap_mode="classic"/>\r
112           <wire name="mos6502:cpu_inst|reg_tmp_data[3]" tap_mode="classic"/>\r
113           <wire name="mos6502:cpu_inst|reg_tmp_data[4]" tap_mode="classic"/>\r
114           <wire name="mos6502:cpu_inst|reg_tmp_data[5]" tap_mode="classic"/>\r
115           <wire name="mos6502:cpu_inst|reg_tmp_data[6]" tap_mode="classic"/>\r
116           <wire name="mos6502:cpu_inst|reg_tmp_data[7]" tap_mode="classic"/>\r
117           <wire name="mos6502:cpu_inst|reg_tmp_h[0]" tap_mode="classic"/>\r
118           <wire name="mos6502:cpu_inst|reg_tmp_h[1]" tap_mode="classic"/>\r
119           <wire name="mos6502:cpu_inst|reg_tmp_h[2]" tap_mode="classic"/>\r
120           <wire name="mos6502:cpu_inst|reg_tmp_h[3]" tap_mode="classic"/>\r
121           <wire name="mos6502:cpu_inst|reg_tmp_h[4]" tap_mode="classic"/>\r
122           <wire name="mos6502:cpu_inst|reg_tmp_h[5]" tap_mode="classic"/>\r
123           <wire name="mos6502:cpu_inst|reg_tmp_h[6]" tap_mode="classic"/>\r
124           <wire name="mos6502:cpu_inst|reg_tmp_h[7]" tap_mode="classic"/>\r
125           <wire name="mos6502:cpu_inst|reg_tmp_l[0]" tap_mode="classic"/>\r
126           <wire name="mos6502:cpu_inst|reg_tmp_l[1]" tap_mode="classic"/>\r
127           <wire name="mos6502:cpu_inst|reg_tmp_l[2]" tap_mode="classic"/>\r
128           <wire name="mos6502:cpu_inst|reg_tmp_l[3]" tap_mode="classic"/>\r
129           <wire name="mos6502:cpu_inst|reg_tmp_l[4]" tap_mode="classic"/>\r
130           <wire name="mos6502:cpu_inst|reg_tmp_l[5]" tap_mode="classic"/>\r
131           <wire name="mos6502:cpu_inst|reg_tmp_l[6]" tap_mode="classic"/>\r
132           <wire name="mos6502:cpu_inst|reg_tmp_l[7]" tap_mode="classic"/>\r
133           <wire name="mos6502:cpu_inst|reg_x[0]" tap_mode="classic"/>\r
134           <wire name="mos6502:cpu_inst|reg_x[1]" tap_mode="classic"/>\r
135           <wire name="mos6502:cpu_inst|reg_x[2]" tap_mode="classic"/>\r
136           <wire name="mos6502:cpu_inst|reg_x[3]" tap_mode="classic"/>\r
137           <wire name="mos6502:cpu_inst|reg_x[4]" tap_mode="classic"/>\r
138           <wire name="mos6502:cpu_inst|reg_x[5]" tap_mode="classic"/>\r
139           <wire name="mos6502:cpu_inst|reg_x[6]" tap_mode="classic"/>\r
140           <wire name="mos6502:cpu_inst|reg_x[7]" tap_mode="classic"/>\r
141           <wire name="mos6502:cpu_inst|reg_y[0]" tap_mode="classic"/>\r
142           <wire name="mos6502:cpu_inst|reg_y[1]" tap_mode="classic"/>\r
143           <wire name="mos6502:cpu_inst|reg_y[2]" tap_mode="classic"/>\r
144           <wire name="mos6502:cpu_inst|reg_y[3]" tap_mode="classic"/>\r
145           <wire name="mos6502:cpu_inst|reg_y[4]" tap_mode="classic"/>\r
146           <wire name="mos6502:cpu_inst|reg_y[5]" tap_mode="classic"/>\r
147           <wire name="mos6502:cpu_inst|reg_y[6]" tap_mode="classic"/>\r
148           <wire name="mos6502:cpu_inst|reg_y[7]" tap_mode="classic"/>\r
149           <wire name="pi_rst_n" tap_mode="classic"/>\r
150           <wire name="po_dbg_cnt[0]" tap_mode="classic"/>\r
151           <wire name="po_dbg_cnt[10]" tap_mode="classic"/>\r
152           <wire name="po_dbg_cnt[11]" tap_mode="classic"/>\r
153           <wire name="po_dbg_cnt[12]" tap_mode="classic"/>\r
154           <wire name="po_dbg_cnt[13]" tap_mode="classic"/>\r
155           <wire name="po_dbg_cnt[14]" tap_mode="classic"/>\r
156           <wire name="po_dbg_cnt[15]" tap_mode="classic"/>\r
157           <wire name="po_dbg_cnt[16]" tap_mode="classic"/>\r
158           <wire name="po_dbg_cnt[17]" tap_mode="classic"/>\r
159           <wire name="po_dbg_cnt[18]" tap_mode="classic"/>\r
160           <wire name="po_dbg_cnt[19]" tap_mode="classic"/>\r
161           <wire name="po_dbg_cnt[1]" tap_mode="classic"/>\r
162           <wire name="po_dbg_cnt[20]" tap_mode="classic"/>\r
163           <wire name="po_dbg_cnt[21]" tap_mode="classic"/>\r
164           <wire name="po_dbg_cnt[22]" tap_mode="classic"/>\r
165           <wire name="po_dbg_cnt[23]" tap_mode="classic"/>\r
166           <wire name="po_dbg_cnt[24]" tap_mode="classic"/>\r
167           <wire name="po_dbg_cnt[25]" tap_mode="classic"/>\r
168           <wire name="po_dbg_cnt[26]" tap_mode="classic"/>\r
169           <wire name="po_dbg_cnt[27]" tap_mode="classic"/>\r
170           <wire name="po_dbg_cnt[28]" tap_mode="classic"/>\r
171           <wire name="po_dbg_cnt[29]" tap_mode="classic"/>\r
172           <wire name="po_dbg_cnt[2]" tap_mode="classic"/>\r
173           <wire name="po_dbg_cnt[30]" tap_mode="classic"/>\r
174           <wire name="po_dbg_cnt[31]" tap_mode="classic"/>\r
175           <wire name="po_dbg_cnt[32]" tap_mode="classic"/>\r
176           <wire name="po_dbg_cnt[33]" tap_mode="classic"/>\r
177           <wire name="po_dbg_cnt[34]" tap_mode="classic"/>\r
178           <wire name="po_dbg_cnt[35]" tap_mode="classic"/>\r
179           <wire name="po_dbg_cnt[36]" tap_mode="classic"/>\r
180           <wire name="po_dbg_cnt[37]" tap_mode="classic"/>\r
181           <wire name="po_dbg_cnt[38]" tap_mode="classic"/>\r
182           <wire name="po_dbg_cnt[39]" tap_mode="classic"/>\r
183           <wire name="po_dbg_cnt[3]" tap_mode="classic"/>\r
184           <wire name="po_dbg_cnt[40]" tap_mode="classic"/>\r
185           <wire name="po_dbg_cnt[41]" tap_mode="classic"/>\r
186           <wire name="po_dbg_cnt[42]" tap_mode="classic"/>\r
187           <wire name="po_dbg_cnt[43]" tap_mode="classic"/>\r
188           <wire name="po_dbg_cnt[44]" tap_mode="classic"/>\r
189           <wire name="po_dbg_cnt[45]" tap_mode="classic"/>\r
190           <wire name="po_dbg_cnt[46]" tap_mode="classic"/>\r
191           <wire name="po_dbg_cnt[47]" tap_mode="classic"/>\r
192           <wire name="po_dbg_cnt[48]" tap_mode="classic"/>\r
193           <wire name="po_dbg_cnt[49]" tap_mode="classic"/>\r
194           <wire name="po_dbg_cnt[4]" tap_mode="classic"/>\r
195           <wire name="po_dbg_cnt[50]" tap_mode="classic"/>\r
196           <wire name="po_dbg_cnt[51]" tap_mode="classic"/>\r
197           <wire name="po_dbg_cnt[52]" tap_mode="classic"/>\r
198           <wire name="po_dbg_cnt[53]" tap_mode="classic"/>\r
199           <wire name="po_dbg_cnt[54]" tap_mode="classic"/>\r
200           <wire name="po_dbg_cnt[55]" tap_mode="classic"/>\r
201           <wire name="po_dbg_cnt[56]" tap_mode="classic"/>\r
202           <wire name="po_dbg_cnt[57]" tap_mode="classic"/>\r
203           <wire name="po_dbg_cnt[58]" tap_mode="classic"/>\r
204           <wire name="po_dbg_cnt[59]" tap_mode="classic"/>\r
205           <wire name="po_dbg_cnt[5]" tap_mode="classic"/>\r
206           <wire name="po_dbg_cnt[60]" tap_mode="classic"/>\r
207           <wire name="po_dbg_cnt[61]" tap_mode="classic"/>\r
208           <wire name="po_dbg_cnt[62]" tap_mode="classic"/>\r
209           <wire name="po_dbg_cnt[63]" tap_mode="classic"/>\r
210           <wire name="po_dbg_cnt[6]" tap_mode="classic"/>\r
211           <wire name="po_dbg_cnt[7]" tap_mode="classic"/>\r
212           <wire name="po_dbg_cnt[8]" tap_mode="classic"/>\r
213           <wire name="po_dbg_cnt[9]" tap_mode="classic"/>\r
214           <wire name="mos6502:cpu_inst|reg_oe_n" tap_mode="probeonly"/>\r
215           <wire name="mos6502:cpu_inst|reg_tmp_carry" tap_mode="probeonly"/>\r
216           <wire name="mos6502:cpu_inst|reg_tmp_condition" tap_mode="probeonly"/>\r
217           <wire name="mos6502:cpu_inst|reg_tmp_ovf" tap_mode="probeonly"/>\r
218           <wire name="mos6502:cpu_inst|reg_tmp_pg_crossed" tap_mode="probeonly"/>\r
219           <wire name="mos6502:cpu_inst|reg_we_n" tap_mode="probeonly"/>\r
220           <wire name="ppu:ppu_inst|reg_vblank_n" tap_mode="probeonly"/>\r
221         </trigger_input_vec>\r
222         <data_input_vec>\r
223           <wire name="mos6502:cpu_inst|reg_acc[0]" tap_mode="classic"/>\r
224           <wire name="mos6502:cpu_inst|reg_acc[1]" tap_mode="classic"/>\r
225           <wire name="mos6502:cpu_inst|reg_acc[2]" tap_mode="classic"/>\r
226           <wire name="mos6502:cpu_inst|reg_acc[3]" tap_mode="classic"/>\r
227           <wire name="mos6502:cpu_inst|reg_acc[4]" tap_mode="classic"/>\r
228           <wire name="mos6502:cpu_inst|reg_acc[5]" tap_mode="classic"/>\r
229           <wire name="mos6502:cpu_inst|reg_acc[6]" tap_mode="classic"/>\r
230           <wire name="mos6502:cpu_inst|reg_acc[7]" tap_mode="classic"/>\r
231           <wire name="mos6502:cpu_inst|reg_addr[0]" tap_mode="classic"/>\r
232           <wire name="mos6502:cpu_inst|reg_addr[10]" tap_mode="classic"/>\r
233           <wire name="mos6502:cpu_inst|reg_addr[11]" tap_mode="classic"/>\r
234           <wire name="mos6502:cpu_inst|reg_addr[12]" tap_mode="classic"/>\r
235           <wire name="mos6502:cpu_inst|reg_addr[13]" tap_mode="classic"/>\r
236           <wire name="mos6502:cpu_inst|reg_addr[14]" tap_mode="classic"/>\r
237           <wire name="mos6502:cpu_inst|reg_addr[15]" tap_mode="classic"/>\r
238           <wire name="mos6502:cpu_inst|reg_addr[1]" tap_mode="classic"/>\r
239           <wire name="mos6502:cpu_inst|reg_addr[2]" tap_mode="classic"/>\r
240           <wire name="mos6502:cpu_inst|reg_addr[3]" tap_mode="classic"/>\r
241           <wire name="mos6502:cpu_inst|reg_addr[4]" tap_mode="classic"/>\r
242           <wire name="mos6502:cpu_inst|reg_addr[5]" tap_mode="classic"/>\r
243           <wire name="mos6502:cpu_inst|reg_addr[6]" tap_mode="classic"/>\r
244           <wire name="mos6502:cpu_inst|reg_addr[7]" tap_mode="classic"/>\r
245           <wire name="mos6502:cpu_inst|reg_addr[8]" tap_mode="classic"/>\r
246           <wire name="mos6502:cpu_inst|reg_addr[9]" tap_mode="classic"/>\r
247           <wire name="mos6502:cpu_inst|reg_d_in[0]" tap_mode="classic"/>\r
248           <wire name="mos6502:cpu_inst|reg_d_in[1]" tap_mode="classic"/>\r
249           <wire name="mos6502:cpu_inst|reg_d_in[2]" tap_mode="classic"/>\r
250           <wire name="mos6502:cpu_inst|reg_d_in[3]" tap_mode="classic"/>\r
251           <wire name="mos6502:cpu_inst|reg_d_in[4]" tap_mode="classic"/>\r
252           <wire name="mos6502:cpu_inst|reg_d_in[5]" tap_mode="classic"/>\r
253           <wire name="mos6502:cpu_inst|reg_d_in[6]" tap_mode="classic"/>\r
254           <wire name="mos6502:cpu_inst|reg_d_in[7]" tap_mode="classic"/>\r
255           <wire name="mos6502:cpu_inst|reg_d_out[0]" tap_mode="classic"/>\r
256           <wire name="mos6502:cpu_inst|reg_d_out[1]" tap_mode="classic"/>\r
257           <wire name="mos6502:cpu_inst|reg_d_out[2]" tap_mode="classic"/>\r
258           <wire name="mos6502:cpu_inst|reg_d_out[3]" tap_mode="classic"/>\r
259           <wire name="mos6502:cpu_inst|reg_d_out[4]" tap_mode="classic"/>\r
260           <wire name="mos6502:cpu_inst|reg_d_out[5]" tap_mode="classic"/>\r
261           <wire name="mos6502:cpu_inst|reg_d_out[6]" tap_mode="classic"/>\r
262           <wire name="mos6502:cpu_inst|reg_d_out[7]" tap_mode="classic"/>\r
263           <wire name="mos6502:cpu_inst|reg_idl_h[0]" tap_mode="classic"/>\r
264           <wire name="mos6502:cpu_inst|reg_idl_h[1]" tap_mode="classic"/>\r
265           <wire name="mos6502:cpu_inst|reg_idl_h[2]" tap_mode="classic"/>\r
266           <wire name="mos6502:cpu_inst|reg_idl_h[3]" tap_mode="classic"/>\r
267           <wire name="mos6502:cpu_inst|reg_idl_h[4]" tap_mode="classic"/>\r
268           <wire name="mos6502:cpu_inst|reg_idl_h[5]" tap_mode="classic"/>\r
269           <wire name="mos6502:cpu_inst|reg_idl_h[6]" tap_mode="classic"/>\r
270           <wire name="mos6502:cpu_inst|reg_idl_h[7]" tap_mode="classic"/>\r
271           <wire name="mos6502:cpu_inst|reg_idl_l[0]" tap_mode="classic"/>\r
272           <wire name="mos6502:cpu_inst|reg_idl_l[1]" tap_mode="classic"/>\r
273           <wire name="mos6502:cpu_inst|reg_idl_l[2]" tap_mode="classic"/>\r
274           <wire name="mos6502:cpu_inst|reg_idl_l[3]" tap_mode="classic"/>\r
275           <wire name="mos6502:cpu_inst|reg_idl_l[4]" tap_mode="classic"/>\r
276           <wire name="mos6502:cpu_inst|reg_idl_l[5]" tap_mode="classic"/>\r
277           <wire name="mos6502:cpu_inst|reg_idl_l[6]" tap_mode="classic"/>\r
278           <wire name="mos6502:cpu_inst|reg_idl_l[7]" tap_mode="classic"/>\r
279           <wire name="mos6502:cpu_inst|reg_inst[0]" tap_mode="classic"/>\r
280           <wire name="mos6502:cpu_inst|reg_inst[1]" tap_mode="classic"/>\r
281           <wire name="mos6502:cpu_inst|reg_inst[2]" tap_mode="classic"/>\r
282           <wire name="mos6502:cpu_inst|reg_inst[3]" tap_mode="classic"/>\r
283           <wire name="mos6502:cpu_inst|reg_inst[4]" tap_mode="classic"/>\r
284           <wire name="mos6502:cpu_inst|reg_inst[5]" tap_mode="classic"/>\r
285           <wire name="mos6502:cpu_inst|reg_inst[6]" tap_mode="classic"/>\r
286           <wire name="mos6502:cpu_inst|reg_inst[7]" tap_mode="classic"/>\r
287           <wire name="mos6502:cpu_inst|reg_pc_h[0]" tap_mode="classic"/>\r
288           <wire name="mos6502:cpu_inst|reg_pc_h[1]" tap_mode="classic"/>\r
289           <wire name="mos6502:cpu_inst|reg_pc_h[2]" tap_mode="classic"/>\r
290           <wire name="mos6502:cpu_inst|reg_pc_h[3]" tap_mode="classic"/>\r
291           <wire name="mos6502:cpu_inst|reg_pc_h[4]" tap_mode="classic"/>\r
292           <wire name="mos6502:cpu_inst|reg_pc_h[5]" tap_mode="classic"/>\r
293           <wire name="mos6502:cpu_inst|reg_pc_h[6]" tap_mode="classic"/>\r
294           <wire name="mos6502:cpu_inst|reg_pc_h[7]" tap_mode="classic"/>\r
295           <wire name="mos6502:cpu_inst|reg_pc_l[0]" tap_mode="classic"/>\r
296           <wire name="mos6502:cpu_inst|reg_pc_l[1]" tap_mode="classic"/>\r
297           <wire name="mos6502:cpu_inst|reg_pc_l[2]" tap_mode="classic"/>\r
298           <wire name="mos6502:cpu_inst|reg_pc_l[3]" tap_mode="classic"/>\r
299           <wire name="mos6502:cpu_inst|reg_pc_l[4]" tap_mode="classic"/>\r
300           <wire name="mos6502:cpu_inst|reg_pc_l[5]" tap_mode="classic"/>\r
301           <wire name="mos6502:cpu_inst|reg_pc_l[6]" tap_mode="classic"/>\r
302           <wire name="mos6502:cpu_inst|reg_pc_l[7]" tap_mode="classic"/>\r
303           <wire name="mos6502:cpu_inst|reg_sp[0]" tap_mode="classic"/>\r
304           <wire name="mos6502:cpu_inst|reg_sp[1]" tap_mode="classic"/>\r
305           <wire name="mos6502:cpu_inst|reg_sp[2]" tap_mode="classic"/>\r
306           <wire name="mos6502:cpu_inst|reg_sp[3]" tap_mode="classic"/>\r
307           <wire name="mos6502:cpu_inst|reg_sp[4]" tap_mode="classic"/>\r
308           <wire name="mos6502:cpu_inst|reg_sp[5]" tap_mode="classic"/>\r
309           <wire name="mos6502:cpu_inst|reg_sp[6]" tap_mode="classic"/>\r
310           <wire name="mos6502:cpu_inst|reg_sp[7]" tap_mode="classic"/>\r
311           <wire name="mos6502:cpu_inst|reg_status[0]" tap_mode="classic"/>\r
312           <wire name="mos6502:cpu_inst|reg_status[1]" tap_mode="classic"/>\r
313           <wire name="mos6502:cpu_inst|reg_status[2]" tap_mode="classic"/>\r
314           <wire name="mos6502:cpu_inst|reg_status[3]" tap_mode="classic"/>\r
315           <wire name="mos6502:cpu_inst|reg_status[4]" tap_mode="classic"/>\r
316           <wire name="mos6502:cpu_inst|reg_status[5]" tap_mode="classic"/>\r
317           <wire name="mos6502:cpu_inst|reg_status[6]" tap_mode="classic"/>\r
318           <wire name="mos6502:cpu_inst|reg_status[7]" tap_mode="classic"/>\r
319           <wire name="mos6502:cpu_inst|reg_tmp_data[0]" tap_mode="classic"/>\r
320           <wire name="mos6502:cpu_inst|reg_tmp_data[1]" tap_mode="classic"/>\r
321           <wire name="mos6502:cpu_inst|reg_tmp_data[2]" tap_mode="classic"/>\r
322           <wire name="mos6502:cpu_inst|reg_tmp_data[3]" tap_mode="classic"/>\r
323           <wire name="mos6502:cpu_inst|reg_tmp_data[4]" tap_mode="classic"/>\r
324           <wire name="mos6502:cpu_inst|reg_tmp_data[5]" tap_mode="classic"/>\r
325           <wire name="mos6502:cpu_inst|reg_tmp_data[6]" tap_mode="classic"/>\r
326           <wire name="mos6502:cpu_inst|reg_tmp_data[7]" tap_mode="classic"/>\r
327           <wire name="mos6502:cpu_inst|reg_tmp_h[0]" tap_mode="classic"/>\r
328           <wire name="mos6502:cpu_inst|reg_tmp_h[1]" tap_mode="classic"/>\r
329           <wire name="mos6502:cpu_inst|reg_tmp_h[2]" tap_mode="classic"/>\r
330           <wire name="mos6502:cpu_inst|reg_tmp_h[3]" tap_mode="classic"/>\r
331           <wire name="mos6502:cpu_inst|reg_tmp_h[4]" tap_mode="classic"/>\r
332           <wire name="mos6502:cpu_inst|reg_tmp_h[5]" tap_mode="classic"/>\r
333           <wire name="mos6502:cpu_inst|reg_tmp_h[6]" tap_mode="classic"/>\r
334           <wire name="mos6502:cpu_inst|reg_tmp_h[7]" tap_mode="classic"/>\r
335           <wire name="mos6502:cpu_inst|reg_tmp_l[0]" tap_mode="classic"/>\r
336           <wire name="mos6502:cpu_inst|reg_tmp_l[1]" tap_mode="classic"/>\r
337           <wire name="mos6502:cpu_inst|reg_tmp_l[2]" tap_mode="classic"/>\r
338           <wire name="mos6502:cpu_inst|reg_tmp_l[3]" tap_mode="classic"/>\r
339           <wire name="mos6502:cpu_inst|reg_tmp_l[4]" tap_mode="classic"/>\r
340           <wire name="mos6502:cpu_inst|reg_tmp_l[5]" tap_mode="classic"/>\r
341           <wire name="mos6502:cpu_inst|reg_tmp_l[6]" tap_mode="classic"/>\r
342           <wire name="mos6502:cpu_inst|reg_tmp_l[7]" tap_mode="classic"/>\r
343           <wire name="mos6502:cpu_inst|reg_x[0]" tap_mode="classic"/>\r
344           <wire name="mos6502:cpu_inst|reg_x[1]" tap_mode="classic"/>\r
345           <wire name="mos6502:cpu_inst|reg_x[2]" tap_mode="classic"/>\r
346           <wire name="mos6502:cpu_inst|reg_x[3]" tap_mode="classic"/>\r
347           <wire name="mos6502:cpu_inst|reg_x[4]" tap_mode="classic"/>\r
348           <wire name="mos6502:cpu_inst|reg_x[5]" tap_mode="classic"/>\r
349           <wire name="mos6502:cpu_inst|reg_x[6]" tap_mode="classic"/>\r
350           <wire name="mos6502:cpu_inst|reg_x[7]" tap_mode="classic"/>\r
351           <wire name="mos6502:cpu_inst|reg_y[0]" tap_mode="classic"/>\r
352           <wire name="mos6502:cpu_inst|reg_y[1]" tap_mode="classic"/>\r
353           <wire name="mos6502:cpu_inst|reg_y[2]" tap_mode="classic"/>\r
354           <wire name="mos6502:cpu_inst|reg_y[3]" tap_mode="classic"/>\r
355           <wire name="mos6502:cpu_inst|reg_y[4]" tap_mode="classic"/>\r
356           <wire name="mos6502:cpu_inst|reg_y[5]" tap_mode="classic"/>\r
357           <wire name="mos6502:cpu_inst|reg_y[6]" tap_mode="classic"/>\r
358           <wire name="mos6502:cpu_inst|reg_y[7]" tap_mode="classic"/>\r
359           <wire name="pi_rst_n" tap_mode="classic"/>\r
360           <wire name="po_dbg_cnt[0]" tap_mode="classic"/>\r
361           <wire name="po_dbg_cnt[10]" tap_mode="classic"/>\r
362           <wire name="po_dbg_cnt[11]" tap_mode="classic"/>\r
363           <wire name="po_dbg_cnt[12]" tap_mode="classic"/>\r
364           <wire name="po_dbg_cnt[13]" tap_mode="classic"/>\r
365           <wire name="po_dbg_cnt[14]" tap_mode="classic"/>\r
366           <wire name="po_dbg_cnt[15]" tap_mode="classic"/>\r
367           <wire name="po_dbg_cnt[16]" tap_mode="classic"/>\r
368           <wire name="po_dbg_cnt[17]" tap_mode="classic"/>\r
369           <wire name="po_dbg_cnt[18]" tap_mode="classic"/>\r
370           <wire name="po_dbg_cnt[19]" tap_mode="classic"/>\r
371           <wire name="po_dbg_cnt[1]" tap_mode="classic"/>\r
372           <wire name="po_dbg_cnt[20]" tap_mode="classic"/>\r
373           <wire name="po_dbg_cnt[21]" tap_mode="classic"/>\r
374           <wire name="po_dbg_cnt[22]" tap_mode="classic"/>\r
375           <wire name="po_dbg_cnt[23]" tap_mode="classic"/>\r
376           <wire name="po_dbg_cnt[24]" tap_mode="classic"/>\r
377           <wire name="po_dbg_cnt[25]" tap_mode="classic"/>\r
378           <wire name="po_dbg_cnt[26]" tap_mode="classic"/>\r
379           <wire name="po_dbg_cnt[27]" tap_mode="classic"/>\r
380           <wire name="po_dbg_cnt[28]" tap_mode="classic"/>\r
381           <wire name="po_dbg_cnt[29]" tap_mode="classic"/>\r
382           <wire name="po_dbg_cnt[2]" tap_mode="classic"/>\r
383           <wire name="po_dbg_cnt[30]" tap_mode="classic"/>\r
384           <wire name="po_dbg_cnt[31]" tap_mode="classic"/>\r
385           <wire name="po_dbg_cnt[32]" tap_mode="classic"/>\r
386           <wire name="po_dbg_cnt[33]" tap_mode="classic"/>\r
387           <wire name="po_dbg_cnt[34]" tap_mode="classic"/>\r
388           <wire name="po_dbg_cnt[35]" tap_mode="classic"/>\r
389           <wire name="po_dbg_cnt[36]" tap_mode="classic"/>\r
390           <wire name="po_dbg_cnt[37]" tap_mode="classic"/>\r
391           <wire name="po_dbg_cnt[38]" tap_mode="classic"/>\r
392           <wire name="po_dbg_cnt[39]" tap_mode="classic"/>\r
393           <wire name="po_dbg_cnt[3]" tap_mode="classic"/>\r
394           <wire name="po_dbg_cnt[40]" tap_mode="classic"/>\r
395           <wire name="po_dbg_cnt[41]" tap_mode="classic"/>\r
396           <wire name="po_dbg_cnt[42]" tap_mode="classic"/>\r
397           <wire name="po_dbg_cnt[43]" tap_mode="classic"/>\r
398           <wire name="po_dbg_cnt[44]" tap_mode="classic"/>\r
399           <wire name="po_dbg_cnt[45]" tap_mode="classic"/>\r
400           <wire name="po_dbg_cnt[46]" tap_mode="classic"/>\r
401           <wire name="po_dbg_cnt[47]" tap_mode="classic"/>\r
402           <wire name="po_dbg_cnt[48]" tap_mode="classic"/>\r
403           <wire name="po_dbg_cnt[49]" tap_mode="classic"/>\r
404           <wire name="po_dbg_cnt[4]" tap_mode="classic"/>\r
405           <wire name="po_dbg_cnt[50]" tap_mode="classic"/>\r
406           <wire name="po_dbg_cnt[51]" tap_mode="classic"/>\r
407           <wire name="po_dbg_cnt[52]" tap_mode="classic"/>\r
408           <wire name="po_dbg_cnt[53]" tap_mode="classic"/>\r
409           <wire name="po_dbg_cnt[54]" tap_mode="classic"/>\r
410           <wire name="po_dbg_cnt[55]" tap_mode="classic"/>\r
411           <wire name="po_dbg_cnt[56]" tap_mode="classic"/>\r
412           <wire name="po_dbg_cnt[57]" tap_mode="classic"/>\r
413           <wire name="po_dbg_cnt[58]" tap_mode="classic"/>\r
414           <wire name="po_dbg_cnt[59]" tap_mode="classic"/>\r
415           <wire name="po_dbg_cnt[5]" tap_mode="classic"/>\r
416           <wire name="po_dbg_cnt[60]" tap_mode="classic"/>\r
417           <wire name="po_dbg_cnt[61]" tap_mode="classic"/>\r
418           <wire name="po_dbg_cnt[62]" tap_mode="classic"/>\r
419           <wire name="po_dbg_cnt[63]" tap_mode="classic"/>\r
420           <wire name="po_dbg_cnt[6]" tap_mode="classic"/>\r
421           <wire name="po_dbg_cnt[7]" tap_mode="classic"/>\r
422           <wire name="po_dbg_cnt[8]" tap_mode="classic"/>\r
423           <wire name="po_dbg_cnt[9]" tap_mode="classic"/>\r
424           <wire name="mos6502:cpu_inst|reg_oe_n" tap_mode="probeonly"/>\r
425           <wire name="mos6502:cpu_inst|reg_tmp_carry" tap_mode="probeonly"/>\r
426           <wire name="mos6502:cpu_inst|reg_tmp_condition" tap_mode="probeonly"/>\r
427           <wire name="mos6502:cpu_inst|reg_tmp_ovf" tap_mode="probeonly"/>\r
428           <wire name="mos6502:cpu_inst|reg_tmp_pg_crossed" tap_mode="probeonly"/>\r
429           <wire name="mos6502:cpu_inst|reg_we_n" tap_mode="probeonly"/>\r
430           <wire name="ppu:ppu_inst|reg_vblank_n" tap_mode="probeonly"/>\r
431         </data_input_vec>\r
432         <storage_qualifier_input_vec>\r
433           <wire name="mos6502:cpu_inst|reg_acc[0]" tap_mode="classic"/>\r
434           <wire name="mos6502:cpu_inst|reg_acc[1]" tap_mode="classic"/>\r
435           <wire name="mos6502:cpu_inst|reg_acc[2]" tap_mode="classic"/>\r
436           <wire name="mos6502:cpu_inst|reg_acc[3]" tap_mode="classic"/>\r
437           <wire name="mos6502:cpu_inst|reg_acc[4]" tap_mode="classic"/>\r
438           <wire name="mos6502:cpu_inst|reg_acc[5]" tap_mode="classic"/>\r
439           <wire name="mos6502:cpu_inst|reg_acc[6]" tap_mode="classic"/>\r
440           <wire name="mos6502:cpu_inst|reg_acc[7]" tap_mode="classic"/>\r
441           <wire name="mos6502:cpu_inst|reg_addr[0]" tap_mode="classic"/>\r
442           <wire name="mos6502:cpu_inst|reg_addr[10]" tap_mode="classic"/>\r
443           <wire name="mos6502:cpu_inst|reg_addr[11]" tap_mode="classic"/>\r
444           <wire name="mos6502:cpu_inst|reg_addr[12]" tap_mode="classic"/>\r
445           <wire name="mos6502:cpu_inst|reg_addr[13]" tap_mode="classic"/>\r
446           <wire name="mos6502:cpu_inst|reg_addr[14]" tap_mode="classic"/>\r
447           <wire name="mos6502:cpu_inst|reg_addr[15]" tap_mode="classic"/>\r
448           <wire name="mos6502:cpu_inst|reg_addr[1]" tap_mode="classic"/>\r
449           <wire name="mos6502:cpu_inst|reg_addr[2]" tap_mode="classic"/>\r
450           <wire name="mos6502:cpu_inst|reg_addr[3]" tap_mode="classic"/>\r
451           <wire name="mos6502:cpu_inst|reg_addr[4]" tap_mode="classic"/>\r
452           <wire name="mos6502:cpu_inst|reg_addr[5]" tap_mode="classic"/>\r
453           <wire name="mos6502:cpu_inst|reg_addr[6]" tap_mode="classic"/>\r
454           <wire name="mos6502:cpu_inst|reg_addr[7]" tap_mode="classic"/>\r
455           <wire name="mos6502:cpu_inst|reg_addr[8]" tap_mode="classic"/>\r
456           <wire name="mos6502:cpu_inst|reg_addr[9]" tap_mode="classic"/>\r
457           <wire name="mos6502:cpu_inst|reg_d_in[0]" tap_mode="classic"/>\r
458           <wire name="mos6502:cpu_inst|reg_d_in[1]" tap_mode="classic"/>\r
459           <wire name="mos6502:cpu_inst|reg_d_in[2]" tap_mode="classic"/>\r
460           <wire name="mos6502:cpu_inst|reg_d_in[3]" tap_mode="classic"/>\r
461           <wire name="mos6502:cpu_inst|reg_d_in[4]" tap_mode="classic"/>\r
462           <wire name="mos6502:cpu_inst|reg_d_in[5]" tap_mode="classic"/>\r
463           <wire name="mos6502:cpu_inst|reg_d_in[6]" tap_mode="classic"/>\r
464           <wire name="mos6502:cpu_inst|reg_d_in[7]" tap_mode="classic"/>\r
465           <wire name="mos6502:cpu_inst|reg_d_out[0]" tap_mode="classic"/>\r
466           <wire name="mos6502:cpu_inst|reg_d_out[1]" tap_mode="classic"/>\r
467           <wire name="mos6502:cpu_inst|reg_d_out[2]" tap_mode="classic"/>\r
468           <wire name="mos6502:cpu_inst|reg_d_out[3]" tap_mode="classic"/>\r
469           <wire name="mos6502:cpu_inst|reg_d_out[4]" tap_mode="classic"/>\r
470           <wire name="mos6502:cpu_inst|reg_d_out[5]" tap_mode="classic"/>\r
471           <wire name="mos6502:cpu_inst|reg_d_out[6]" tap_mode="classic"/>\r
472           <wire name="mos6502:cpu_inst|reg_d_out[7]" tap_mode="classic"/>\r
473           <wire name="mos6502:cpu_inst|reg_idl_h[0]" tap_mode="classic"/>\r
474           <wire name="mos6502:cpu_inst|reg_idl_h[1]" tap_mode="classic"/>\r
475           <wire name="mos6502:cpu_inst|reg_idl_h[2]" tap_mode="classic"/>\r
476           <wire name="mos6502:cpu_inst|reg_idl_h[3]" tap_mode="classic"/>\r
477           <wire name="mos6502:cpu_inst|reg_idl_h[4]" tap_mode="classic"/>\r
478           <wire name="mos6502:cpu_inst|reg_idl_h[5]" tap_mode="classic"/>\r
479           <wire name="mos6502:cpu_inst|reg_idl_h[6]" tap_mode="classic"/>\r
480           <wire name="mos6502:cpu_inst|reg_idl_h[7]" tap_mode="classic"/>\r
481           <wire name="mos6502:cpu_inst|reg_idl_l[0]" tap_mode="classic"/>\r
482           <wire name="mos6502:cpu_inst|reg_idl_l[1]" tap_mode="classic"/>\r
483           <wire name="mos6502:cpu_inst|reg_idl_l[2]" tap_mode="classic"/>\r
484           <wire name="mos6502:cpu_inst|reg_idl_l[3]" tap_mode="classic"/>\r
485           <wire name="mos6502:cpu_inst|reg_idl_l[4]" tap_mode="classic"/>\r
486           <wire name="mos6502:cpu_inst|reg_idl_l[5]" tap_mode="classic"/>\r
487           <wire name="mos6502:cpu_inst|reg_idl_l[6]" tap_mode="classic"/>\r
488           <wire name="mos6502:cpu_inst|reg_idl_l[7]" tap_mode="classic"/>\r
489           <wire name="mos6502:cpu_inst|reg_inst[0]" tap_mode="classic"/>\r
490           <wire name="mos6502:cpu_inst|reg_inst[1]" tap_mode="classic"/>\r
491           <wire name="mos6502:cpu_inst|reg_inst[2]" tap_mode="classic"/>\r
492           <wire name="mos6502:cpu_inst|reg_inst[3]" tap_mode="classic"/>\r
493           <wire name="mos6502:cpu_inst|reg_inst[4]" tap_mode="classic"/>\r
494           <wire name="mos6502:cpu_inst|reg_inst[5]" tap_mode="classic"/>\r
495           <wire name="mos6502:cpu_inst|reg_inst[6]" tap_mode="classic"/>\r
496           <wire name="mos6502:cpu_inst|reg_inst[7]" tap_mode="classic"/>\r
497           <wire name="mos6502:cpu_inst|reg_pc_h[0]" tap_mode="classic"/>\r
498           <wire name="mos6502:cpu_inst|reg_pc_h[1]" tap_mode="classic"/>\r
499           <wire name="mos6502:cpu_inst|reg_pc_h[2]" tap_mode="classic"/>\r
500           <wire name="mos6502:cpu_inst|reg_pc_h[3]" tap_mode="classic"/>\r
501           <wire name="mos6502:cpu_inst|reg_pc_h[4]" tap_mode="classic"/>\r
502           <wire name="mos6502:cpu_inst|reg_pc_h[5]" tap_mode="classic"/>\r
503           <wire name="mos6502:cpu_inst|reg_pc_h[6]" tap_mode="classic"/>\r
504           <wire name="mos6502:cpu_inst|reg_pc_h[7]" tap_mode="classic"/>\r
505           <wire name="mos6502:cpu_inst|reg_pc_l[0]" tap_mode="classic"/>\r
506           <wire name="mos6502:cpu_inst|reg_pc_l[1]" tap_mode="classic"/>\r
507           <wire name="mos6502:cpu_inst|reg_pc_l[2]" tap_mode="classic"/>\r
508           <wire name="mos6502:cpu_inst|reg_pc_l[3]" tap_mode="classic"/>\r
509           <wire name="mos6502:cpu_inst|reg_pc_l[4]" tap_mode="classic"/>\r
510           <wire name="mos6502:cpu_inst|reg_pc_l[5]" tap_mode="classic"/>\r
511           <wire name="mos6502:cpu_inst|reg_pc_l[6]" tap_mode="classic"/>\r
512           <wire name="mos6502:cpu_inst|reg_pc_l[7]" tap_mode="classic"/>\r
513           <wire name="mos6502:cpu_inst|reg_sp[0]" tap_mode="classic"/>\r
514           <wire name="mos6502:cpu_inst|reg_sp[1]" tap_mode="classic"/>\r
515           <wire name="mos6502:cpu_inst|reg_sp[2]" tap_mode="classic"/>\r
516           <wire name="mos6502:cpu_inst|reg_sp[3]" tap_mode="classic"/>\r
517           <wire name="mos6502:cpu_inst|reg_sp[4]" tap_mode="classic"/>\r
518           <wire name="mos6502:cpu_inst|reg_sp[5]" tap_mode="classic"/>\r
519           <wire name="mos6502:cpu_inst|reg_sp[6]" tap_mode="classic"/>\r
520           <wire name="mos6502:cpu_inst|reg_sp[7]" tap_mode="classic"/>\r
521           <wire name="mos6502:cpu_inst|reg_status[0]" tap_mode="classic"/>\r
522           <wire name="mos6502:cpu_inst|reg_status[1]" tap_mode="classic"/>\r
523           <wire name="mos6502:cpu_inst|reg_status[2]" tap_mode="classic"/>\r
524           <wire name="mos6502:cpu_inst|reg_status[3]" tap_mode="classic"/>\r
525           <wire name="mos6502:cpu_inst|reg_status[4]" tap_mode="classic"/>\r
526           <wire name="mos6502:cpu_inst|reg_status[5]" tap_mode="classic"/>\r
527           <wire name="mos6502:cpu_inst|reg_status[6]" tap_mode="classic"/>\r
528           <wire name="mos6502:cpu_inst|reg_status[7]" tap_mode="classic"/>\r
529           <wire name="mos6502:cpu_inst|reg_tmp_data[0]" tap_mode="classic"/>\r
530           <wire name="mos6502:cpu_inst|reg_tmp_data[1]" tap_mode="classic"/>\r
531           <wire name="mos6502:cpu_inst|reg_tmp_data[2]" tap_mode="classic"/>\r
532           <wire name="mos6502:cpu_inst|reg_tmp_data[3]" tap_mode="classic"/>\r
533           <wire name="mos6502:cpu_inst|reg_tmp_data[4]" tap_mode="classic"/>\r
534           <wire name="mos6502:cpu_inst|reg_tmp_data[5]" tap_mode="classic"/>\r
535           <wire name="mos6502:cpu_inst|reg_tmp_data[6]" tap_mode="classic"/>\r
536           <wire name="mos6502:cpu_inst|reg_tmp_data[7]" tap_mode="classic"/>\r
537           <wire name="mos6502:cpu_inst|reg_tmp_h[0]" tap_mode="classic"/>\r
538           <wire name="mos6502:cpu_inst|reg_tmp_h[1]" tap_mode="classic"/>\r
539           <wire name="mos6502:cpu_inst|reg_tmp_h[2]" tap_mode="classic"/>\r
540           <wire name="mos6502:cpu_inst|reg_tmp_h[3]" tap_mode="classic"/>\r
541           <wire name="mos6502:cpu_inst|reg_tmp_h[4]" tap_mode="classic"/>\r
542           <wire name="mos6502:cpu_inst|reg_tmp_h[5]" tap_mode="classic"/>\r
543           <wire name="mos6502:cpu_inst|reg_tmp_h[6]" tap_mode="classic"/>\r
544           <wire name="mos6502:cpu_inst|reg_tmp_h[7]" tap_mode="classic"/>\r
545           <wire name="mos6502:cpu_inst|reg_tmp_l[0]" tap_mode="classic"/>\r
546           <wire name="mos6502:cpu_inst|reg_tmp_l[1]" tap_mode="classic"/>\r
547           <wire name="mos6502:cpu_inst|reg_tmp_l[2]" tap_mode="classic"/>\r
548           <wire name="mos6502:cpu_inst|reg_tmp_l[3]" tap_mode="classic"/>\r
549           <wire name="mos6502:cpu_inst|reg_tmp_l[4]" tap_mode="classic"/>\r
550           <wire name="mos6502:cpu_inst|reg_tmp_l[5]" tap_mode="classic"/>\r
551           <wire name="mos6502:cpu_inst|reg_tmp_l[6]" tap_mode="classic"/>\r
552           <wire name="mos6502:cpu_inst|reg_tmp_l[7]" tap_mode="classic"/>\r
553           <wire name="mos6502:cpu_inst|reg_x[0]" tap_mode="classic"/>\r
554           <wire name="mos6502:cpu_inst|reg_x[1]" tap_mode="classic"/>\r
555           <wire name="mos6502:cpu_inst|reg_x[2]" tap_mode="classic"/>\r
556           <wire name="mos6502:cpu_inst|reg_x[3]" tap_mode="classic"/>\r
557           <wire name="mos6502:cpu_inst|reg_x[4]" tap_mode="classic"/>\r
558           <wire name="mos6502:cpu_inst|reg_x[5]" tap_mode="classic"/>\r
559           <wire name="mos6502:cpu_inst|reg_x[6]" tap_mode="classic"/>\r
560           <wire name="mos6502:cpu_inst|reg_x[7]" tap_mode="classic"/>\r
561           <wire name="mos6502:cpu_inst|reg_y[0]" tap_mode="classic"/>\r
562           <wire name="mos6502:cpu_inst|reg_y[1]" tap_mode="classic"/>\r
563           <wire name="mos6502:cpu_inst|reg_y[2]" tap_mode="classic"/>\r
564           <wire name="mos6502:cpu_inst|reg_y[3]" tap_mode="classic"/>\r
565           <wire name="mos6502:cpu_inst|reg_y[4]" tap_mode="classic"/>\r
566           <wire name="mos6502:cpu_inst|reg_y[5]" tap_mode="classic"/>\r
567           <wire name="mos6502:cpu_inst|reg_y[6]" tap_mode="classic"/>\r
568           <wire name="mos6502:cpu_inst|reg_y[7]" tap_mode="classic"/>\r
569           <wire name="pi_rst_n" tap_mode="classic"/>\r
570           <wire name="po_dbg_cnt[0]" tap_mode="classic"/>\r
571           <wire name="po_dbg_cnt[10]" tap_mode="classic"/>\r
572           <wire name="po_dbg_cnt[11]" tap_mode="classic"/>\r
573           <wire name="po_dbg_cnt[12]" tap_mode="classic"/>\r
574           <wire name="po_dbg_cnt[13]" tap_mode="classic"/>\r
575           <wire name="po_dbg_cnt[14]" tap_mode="classic"/>\r
576           <wire name="po_dbg_cnt[15]" tap_mode="classic"/>\r
577           <wire name="po_dbg_cnt[16]" tap_mode="classic"/>\r
578           <wire name="po_dbg_cnt[17]" tap_mode="classic"/>\r
579           <wire name="po_dbg_cnt[18]" tap_mode="classic"/>\r
580           <wire name="po_dbg_cnt[19]" tap_mode="classic"/>\r
581           <wire name="po_dbg_cnt[1]" tap_mode="classic"/>\r
582           <wire name="po_dbg_cnt[20]" tap_mode="classic"/>\r
583           <wire name="po_dbg_cnt[21]" tap_mode="classic"/>\r
584           <wire name="po_dbg_cnt[22]" tap_mode="classic"/>\r
585           <wire name="po_dbg_cnt[23]" tap_mode="classic"/>\r
586           <wire name="po_dbg_cnt[24]" tap_mode="classic"/>\r
587           <wire name="po_dbg_cnt[25]" tap_mode="classic"/>\r
588           <wire name="po_dbg_cnt[26]" tap_mode="classic"/>\r
589           <wire name="po_dbg_cnt[27]" tap_mode="classic"/>\r
590           <wire name="po_dbg_cnt[28]" tap_mode="classic"/>\r
591           <wire name="po_dbg_cnt[29]" tap_mode="classic"/>\r
592           <wire name="po_dbg_cnt[2]" tap_mode="classic"/>\r
593           <wire name="po_dbg_cnt[30]" tap_mode="classic"/>\r
594           <wire name="po_dbg_cnt[31]" tap_mode="classic"/>\r
595           <wire name="po_dbg_cnt[32]" tap_mode="classic"/>\r
596           <wire name="po_dbg_cnt[33]" tap_mode="classic"/>\r
597           <wire name="po_dbg_cnt[34]" tap_mode="classic"/>\r
598           <wire name="po_dbg_cnt[35]" tap_mode="classic"/>\r
599           <wire name="po_dbg_cnt[36]" tap_mode="classic"/>\r
600           <wire name="po_dbg_cnt[37]" tap_mode="classic"/>\r
601           <wire name="po_dbg_cnt[38]" tap_mode="classic"/>\r
602           <wire name="po_dbg_cnt[39]" tap_mode="classic"/>\r
603           <wire name="po_dbg_cnt[3]" tap_mode="classic"/>\r
604           <wire name="po_dbg_cnt[40]" tap_mode="classic"/>\r
605           <wire name="po_dbg_cnt[41]" tap_mode="classic"/>\r
606           <wire name="po_dbg_cnt[42]" tap_mode="classic"/>\r
607           <wire name="po_dbg_cnt[43]" tap_mode="classic"/>\r
608           <wire name="po_dbg_cnt[44]" tap_mode="classic"/>\r
609           <wire name="po_dbg_cnt[45]" tap_mode="classic"/>\r
610           <wire name="po_dbg_cnt[46]" tap_mode="classic"/>\r
611           <wire name="po_dbg_cnt[47]" tap_mode="classic"/>\r
612           <wire name="po_dbg_cnt[48]" tap_mode="classic"/>\r
613           <wire name="po_dbg_cnt[49]" tap_mode="classic"/>\r
614           <wire name="po_dbg_cnt[4]" tap_mode="classic"/>\r
615           <wire name="po_dbg_cnt[50]" tap_mode="classic"/>\r
616           <wire name="po_dbg_cnt[51]" tap_mode="classic"/>\r
617           <wire name="po_dbg_cnt[52]" tap_mode="classic"/>\r
618           <wire name="po_dbg_cnt[53]" tap_mode="classic"/>\r
619           <wire name="po_dbg_cnt[54]" tap_mode="classic"/>\r
620           <wire name="po_dbg_cnt[55]" tap_mode="classic"/>\r
621           <wire name="po_dbg_cnt[56]" tap_mode="classic"/>\r
622           <wire name="po_dbg_cnt[57]" tap_mode="classic"/>\r
623           <wire name="po_dbg_cnt[58]" tap_mode="classic"/>\r
624           <wire name="po_dbg_cnt[59]" tap_mode="classic"/>\r
625           <wire name="po_dbg_cnt[5]" tap_mode="classic"/>\r
626           <wire name="po_dbg_cnt[60]" tap_mode="classic"/>\r
627           <wire name="po_dbg_cnt[61]" tap_mode="classic"/>\r
628           <wire name="po_dbg_cnt[62]" tap_mode="classic"/>\r
629           <wire name="po_dbg_cnt[63]" tap_mode="classic"/>\r
630           <wire name="po_dbg_cnt[6]" tap_mode="classic"/>\r
631           <wire name="po_dbg_cnt[7]" tap_mode="classic"/>\r
632           <wire name="po_dbg_cnt[8]" tap_mode="classic"/>\r
633           <wire name="po_dbg_cnt[9]" tap_mode="classic"/>\r
634           <wire name="mos6502:cpu_inst|reg_oe_n" tap_mode="probeonly"/>\r
635           <wire name="mos6502:cpu_inst|reg_tmp_carry" tap_mode="probeonly"/>\r
636           <wire name="mos6502:cpu_inst|reg_tmp_condition" tap_mode="probeonly"/>\r
637           <wire name="mos6502:cpu_inst|reg_tmp_ovf" tap_mode="probeonly"/>\r
638           <wire name="mos6502:cpu_inst|reg_tmp_pg_crossed" tap_mode="probeonly"/>\r
639           <wire name="mos6502:cpu_inst|reg_we_n" tap_mode="probeonly"/>\r
640           <wire name="ppu:ppu_inst|reg_vblank_n" tap_mode="probeonly"/>\r
641         </storage_qualifier_input_vec>\r
642       </signal_vec>\r
643       <presentation>\r
644         <unified_setup_data_view>\r
645           <node name="po_dbg_cnt" order="msb_to_lsb" type="output pin">\r
646             <node data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
647             <node data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
648             <node data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
649             <node data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
650             <node data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
651             <node data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
652             <node data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
653             <node data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
654             <node data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
655             <node data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
656             <node data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
657             <node data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
658             <node data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
659             <node data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
660             <node data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
661             <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
662             <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
663             <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
664             <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
665             <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
666             <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
667             <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
668             <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
669             <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
670             <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
671             <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
672             <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
673             <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
674             <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
675             <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
676             <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
677             <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
678             <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
679             <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
680             <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
681             <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
682             <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
683             <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
684             <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
685             <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
686             <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
687             <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
688             <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
689             <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
690             <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
691             <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
692             <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
693             <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
694             <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
695             <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
696             <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
697             <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
698             <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
699             <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
700             <node data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
701             <node data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
702             <node data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
703             <node data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
704             <node data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
705             <node data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
706             <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
707             <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
708             <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
709             <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
710           </node>\r
711           <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="input pin"/>\r
712           <node data_index="207" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="probeonly" trigger_index="207" type="register"/>\r
713           <node data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="probeonly" trigger_index="206" type="register"/>\r
714           <node data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="mos6502:cpu_inst|reg_oe_n" storage_index="201" tap_mode="probeonly" trigger_index="201" type="register"/>\r
715           <node name="reg_addr" order="msb_to_lsb" type="register">\r
716             <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
717             <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
718             <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
719             <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
720             <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
721             <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
722             <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
723             <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
724             <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
725             <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
726             <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
727             <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
728             <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
729             <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
730             <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
731             <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
732           </node>\r
733           <node name="reg_d_in" order="msb_to_lsb" type="register">\r
734             <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
735             <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
736             <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
737             <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
738             <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
739             <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
740             <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
741             <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
742           </node>\r
743           <node name="reg_d_out" order="msb_to_lsb" type="register">\r
744             <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
745             <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
746             <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
747             <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
748             <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
749             <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
750             <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
751             <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
752           </node>\r
753           <node name="reg_inst" order="msb_to_lsb" type="register">\r
754             <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
755             <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
756             <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
757             <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
758             <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
759             <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
760             <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
761             <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
762           </node>\r
763           <node name="reg_status" order="msb_to_lsb" type="register">\r
764             <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="register"/>\r
765             <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="register"/>\r
766             <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="register"/>\r
767             <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="register"/>\r
768             <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="register"/>\r
769             <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="register"/>\r
770             <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="register"/>\r
771             <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="register"/>\r
772           </node>\r
773           <node name="reg_acc" order="msb_to_lsb" type="register">\r
774             <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
775             <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
776             <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
777             <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
778             <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="register"/>\r
779             <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="register"/>\r
780             <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="register"/>\r
781             <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="register"/>\r
782           </node>\r
783           <node name="reg_x" order="msb_to_lsb" type="register">\r
784             <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="register"/>\r
785             <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="register"/>\r
786             <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="register"/>\r
787             <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="register"/>\r
788             <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="register"/>\r
789             <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="register"/>\r
790             <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="register"/>\r
791             <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="register"/>\r
792           </node>\r
793           <node name="reg_y" order="msb_to_lsb" type="register">\r
794             <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="register"/>\r
795             <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="register"/>\r
796             <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="register"/>\r
797             <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="register"/>\r
798             <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="register"/>\r
799             <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="register"/>\r
800             <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="register"/>\r
801             <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="register"/>\r
802           </node>\r
803           <node name="reg_sp" order="msb_to_lsb" type="register">\r
804             <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="register"/>\r
805             <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="register"/>\r
806             <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="register"/>\r
807             <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="register"/>\r
808             <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="register"/>\r
809             <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="register"/>\r
810             <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="register"/>\r
811             <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="register"/>\r
812           </node>\r
813           <node name="reg_idl_h" order="msb_to_lsb" type="register">\r
814             <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
815             <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
816             <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
817             <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
818             <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
819             <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
820             <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
821             <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
822           </node>\r
823           <node name="reg_idl_l" order="msb_to_lsb" type="register">\r
824             <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
825             <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
826             <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
827             <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
828             <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
829             <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
830             <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
831             <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
832           </node>\r
833           <node name="reg_pc_h" order="msb_to_lsb" type="register">\r
834             <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="register"/>\r
835             <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="register"/>\r
836             <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="register"/>\r
837             <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="register"/>\r
838             <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
839             <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
840             <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
841             <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
842           </node>\r
843           <node name="reg_pc_l" order="msb_to_lsb" type="register">\r
844             <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="register"/>\r
845             <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="register"/>\r
846             <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="register"/>\r
847             <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="register"/>\r
848             <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="register"/>\r
849             <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="register"/>\r
850             <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="register"/>\r
851             <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="register"/>\r
852           </node>\r
853           <node name="reg_tmp_data" order="msb_to_lsb" type="register">\r
854             <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="register"/>\r
855             <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="register"/>\r
856             <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="register"/>\r
857             <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="register"/>\r
858             <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="register"/>\r
859             <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="register"/>\r
860             <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="register"/>\r
861             <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="register"/>\r
862           </node>\r
863           <node name="reg_tmp_h" order="msb_to_lsb" type="register">\r
864             <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="register"/>\r
865             <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="register"/>\r
866             <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="register"/>\r
867             <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="register"/>\r
868             <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="register"/>\r
869             <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="register"/>\r
870             <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="register"/>\r
871             <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="register"/>\r
872           </node>\r
873           <node name="reg_tmp_l" order="msb_to_lsb" type="register">\r
874             <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="register"/>\r
875             <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="register"/>\r
876             <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="register"/>\r
877             <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="register"/>\r
878             <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="register"/>\r
879             <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="register"/>\r
880             <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="register"/>\r
881             <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="register"/>\r
882           </node>\r
883           <node data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_pg_crossed" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="probeonly" trigger_index="205" type="register"/>\r
884           <node data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="probeonly" trigger_index="202" type="register"/>\r
885           <node data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_condition" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="probeonly" trigger_index="203" type="register"/>\r
886           <node data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_ovf" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="probeonly" trigger_index="204" type="register"/>\r
887         </unified_setup_data_view>\r
888         <data_view>\r
889           <bus name="po_dbg_cnt" order="msb_to_lsb" type="output pin">\r
890             <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
891             <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
892             <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
893             <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
894             <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
895             <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
896             <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
897             <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
898             <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
899             <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
900             <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
901             <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
902             <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
903             <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
904             <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
905             <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
906             <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
907             <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
908             <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
909             <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
910             <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
911             <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
912             <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
913             <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
914             <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
915             <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
916             <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
917             <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
918             <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
919             <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
920             <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
921             <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
922             <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
923             <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
924             <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
925             <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
926             <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
927             <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
928             <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
929             <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
930             <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
931             <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
932             <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
933             <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
934             <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
935             <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
936             <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
937             <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
938             <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
939             <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
940             <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
941             <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
942             <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
943             <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
944             <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
945             <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
946             <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
947             <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
948             <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
949             <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
950             <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
951             <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
952             <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
953             <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
954           </bus>\r
955           <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="input pin"/>\r
956           <net data_index="207" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="probeonly" trigger_index="207" type="register"/>\r
957           <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="probeonly" trigger_index="206" type="register"/>\r
958           <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="mos6502:cpu_inst|reg_oe_n" storage_index="201" tap_mode="probeonly" trigger_index="201" type="register"/>\r
959           <bus name="reg_addr" order="msb_to_lsb" type="register">\r
960             <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
961             <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
962             <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
963             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
964             <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
965             <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
966             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
967             <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
968             <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
969             <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
970             <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
971             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
972             <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
973             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
974             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
975             <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
976           </bus>\r
977           <bus name="reg_d_in" order="msb_to_lsb" type="register">\r
978             <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
979             <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
980             <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
981             <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
982             <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
983             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
984             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
985             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
986           </bus>\r
987           <bus name="reg_d_out" order="msb_to_lsb" type="register">\r
988             <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
989             <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
990             <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
991             <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
992             <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
993             <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
994             <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
995             <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
996           </bus>\r
997           <bus name="reg_inst" order="msb_to_lsb" type="register">\r
998             <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
999             <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
1000             <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
1001             <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
1002             <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
1003             <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
1004             <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
1005             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
1006           </bus>\r
1007           <bus name="reg_status" order="msb_to_lsb" type="register">\r
1008             <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="register"/>\r
1009             <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="register"/>\r
1010             <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="register"/>\r
1011             <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="register"/>\r
1012             <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="register"/>\r
1013             <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="register"/>\r
1014             <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="register"/>\r
1015             <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="register"/>\r
1016           </bus>\r
1017           <bus name="reg_acc" order="msb_to_lsb" type="register">\r
1018             <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
1019             <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
1020             <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
1021             <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
1022             <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="register"/>\r
1023             <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="register"/>\r
1024             <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="register"/>\r
1025             <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="register"/>\r
1026           </bus>\r
1027           <bus name="reg_x" order="msb_to_lsb" type="register">\r
1028             <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="register"/>\r
1029             <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="register"/>\r
1030             <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="register"/>\r
1031             <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="register"/>\r
1032             <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="register"/>\r
1033             <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="register"/>\r
1034             <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="register"/>\r
1035             <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="register"/>\r
1036           </bus>\r
1037           <bus name="reg_y" order="msb_to_lsb" type="register">\r
1038             <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="register"/>\r
1039             <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="register"/>\r
1040             <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="register"/>\r
1041             <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="register"/>\r
1042             <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="register"/>\r
1043             <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="register"/>\r
1044             <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="register"/>\r
1045             <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="register"/>\r
1046           </bus>\r
1047           <bus name="reg_sp" order="msb_to_lsb" type="register">\r
1048             <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="register"/>\r
1049             <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="register"/>\r
1050             <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="register"/>\r
1051             <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="register"/>\r
1052             <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="register"/>\r
1053             <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="register"/>\r
1054             <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="register"/>\r
1055             <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="register"/>\r
1056           </bus>\r
1057           <bus name="reg_idl_h" order="msb_to_lsb" type="register">\r
1058             <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
1059             <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
1060             <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
1061             <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
1062             <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
1063             <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
1064             <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
1065             <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
1066           </bus>\r
1067           <bus name="reg_idl_l" order="msb_to_lsb" type="register">\r
1068             <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
1069             <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
1070             <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
1071             <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
1072             <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
1073             <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
1074             <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
1075             <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
1076           </bus>\r
1077           <bus name="reg_pc_h" order="msb_to_lsb" type="register">\r
1078             <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="register"/>\r
1079             <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="register"/>\r
1080             <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="register"/>\r
1081             <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="register"/>\r
1082             <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
1083             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
1084             <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
1085             <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
1086           </bus>\r
1087           <bus name="reg_pc_l" order="msb_to_lsb" type="register">\r
1088             <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="register"/>\r
1089             <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="register"/>\r
1090             <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="register"/>\r
1091             <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="register"/>\r
1092             <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="register"/>\r
1093             <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="register"/>\r
1094             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="register"/>\r
1095             <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="register"/>\r
1096           </bus>\r
1097           <bus name="reg_tmp_data" order="msb_to_lsb" type="register">\r
1098             <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="register"/>\r
1099             <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="register"/>\r
1100             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="register"/>\r
1101             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="register"/>\r
1102             <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="register"/>\r
1103             <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="register"/>\r
1104             <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="register"/>\r
1105             <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="register"/>\r
1106           </bus>\r
1107           <bus name="reg_tmp_h" order="msb_to_lsb" type="register">\r
1108             <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="register"/>\r
1109             <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="register"/>\r
1110             <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="register"/>\r
1111             <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="register"/>\r
1112             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="register"/>\r
1113             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="register"/>\r
1114             <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="register"/>\r
1115             <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="register"/>\r
1116           </bus>\r
1117           <bus name="reg_tmp_l" order="msb_to_lsb" type="register">\r
1118             <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="register"/>\r
1119             <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="register"/>\r
1120             <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="register"/>\r
1121             <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="register"/>\r
1122             <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="register"/>\r
1123             <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="register"/>\r
1124             <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="register"/>\r
1125             <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="register"/>\r
1126           </bus>\r
1127           <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_pg_crossed" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="probeonly" trigger_index="205" type="register"/>\r
1128           <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="probeonly" trigger_index="202" type="register"/>\r
1129           <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_condition" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="probeonly" trigger_index="203" type="register"/>\r
1130           <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_ovf" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="probeonly" trigger_index="204" type="register"/>\r
1131         </data_view>\r
1132         <setup_view>\r
1133           <bus name="po_dbg_cnt" order="msb_to_lsb" type="output pin">\r
1134             <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
1135             <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
1136             <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
1137             <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
1138             <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
1139             <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
1140             <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
1141             <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
1142             <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
1143             <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
1144             <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
1145             <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
1146             <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
1147             <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
1148             <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
1149             <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
1150             <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
1151             <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
1152             <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
1153             <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
1154             <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
1155             <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
1156             <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
1157             <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
1158             <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
1159             <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
1160             <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
1161             <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
1162             <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
1163             <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
1164             <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
1165             <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
1166             <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
1167             <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
1168             <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
1169             <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
1170             <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
1171             <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
1172             <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
1173             <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
1174             <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
1175             <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
1176             <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
1177             <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
1178             <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
1179             <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
1180             <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
1181             <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
1182             <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
1183             <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
1184             <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
1185             <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
1186             <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
1187             <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
1188             <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
1189             <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
1190             <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
1191             <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
1192             <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
1193             <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
1194             <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
1195             <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
1196             <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_dbg_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
1197             <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_dbg_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
1198           </bus>\r
1199           <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="input pin"/>\r
1200           <net data_index="207" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="probeonly" trigger_index="207" type="register"/>\r
1201           <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="probeonly" trigger_index="206" type="register"/>\r
1202           <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="mos6502:cpu_inst|reg_oe_n" storage_index="201" tap_mode="probeonly" trigger_index="201" type="register"/>\r
1203           <bus name="reg_addr" order="msb_to_lsb" type="register">\r
1204             <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
1205             <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
1206             <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
1207             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
1208             <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
1209             <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
1210             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
1211             <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
1212             <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
1213             <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
1214             <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
1215             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
1216             <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
1217             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
1218             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
1219             <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
1220           </bus>\r
1221           <bus name="reg_d_in" order="msb_to_lsb" type="register">\r
1222             <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
1223             <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
1224             <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
1225             <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
1226             <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
1227             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
1228             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
1229             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
1230           </bus>\r
1231           <bus name="reg_d_out" order="msb_to_lsb" type="register">\r
1232             <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
1233             <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
1234             <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
1235             <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
1236             <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
1237             <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
1238             <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
1239             <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
1240           </bus>\r
1241           <bus name="reg_inst" order="msb_to_lsb" type="register">\r
1242             <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
1243             <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
1244             <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
1245             <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
1246             <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
1247             <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
1248             <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
1249             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
1250           </bus>\r
1251           <bus name="reg_status" order="msb_to_lsb" type="register">\r
1252             <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="register"/>\r
1253             <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="register"/>\r
1254             <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="register"/>\r
1255             <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="register"/>\r
1256             <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="register"/>\r
1257             <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="register"/>\r
1258             <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="register"/>\r
1259             <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="register"/>\r
1260           </bus>\r
1261           <bus name="reg_acc" order="msb_to_lsb" type="register">\r
1262             <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
1263             <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
1264             <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
1265             <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
1266             <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="register"/>\r
1267             <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="register"/>\r
1268             <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="register"/>\r
1269             <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_acc[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="register"/>\r
1270           </bus>\r
1271           <bus name="reg_x" order="msb_to_lsb" type="register">\r
1272             <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="register"/>\r
1273             <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="register"/>\r
1274             <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="register"/>\r
1275             <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="register"/>\r
1276             <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="register"/>\r
1277             <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="register"/>\r
1278             <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="register"/>\r
1279             <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="register"/>\r
1280           </bus>\r
1281           <bus name="reg_y" order="msb_to_lsb" type="register">\r
1282             <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="register"/>\r
1283             <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="register"/>\r
1284             <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="register"/>\r
1285             <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="register"/>\r
1286             <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="register"/>\r
1287             <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="register"/>\r
1288             <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="register"/>\r
1289             <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="register"/>\r
1290           </bus>\r
1291           <bus name="reg_sp" order="msb_to_lsb" type="register">\r
1292             <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="register"/>\r
1293             <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="register"/>\r
1294             <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="register"/>\r
1295             <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="register"/>\r
1296             <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="register"/>\r
1297             <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="register"/>\r
1298             <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="register"/>\r
1299             <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_sp[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="register"/>\r
1300           </bus>\r
1301           <bus name="reg_idl_h" order="msb_to_lsb" type="register">\r
1302             <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
1303             <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
1304             <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
1305             <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
1306             <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
1307             <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
1308             <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
1309             <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
1310           </bus>\r
1311           <bus name="reg_idl_l" order="msb_to_lsb" type="register">\r
1312             <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
1313             <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
1314             <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
1315             <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
1316             <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
1317             <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
1318             <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
1319             <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_idl_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
1320           </bus>\r
1321           <bus name="reg_pc_h" order="msb_to_lsb" type="register">\r
1322             <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="register"/>\r
1323             <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="register"/>\r
1324             <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="register"/>\r
1325             <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="register"/>\r
1326             <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
1327             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
1328             <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
1329             <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
1330           </bus>\r
1331           <bus name="reg_pc_l" order="msb_to_lsb" type="register">\r
1332             <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="register"/>\r
1333             <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="register"/>\r
1334             <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="register"/>\r
1335             <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="register"/>\r
1336             <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="register"/>\r
1337             <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="register"/>\r
1338             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="register"/>\r
1339             <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_pc_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="register"/>\r
1340           </bus>\r
1341           <bus name="reg_tmp_data" order="msb_to_lsb" type="register">\r
1342             <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="register"/>\r
1343             <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="register"/>\r
1344             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="register"/>\r
1345             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="register"/>\r
1346             <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="register"/>\r
1347             <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="register"/>\r
1348             <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="register"/>\r
1349             <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="register"/>\r
1350           </bus>\r
1351           <bus name="reg_tmp_h" order="msb_to_lsb" type="register">\r
1352             <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="register"/>\r
1353             <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="register"/>\r
1354             <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="register"/>\r
1355             <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="register"/>\r
1356             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="register"/>\r
1357             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="register"/>\r
1358             <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="register"/>\r
1359             <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_h[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="register"/>\r
1360           </bus>\r
1361           <bus name="reg_tmp_l" order="msb_to_lsb" type="register">\r
1362             <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="register"/>\r
1363             <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="register"/>\r
1364             <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="register"/>\r
1365             <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="register"/>\r
1366             <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="register"/>\r
1367             <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="register"/>\r
1368             <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="register"/>\r
1369             <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_l[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="register"/>\r
1370           </bus>\r
1371           <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_pg_crossed" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="probeonly" trigger_index="205" type="register"/>\r
1372           <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="probeonly" trigger_index="202" type="register"/>\r
1373           <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_condition" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="probeonly" trigger_index="203" type="register"/>\r
1374           <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_tmp_ovf" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="probeonly" trigger_index="204" type="register"/>\r
1375         </setup_view>\r
1376         <trigger_in_editor is_enabled="false"/>\r
1377         <trigger_out_editor/>\r
1378       </presentation>\r
1379       <trigger CRC="9E4DFA56" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2016/09/22 18:02:58  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="64" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
1380         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
1381         <events use_custom_flow_control="no">\r
1382           <level enabled="yes" name="condition1" type="basic">'po_dbg_cnt[0]' == high &amp;&amp; 'po_dbg_cnt[10]' == low &amp;&amp; 'po_dbg_cnt[11]' == low &amp;&amp; 'po_dbg_cnt[12]' == high &amp;&amp; 'po_dbg_cnt[13]' == high &amp;&amp; 'po_dbg_cnt[14]' == low &amp;&amp; 'po_dbg_cnt[15]' == high &amp;&amp; 'po_dbg_cnt[16]' == low &amp;&amp; 'po_dbg_cnt[17]' == high &amp;&amp; 'po_dbg_cnt[18]' == low &amp;&amp; 'po_dbg_cnt[19]' == low &amp;&amp; 'po_dbg_cnt[1]' == low &amp;&amp; 'po_dbg_cnt[20]' == high &amp;&amp; 'po_dbg_cnt[21]' == low &amp;&amp; 'po_dbg_cnt[22]' == low &amp;&amp; 'po_dbg_cnt[23]' == low &amp;&amp; 'po_dbg_cnt[24]' == low &amp;&amp; 'po_dbg_cnt[25]' == low &amp;&amp; 'po_dbg_cnt[26]' == low &amp;&amp; 'po_dbg_cnt[27]' == low &amp;&amp; 'po_dbg_cnt[28]' == low &amp;&amp; 'po_dbg_cnt[29]' == low &amp;&amp; 'po_dbg_cnt[2]' == low &amp;&amp; 'po_dbg_cnt[30]' == low &amp;&amp; 'po_dbg_cnt[31]' == low &amp;&amp; 'po_dbg_cnt[32]' == low &amp;&amp; 'po_dbg_cnt[33]' == low &amp;&amp; 'po_dbg_cnt[34]' == low &amp;&amp; 'po_dbg_cnt[35]' == low &amp;&amp; 'po_dbg_cnt[36]' == low &amp;&amp; 'po_dbg_cnt[37]' == low &amp;&amp; 'po_dbg_cnt[38]' == low &amp;&amp; 'po_dbg_cnt[39]' == low &amp;&amp; 'po_dbg_cnt[3]' == low &amp;&amp; 'po_dbg_cnt[40]' == low &amp;&amp; 'po_dbg_cnt[41]' == low &amp;&amp; 'po_dbg_cnt[42]' == low &amp;&amp; 'po_dbg_cnt[43]' == low &amp;&amp; 'po_dbg_cnt[44]' == low &amp;&amp; 'po_dbg_cnt[45]' == low &amp;&amp; 'po_dbg_cnt[46]' == low &amp;&amp; 'po_dbg_cnt[47]' == low &amp;&amp; 'po_dbg_cnt[48]' == low &amp;&amp; 'po_dbg_cnt[49]' == low &amp;&amp; 'po_dbg_cnt[4]' == low &amp;&amp; 'po_dbg_cnt[50]' == low &amp;&amp; 'po_dbg_cnt[51]' == low &amp;&amp; 'po_dbg_cnt[52]' == low &amp;&amp; 'po_dbg_cnt[53]' == low &amp;&amp; 'po_dbg_cnt[54]' == low &amp;&amp; 'po_dbg_cnt[55]' == low &amp;&amp; 'po_dbg_cnt[56]' == low &amp;&amp; 'po_dbg_cnt[57]' == low &amp;&amp; 'po_dbg_cnt[58]' == low &amp;&amp; 'po_dbg_cnt[59]' == low &amp;&amp; 'po_dbg_cnt[5]' == low &amp;&amp; 'po_dbg_cnt[60]' == low &amp;&amp; 'po_dbg_cnt[61]' == low &amp;&amp; 'po_dbg_cnt[62]' == low &amp;&amp; 'po_dbg_cnt[63]' == low &amp;&amp; 'po_dbg_cnt[6]' == low &amp;&amp; 'po_dbg_cnt[7]' == high &amp;&amp; 'po_dbg_cnt[8]' == high &amp;&amp; 'po_dbg_cnt[9]' == high\r
1383             <power_up enabled="yes">\r
1384             </power_up>\r
1385             <op_node/>\r
1386           </level>\r
1387           <custom_flow_control>\r
1388             <runtime_configurable comparison_operator="yes" counter_action="no" flag_action="no" logical_operator="yes" numerical_value="yes" state_transition="yes"/>\r
1389             <flow_expression><![CDATA[state ST1:\r
1390 trigger;]]>\r
1391             </flow_expression>\r
1392             <power_up_flow_expression><![CDATA[state ST1:\r
1393 trigger;]]>\r
1394             </power_up_flow_expression>\r
1395           </custom_flow_control>\r
1396         </events>\r
1397         <storage_qualifier_events>\r
1398           <transitional>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111\r
1399             <pwr_up_transitional>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</pwr_up_transitional>\r
1400           </transitional>\r
1401           <storage_qualifier_level type="basic">\r
1402             <power_up>\r
1403             </power_up>\r
1404             <op_node/>\r
1405           </storage_qualifier_level>\r
1406           <storage_qualifier_level type="basic">\r
1407             <power_up>\r
1408             </power_up>\r
1409             <op_node/>\r
1410           </storage_qualifier_level>\r
1411           <storage_qualifier_level type="basic">\r
1412             <power_up>\r
1413             </power_up>\r
1414             <op_node/>\r
1415           </storage_qualifier_level>\r
1416         </storage_qualifier_events>\r
1417         <log>\r
1418           <data global_temp="1" name="log: 2016/09/22 18:02:58  #1" power_up_mode="false" sample_depth="4096" trigger_position="512">0100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000000000001010000010010000001000001111101000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000000000101000001001000000100000111110100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000000000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000000000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000000000101000001001000000100000111110100000110010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000000000010100000100100000000000010000110000011001000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000000000001010000010010000000000001000011000001100100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000000000010100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000000000001010000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000000000101000001001000000000000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000000000000000010000010100000100100000000000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000001000001010000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000100000101000001001000000100000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000010000010100000100100000010000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000001000001010000010010000001000001000011000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000100000101000001001000000100000100001100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000010000010100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000001000001010000010010000001000001111101000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000100000101000001001000000100000111110100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000001000001010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000100000101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000010000010100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000000000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000000000000000000001101000001001000000100000111110100000110010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000000000110100000100100000000000010000110000011001000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000000000011010000010010000000000001000011000001100100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000000001101000001001000000000000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000000000110100000100100000000000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000000000011010000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000000001101000001001000000100000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000000000110100000100100000010000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000000001101000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000000000110100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000000000011010000010010000001000001000011000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000000000000000100001101000001001000000100000100001100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000000000000000010000110100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000001000011010000010010000001000001111101000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000100001101000001001000000100000111110100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000010000110100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000001000011010000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000100001101000001001000000100000111110100000110010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000010000110100000100100000000000010000110000011001000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000001000011010000010010000000000001000011000001100100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000010000110100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000001000011010000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000100001101000001001000000000000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000000000000000000000001100000100100000000000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000000000000110000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000000000011000001001000000100000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000000000001100000100100000010000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000000000000110000010010000001000001000011000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000000000011000001001000000100000100001100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000000000001100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000000000000110000010010000001000001111101000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000000000011000001001000000100000111110100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000000000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000000000011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000000000001100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000001000000110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000000000000000100000011000001001000000100000111110100000110010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000010000001100000100100000000000010000110000011001000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000001000000110000010010000000000001000011000001100100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000100000011000001001000000000000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000010000001100000100100000000000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000001000000110000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000100000011000001001000000100000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000010000001100000100100000010000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000100000011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000010000001100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000001000000110000010010000001000001000011000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000000000000000000001011000001001000000100000100001100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000000000000000000000101100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000000000010110000010010000001000001111101000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000000001011000001001000000100000111110100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000000000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000000000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000000001011000001001000000100000111110100000110010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000000000101100000100100000000000010000110000011001000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000000000010110000010010000000000001000011000001100100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000000000101100000100100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000000000010110000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000000001011000001001000000000000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000000000000000010000101100000100100000000000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000001000010110000010010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000100001011000001001000000100000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000010000101100000100100000010000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000001000010110000010010000001000001000011000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000100001011000001001000000100000100001100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000010000101100000100100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000001000010110000010010000001000001111101000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000100001011000001001000000100000111110100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000001000010110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000100001011000001001000000100000111110100000110010001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000010000101100000100100000010000011111010000011001000100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000000000001110000010010000001000001111101000001100100010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000000000000000000000111000001001000000100000111110100000110010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000000000011100000100100000000000010000110000011001000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000000000001110000011010000000000001000011000001100100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001000001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000100000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000010000011011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000000000111000001101000000000000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000000000011100000110100000000000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000000000001110000011010000000000001000011000111110100010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000000000111000001101000000100000100001100011111010001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000000000011100000110100000010000010000110001111101000100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011000011011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001100001101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000000000111000001101000000100000100001100000000001001001010000000111111010001100100000000110000110111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000000000011100000110100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000000000001110000011010000001000001000011000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000000000000000100000111000001101000000100000100001100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000000000000000010000011100000110100000010000010000110000000000100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000001000001110000011010000001000001111101000000000010010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000100000111100001101000000100000111110100000000001001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000010000011110000110100000010000011111010001111111100100101000000011111101000110010000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000001000001111000011010000001000001111101000111111110010010100000001111110100011001000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000100000111100001101000000100000111110100011111111001001010000000111111010001100100000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000010000011110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000001000001111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000100000111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000000000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000000000011111000011010000000000000000000000111111110010010100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000010000000000000001111100001101000000000000000000000011111111001001010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000000000111110000110100000000000000000000001111111100100101000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000100000000000000011111000011010000001000000111111110111111110000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000000000011111000011010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000100000000000000011111000011010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000000000011111000011010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000100000000000000011111000011010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000000000011111000001010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000010000000000000001111100000101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000000000111110000010100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000100000000000000011111000001010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000000000011111000011010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000100000000000000011111000011010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010111111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000001000000000000000111110000110100000010000001111111100000000100000001000000011111101000000000000000011111101011111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000000000011111000011010000001000000111111110000000010000000100000001111110100000000000000001111110101111111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000010000000000000001111100001101000000100000011111111000000001000000010000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000000000111110000110100000000000001111111100000000100000001000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000000000000001000011111000011010000000000000111111110000000010000000100000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000010001101010001000000000000000000000000000000000000000000100001111100001101000000000000011111111000000001000000010000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000000000000000010000111110000110100000000000001111111100000000111111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000110011010100010000000000000000000000000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001000110101001100000000000000000000000000000000000000000010000111110000110100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000011001101010011000000000000000000000000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000000000000000010000111110000110100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000100011010100010000000001000000000000000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001100110101000100000000010000000000000000000000000000000010000111110000110100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000010001101010011000000000100000000000000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000000000000000010000111110000110100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000110011010100110000000001000000000000000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001000110101000100000000000000000000100000000000000000000010000111110000010100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000000000000001000011111000001010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000011001101010001000000000000000000001000000000000000000000100001111100000101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000000000000000010000111110000010100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000100011010100110000000000000000000010000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001100110101001100000000000000000000100000000000000000000010000111110000110100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000010001101010001000000000100000000001000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000000000000000010000111110000110100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000110011010100010000000001000000000010000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110100111111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000000000000000100001111100001101000000000000011111111011111010111110100000000111111010000000000000000111111010011111110010010100100000111100010000001001000000000000001000110101001100000000010000000000100000000000000000000010000111110000110100000000000001111111101111101011111010000000011111101000000000000000011111101001111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000000000000001000011111000011010000000000000111111110111110101111101000000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000011001101010011000000000100000000001000000000000000000000100001111100001101000000100000001111111011111010111110100000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001000110101000100000000000000000000000000000001000000000010000111110000110100000010000000111111101111101011111010000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000100011010100010000000000000000000000000000000100000000001000011111000011010000001000000011111110111110101111101000000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000011001101010001000000000000000000000000000000010000000000100001111100001101000000100000001111111011111010001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001100110101000100000000000000000000000000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000100011010100110000000000000000000000000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000010001101010011000000000000000000000000000000010000000000100001111100001101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001100110101001100000000000000000000000000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000110011010100110000000000000000000000000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000010001101010001000000000100000000000000000000010000000000100001111100001101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001000110101000100000000010000000000000000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000110011010100010000000001000000000000000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000011001101010001000000000100000000000000000000010000000000100001111100001101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001000110101001100000000010000000000000000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000100011010100110000000001000000000000000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000011001101010011000000000100000000000000000000010000000000100001111100001101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001100110101001100000000010000000000000000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000100011010100010000000000000000000010000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000010001101010001000000000000000000001000000000010000000000100001111100000101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001100110101000100000000000000000000100000000001000000000010000111110000010100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000110011010100010000000000000000000010000000000100000000001000011111000001010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000010001101010011000000000000000000001000000000010000000000100001111100000101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001000110101001100000000000000000000100000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000110011010100110000000000000000000010000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000011001101010011000000000000000000001000000000010000000000100001111100001101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001000110101000100000000010000000000100000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000100011010100010000000001000000000010000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000011001101010001000000000100000000001000000000010000000000100001111100001101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001100110101000100000000010000000000100000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101010111111001001010010000011110001000000100100000000000000100011010100110000000001000000000010000000000100000000001000011111000011010000001000000011111110001001010010010100000001111110100000000000000001111110101011111100100101001000001111000100000010010000000000000010001101010011000000000100000000001000000000010000000000100001111100001101000000100000001111111000100101001001010000000111111010000000000000000111111010101111110010010100100000111100010000001001000000000000001100110101001100000000010000000000100000000001000000000010000111110000110100000010000000111111100010010100100101000000011111101000000000000000011111101000111111001001010010000011110001000000100100000000000000110011010100110000000001000000000010000000000100000000001000011111000011010000000000000011111110001001010010010100000001111110100000000000000001111110100011111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000000000100001101000000000000001111111000100101001001010000000111111010000000000000000111111010001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000000010000110100000000000000111111100010010100100101000000011111101000000000000000011111101000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000000001000011010000000111111101111111001001010010010100000001111110100000000000000001001001010011111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000000000000001101000000011111110111111111111111001001010000000111111010000000000000000100100101001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000000000000110100000001111111011111110000000000100101000000011111101000000000000000011111111100111111001001010010000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001000000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000000000000110100000001111111011111111000000000100101000000011111101000000000000000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000000000000011010000000111111101111111100000000010010100000001111110100000000000000001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000000000000001101000000011111110111111110000000001001010000000111111010000000000000000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000000000000110100000011111111011111111000000000100101000000011111101000000000100000001000000000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000000000000011010000001111111101111111100000000010010100000001111110100000000010000000100000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000001000000010000000001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000000000000001101000000111111110111111100100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000000000000110100000011111111011111110010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000000000000011010000001111111101111111001000010010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100000000000001101000000110000100000000000100001001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000000000000110100000011000010000000000010000100100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000000000010000110000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000000001000011000000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000000000100001100000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000001000010000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000100001000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000010000100001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000001000010000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000100001000011000000000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000010000100001100000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000001000010000110000000001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000100001000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000010000100001100000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000001000010000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000100001000011000000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000010000100001100000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000001000010000110000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000100001000011000000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000010000100001100000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100000000000001101000000110000100000000000000100001001010000000111111010000001000010000110000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000000000000110100000011000010000000000000010000100101000000011111101000000100001000011000000000111111001001010010000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000000000000011010000001100001000000000000001000010010100000001111110100000010000100001100000000011111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100000000000001101000000110000100000000000000100001001010000000111111010000001000010000110000000001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000000000000110100000011000010000000000000010000100101000000011111101000000100001000010100000000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000000000000011010000000100001100000000000001000010010100000001111110100000010000100001010000000011111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100000000000001101000000010000110000000000000100001001010000000111111010000001000010000101000000001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000000000000110100000001000011000000001101000000100101000000011111101000000100001000010100000000111111001001010010000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000000000000011010000000100001100000000110100000010010100000001111110100000010000100001010000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100000000000001101000000010000110000000011010000001001010000000111111010000001000010000101000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000000000000110100000001000011000000001101000000100101000000011111101000000100001000010100000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000000000000011010000000100001100000000110100000010010100000001111110100000010000100001010000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100000000000001101000000010000110000000011010000001001010000000111111010000001000010000101000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000000000000110100000001000011000000001101000000100101000000011111101000000100001000010100000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000000000000011010000000100001100000000110100000010010100000001111110100000010000100001010000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100000000000001101000000010000110000000011010000001001010000000111111010000001000010000101000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000000000000110100000001000011000000001101000000100101000000011111101000000100001000010100000000111111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000000000000011010000000100001100000000110100000010010100000001110100000000010000100001010000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100000000000001101000000010000110000000011010000001001010000000111010000000001000010000101000000001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000000000000110100000001000011000000001101000000100101000000011101000000000100001000010100000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000000000000011010000000100001100000000110100000010010100000001110100000000010000100001010000000011111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100000000000001101000000010000110000000011010000001001010000000111010000000001000010000101000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000000000000110100000001000011000000001101000000100101000000011101000000000100001000010100000000111111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000000000000011010000000100001100000000110100000010010100000001110100000000010000100001010000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100000000000001101000000010000110000000011010000001001010000000111010000000001000010000101000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000000000000110100000001000011000000001101000000100101000000011101000000000100001000010100000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000000000000011010000000100001100000000110100000010010100000001110100000000010000100001010000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100000000000001101000000010000110000000011010000001001010000000111010000000001000010000101000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000000000000110100000001000011000000001101000000100101000000011101000000000100001000010100000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000000000000011010000000100001100000000110100000010010100000001110100000000010000100001010000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100000000000001101000000010000110000000011010000001001010000000111010000000001000010000101000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000000000000110100000001000011000000001101000000100101000000011101000000000100001000010100000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000000000000011010000000100001100000000110100000010010100000001110100000000010000100001010000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100000000000001101000000010000110000000011010000001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000000000000110100000001000011000000001101000000100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000010000000011010000000100001100000000110100000010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000001000000001101000000010000110000000011010000001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000100000000110100000000000000111111101101000000100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000010000000011010000000000000011111110110100000010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000001000000001101000000000000001111111000011100001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000001000000001101000000000000001111111000100001001001010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000100000000110100000000000000111111100010000100100101000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000010000000011010000000000000011111110001000010010010100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000001000000001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000001000100001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000001000100001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000001000100001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000001000100001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000001000100000101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000100010000010100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000010001000001010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000001000100000101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000001000100001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000001000100001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000000111111001001010010000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000010001000011010000000000000011111110001000010010000100000001110100000000010000100001110000000011111100100101001000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000001000100001101000000000000001111111000100001001000010000000111010000000001000010000111000000001111110010010100100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000100010000110100000000000000111111100010000100100001000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000010001000011010000001000000101111110001000010010000100000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100001000100001101000000100000010111111000100001001000010000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000100010000110100000010000001011111100010000100100001000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000010001000011010000001000000101111110001000011100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000010001000011010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000010001000011010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000010001000011010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000010001000011010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000010001000001010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100001000100000101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000100010000010100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000010001000001010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000010001000011010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000010001000011010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000110111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000100010000110100000010000001011111101100000011000000000000011101000000000100001000011100000011011111001001010010000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000010001000011010000001000000101111110110000001100000000000001110100000000010000100001110000001101111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100001000100001101000000100000010111111011000000110000000000000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000100010000110100000000000001011111101100000011000000000000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000010001000011010000000000000101111110110000001100000000000001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100001000100001101000000000000010111111011000000110000000000000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000100010000110100000011000011000000001100000000100101000000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000010000000011010000001100001100000000111111110010010100000001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100001000000001101000000110000110000000000000000001001010000000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000100000000110100000011000011000000000010000100100101000000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000010000000011010000001100001100000000001000010010010100000001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100001000000001101000000110000110000000000100001001001010000000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000100000000110100000011000011000000000010000100100101000000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000010000000011010000001100001100000000001000010010010100000001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100001000000001101000000110000110000000000100001001001010000000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000100000000110100000011000011000000000010000100100101000000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000010000000011010000001100001100000000001000010010010100000001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100001000000001101000000110000110000000000100001001001010000000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000100000000110100000011000011000000000010000100100101001000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000010000000011010000001100001100000000001000010010010100100001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100001000000001101000000110000110000000000100001001001010010000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000100000000110100000011000011000000000010000100100101001000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000010000000011010000001100001100000000001000010010010100100001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100001000000001101000000110000110000000000100001001001010010000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000100000000110100000011000011000000000010000100100101001000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000010000000011010000001100001100000000001000010010010100100001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100001000000001101000000110000110000000000100001001001010010000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000100000000110100000011000011000000000010000100100101001000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000010000000011010000001100001100000000001000010010010100100001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100001000000001101000000110000110000000000100001001001010010000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000100000000110100000011000011000000000010000100100101001000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000010000000011010000001100001100000000001000010010010100100001110100000000010000100001110000000101111100100101001000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100001000000001101000000110000110000000000100001001001010010000111010000000001000010000111000000010111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000100000000110100000011000011000000000010000100100101001000011101000000000100001000011100000001011111001001010010000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000010000000011010000001100001100000000001000010010010100100001110100000000010000100001110100000101111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100001000000001101000000110000110000000000100001001001010010000111010000000001000010000111010000010111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000010000000110100000011000011000000000010000100100101001000011101000000000100001000011101000001011111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000001000000011010000001100001100000000001000010010010100100001110100000000010000100001110100000101111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000000100000001101000000110000110100000000100001001001010010000111010000000001000010000111010000010111110010010100100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000010000000110100000011000011010000000010000100100101001000011101000000000100001000011101000001011111001001010010000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000000010000100001110100000101111100100101001000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000000001000010000111010000010111110010010100100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000000000100001000011101000001011111001001010010000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000000010000100001110100000101111100100101001000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000000001000010000111010000010111110010010100100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000000000100001000011101000001011111001001010010000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000000010000100001110100000101111100100101001000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000000001000010000111010000010111110010010100100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000000000100001000011101000001011111001001010010000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000000010000100001110100000101111100100101001000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000011100110010000111010000010111110010010100100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000001110011001000011101000001011111001001010010000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000111001100100001110100000101111100100101001000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000011100110010000111010000010111110010010100100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000001110011001000011101000001011111001001010010000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000111001100100001110100000101111100100101001000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000011100110010000111010000010111110010010100100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000001110011001000011101000001011111001001010010000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000111001100100001110100000101111100100101001000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000011100110010000111010000010111110010010100100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000001110011001000011101000001011111001001010010000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000111001100100001110100000101111100100101001000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000011100110010000111010000010111110010010100100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000001110011001000011101000001011111001001010010000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000111001100100001110100000101111100100101001000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000000100000001101000000110000110100000001110011001001010010000111010000011100110010000111010000010111110010010100100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000010000000110100000011000011010000000111001100100101001000011101000001110011001000011101000001011111001001010010000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000001000000011010000001100001101000000011100110010010100100001110100000111001100100001110100000101111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000000100000001101000000110000110100000001110011001001010010000111010000011100110010000111010000010111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000010000000110100000011000011010000000111001100100101001000011101000001110011001000010011000001011111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000001000000011010000000100001011000000011100110010010100100001110100000111001100100001001100000101111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000000100000001101000000010000101100000001110011001001010010000111010000011100110010000100110000010111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000010000000110100000001000010110000000110000000100101001000011101000001110011001000010011000001011111001001010010000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000001000000011010000000100001011000000011000000010010100100001110100000111001100100001001100000101111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000000100000001101000000010000101100000001100000001001010010000111010000011100110010000100110000010111110010010100100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000010000000110100000001000010110000000110000000100101001000011101000001110011001000010011000001011111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000001000000011010000000100001011000000011000000010010100100001110100000111001100100001001100000101111100100101001000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000000100000001101000000010000101100000001100000001001010010000111010000011100110010000100110000010111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000010000000110100000001000010110000000110000000100101001000011101000001110011001000010011000001011111001001010010000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000001000000011010000000100001011000000011000000010010100100001110100000111001100100001001100000101111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000000100000001101000000010000101100000001100000001001010010000111010000011100110010000100110000010111110010010100100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000010000000110100000001000010110000000110000000100101001000011101000001110011001000010011000001011111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000001000000011010000000100001011000000011000000010010100100001011000000111001100100001001100000101111100100101001000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000000100000001101000000010000101100000001100000001001010010000101100000011100110010000100110000010111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000010000000110100000001000010110000000110000000100101001000010110000001110011001000010011000001011111001001010010000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000001000000011010000000100001011000000011000000010010100100001011000000111001100100001001100000101111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000000100000001101000000010000101100000001100000001001010010000101100000011100110010000100110000010111110010010100100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000010000000110100000001000010110000000110000000100101001000010110000001110011001000010011000001011111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000001000000011010000000100001011000000011000000010010100100001011000000111001100100001001100000101111100100101001000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000000100000001101000000010000101100000001100000001001010010000101100000011100110010000100110000010111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000010000000110100000001000010110000000110000000100101001000010110000001110011001000010011000001011111001001010010000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000001000000011010000000100001011000000011000000010010100100001011000000111001100100001001100000101111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000000100000001101000000010000101100000001100000001001010010000101100000011100110010000100110000010111110010010100100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000010000000110100000001000010110000000110000000100101001000010110000001110011001000010011000001011111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000001000000011010000000100001011000000011000000010010100100001011000000111001100100001001100000101111100100101001000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000000100000001101000000010000101100000001100000001001010010000101100000011100110010000100110000010111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000010000000110100000001000010110000000110000000100101001000010110000001110011001000010011000001011111001001010010000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000001000000011010000000100001011000000011000000010010100100001011000000111001100100001001100000101111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000000100000001101000000010000101100000001100000001001010010000101100000011100110010000110110000010111110010010100100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000010000000110100000001000010110000000110000000100101001000010110000001110011001000011011000001011111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000001000000011010000000100001011000000011000000010010100100001011000000111001100100001101100000101111100100101001000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100000100000001101000000010000101100000001100000001001010010000101100000011100110010000110110000010111110010010100100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000010000000110100000011000010110000000110000000100101001000010110000001110011001000011011000001011111001001010010000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000001000000011010000001100001011000000011000000010010100100001011000000111001100100001101100000101111100100101001000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100000100000001101000000110000101100000011000000001001010010000101100000011100110010000110110000010111110010010100100000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000010000000110100000011000010110000001100000000100101001000010110000001110011001000011011000001011111001001010010000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000001000000011010000001100001011000000110000000010010100100001011000000111001100100001101100000101111100100101001000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100000100000001101000000110000101100000011000000001001010010000101100000011100110010000110110000010111110010010100100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000010000000110100000011000010110000001100000000100101001000010110000001110011001000011011000001011111001001010010000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000001000000011010000001100001011000000110000000010010100100001011000000111001100100001101100000101111100100101001000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100000100000001101000000110000101100000011000000001001010010000101100000011100110010000110110000010111110010010100100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000010000000110100000011000010110000001100000000100101001000010110000001110011001000011011000001011111001001010010000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000001000000011010000001100001011000000110000000010010100100001011000000111001100100001101100000101111100100101001000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100000100000001101000000110000101100000011000000001001010010000101100000011100110010000110110000010111110010010100100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000010000000110100000011000010110000001100000000100101110000000110000001110011001000011011000001011111001001010010000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000001000000011010000001100001011000000110000000010010111000000011000000111001100100001101100000101111100100101001000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100000100000001101000000110000101100000011000000001001011100000001100000011100110010000110110000010111110010010100100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000010000000110100000011000010110000001100000000100101110000000110000001110011001000011011000001011111001001010010000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000001000000011010000001100001011000000110000000010010111000000011000000111001100100001101100000101111100100101001000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100000100000001101000000110000101100000011000000001001011100000001100000011100110010000110110000010111110010010100100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000010000000110100000011000010110000001100000000100101110000000110000001110011001000011011000001011111001001010010000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000001000000011010000001100001011000000110000000010010111000000011000000111001100100001101100000101111100100101001000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100000100000001101000000110000101100000011000000001001011100000001100000011100110010000110110000010111110010010100100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000010000000110100000011000010110000001100000000100101110000000110000001110011001000011011000001011111001001010010000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000001000000011010000001100001011000000110000000010010111000000011000000111001100100001101100000101111100100101001000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100000100000001101000000110000101100000011000000001001011100000001100000011100110010000110110000010111110010010100100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000010000000110100000011000010110000001100000000100101110000000110000001110011001000011011000001011111001001010010000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000001000000011010000001100001011000000110000000010010111000000011000000111001100100001101100000101111100100101001000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100000100000001101000000110000101100000011000000001001011100000001100000011100110010000110110000010111110010010100100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000010000000110100000011000010110000001100000000100101110000000110000001110011001000011011000001011111001001010010000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000001000000011010000001100001011000000110000000010010111000000011000000111001100100001011100000101111100100101001000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100000100000001101000000110000101100000011000000001001011100000001100000011100110010000101110000010111110010010100100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000010000000110100000011000010110000001100000000100101110000000110000001110011001000010111000001011111001001010010000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000001000000011010000001100001011000000110000000010010111000000011000000111001100100001011100000101111100100101001000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100000100000001101000000000000011000001111000000001001011100000001100000011100110010000101110000010111110010010111000000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000010000000110100000000000001100000111100000000100101110000000110000001110011001000010111000001011111001001011100000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000001000000011010000000000000110000011001100100010010111000000011000000111001100100001011100000101111100100101110000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010100110010111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100000100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000010000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000001000000011010000000000000110000011010111000010010111000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000001100000001101000000000000011000001101011100001001011100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000110000000110100000000000001100000110101110000100101110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000011000000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000011001000001010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000001100100000101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000110010000010100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000011001000001010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101010111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000001100100001101000000000000011000001101011100010111001100000001100000011100110010000101110000010111110010010101011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000110010000110100000000000001100000110101110001011100110000000110000001110011001000010111000001011111001001010101110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000011001000011010000000000000110000011010111000101110011000000011000000111001100100001011100000101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000001100100001101000000000000011000001101011100100111001100000001100000011100110010000101110000010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000110010000110100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000001100100001101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000110010000110100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000001100100001101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000110010000110100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000001100100001101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000110010000010100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000011001000001010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000001100100000101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000110010000010100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000001100100001101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000110010000110100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000001100100001101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000110010000110100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000001100100001101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000110010000110100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000001100100001101000000000000011000001110011100100111001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000110010000110100000000000001100000111001110010011100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000011001000011010000000000000110000011100111001001110011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100001100100001101000000010000111100000010011100001001001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000110000000110100000001000011110000001111111100100100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000011000000011010000000100001111000000000000000010010011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000011100110010000101110000010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000001110011001000010111000001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000111001100100001011100000101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000000010110010000101110000010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000000001011001000010111000001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000000101100100001011100000101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000000010110010000101110000010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000000001011001000010111000001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000000101100100001011100000101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000000010110010000101110000010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000000001011001000010111000001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000000101100100001011100000101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000000010110010000101110000010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000000001011001000010111000001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000000101100100001011100000101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000000010110010000101110000010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000000001011001000010111000001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000000101100100001011100000101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100001100000001101000000010000111100000000001011001001001100000001100000000010110010000101110000010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000110000000110100000001000011110000000000101100100100110000000110000000001011001000010111000001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000011000000011010000000100001111000000000010110010010011000000011000000000101100100001011100000101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100001100000001101000000010000111100000000001011001001001100000001100000000010110010000101110000010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000110000000110100000001000011110000000000101100100100110000000110000000001011001000011111000001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000011000000011010000001100001111000000000010110010010011000000011000000000101100100001111100000101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100001100000001101000000110000111100000000001011001001001100000001100000000010110010000111110000010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000110000000110100000011000011110000000000101000100100110000000110000000001011001000011111000001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000011000000011010000001100001111000000000010100010010011000000011000000000101100100001111100000101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100001100000001101000000110000111100000000001010001001001100000001100000000010110010000111110000010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000110000000110100000011000011110000000000101000100100110000000110000000001011001000011111000001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000011000000011010000001100001111000000000010100010010011000000011000000000101100100001111100000101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100001100000001101000000110000111100000000001010001001001100000001100000000010110010000111110000010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000110000000110100000011000011110000000000101000100100110000000110000000001011001000011111000001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000011000000011010000001100001111000000000010100010010011000000011000000000101100100001111100000101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100001100000001101000000110000111100000000001010001001001100000001100000000010110010000111110000010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000110000000110100000011000011110000000000101000100100110000000110000000001011001000011111000001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000011000000011010000001100001111000000000010100010010011000000000010100000101100100001111100000101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100001100001001101000000110000111100000000001010001001001100000000001010000010110010000111110000010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000110000100110100000011000011110000000000101000100100110000000000101000001011001000011111000001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000011000010011010000001100001111000000000010100010010011000000000010100000101100100001111100000101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100001100001001101000000110000111100000000001010001001001100000000001010000010110010000111110000010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000110000100110100000011000011110000000000101000100100110000000000101000001011001000011111000001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000011000010011010000001100001111000000000010100010010011000000000010100000101100100001111100000101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100001100001001101000000110000111100000000001010001001001100000000001010000010110010000111110000010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000110000100110100000011000011110000000000101000100100110000000000101000001011001000011111000001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000011000010011010000001100001111000000000010100010010011000000000010100000101100100001111100000101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100001100001001101000000110000111100000000001010001001001100000000001010000010110010000111110000010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000110000100110100000011000011110000000000101000100100110000000000101000001011001000011111000001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000011000010011010000001100001111000000000010100010010011000000000010100000101100100001111100000101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100001100001001101000000110000111100000000001010001001001100000000001010000010110010000111110000010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000110000100110100000011000011110000000000101000100100110000000000101000001011001000011111000001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000011000010011010000001100001111000000000010100010010011000000000010100000101100100001111100000101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100001100001001101000000110000111100000000001010001001001100000000001010000010110010000100001000010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000110000100110100000011000011110000000000101000100100110000000000101000001011001000010000100001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000000100010011010000001100001111000000000010100010010011000000000010100000101100100001000010000101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000000010001001101000000110000111100000000001010001001001100000000001010000010110010000100001000010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000001000100110100000011000011110000000000101000100100110000000000101000001011001000010000100001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000000100010011010000001100001111000000000010100010010011000000000010100000101100100001000010000101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000000010001001101000000110000111100000000001010001001001100000000001010000010110010000100001000010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000001000100110100000011000011110000000000101000100100110000000000101000001011001000010000100001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000000100010011010000000100001000011000000010100010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000000010001001101000000010000100001100000001010001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000001000100110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000000100010011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000000010001001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010000010110010000100000110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101000001011001000010000011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010100000101100100001000001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010100101010010000100000110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101010010101001000010000011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010101001010100100001000001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010100101010010000100000110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101010010101001000010000011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010101001010100100001000001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010100101010010000100000110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101010010101001000010000011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010101001010100100001000001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010100101010010000100000110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101010010101001000010000011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010101001010100100001000001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010100101010010000100000110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101010010101001000010000011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010101001010100100001000001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000000010000001101000000010000100001100010010101001001001100000000001010100101010010000100000110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000001000000110100000001000010000110001001010100100100110000000000101010010101001000010000011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000000100000011010000000100001000011000100101010010010011000000000010101001010100100001000001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100000010000001101000000010000100001100010010101001001001100000000001010100101010010000100000110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000001000000110100000001000010000110001001010100100100110000000000101010010101001000011000011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000000100000011010000001100001000011000100101010010010011000000000010101001010100100001100001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100000010000001101000000110000100001100010010101001001001100000000001010100101010010000110000110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000001000000110100000011000010000110000100110000100100110000000000101010010101001000011000011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000000100000011010000001100001000011000010011000010010011000000000010101001010100100001100001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100000010000001101000000110000100001100001001100001001001100000000001010100101010010000110000110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000001000000110100000011000010000110000100110000100100110000000000101010010101001000011000011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000000100000011010000001100001000011000010011000010010011000000000010101001010100100001100001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100000010000001101000000110000100001100001001100001001001100000000001010100101010010000110000110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000001000000110100000011000010000110000100110000100100110000000000101010010101001000011000011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000000100000011010000001100001000011000010011000010010011000000000010101001010100100001100001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100000010000001101000000110000100001100001001100001001001100000000001010100101010010000110000110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000001000000110100000011000010000110000100110000100100110000000000101010010101001000011000011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000000100000011010011001100001000011000010011000010010011000000010011001001010100100001100001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100000010000001101001100110000100001100001001100001001001100000001001100100101010010000110000110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000001000000110100110011000010000110000100110000100100110000000100110010010101001000011000011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000000100000011010011001100001000011000010011000010010011000000010011001001010100100001100001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100000010000001101001100110000100001100001001100001001001100000001001100100101010010000110000110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000001000000110100110011000010000110000100110000100100110000000100110010010101001000011000011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000000100000011010011001100001000011000010011000010010011000000010011001001010100100001100001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100000010000001101001100110000100001100001001100001001001100000001001100100101010010000110000110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000001000000110100110011000010000110000100110000100100110000000100110010010101001000011000011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000000100000011010011001100001000011000010011000010010011000000010011001001010100100001100001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100000010000001101001100110000100001100001001100001001001100000001001100100101010010000110000110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000001000000110100110011000010000110000100110000100100110000000100110010010101001000011000011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000000100000011010011001100001000011000010011000010010011000000010011001001010100100001100001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100000010000001101001100110000100001100001001100001001001100000001001100100101010010000110000110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000001000000110100110011000010000110000100110000100100110000000100110010010101001000011000011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000000100000011010011001100001000011000010011000010010011000000010011001001010100100001100001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100000010000001101001100110000100001100001001100001001001100000001001100100101010010000101000110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000001000000110100110011000010000110000100110000100100110000000100110010010101001000010100011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000000100000011010011001100001000011000010011000010010011000000010011001001010100100001010001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100000010000001101001100110000100001100001001100001001001100000001001100100101010010000101000110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000001000000110100110001000011000110000100110000100100110000000100110010010101001000010100011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000000100000011010011000100001100011000010011000010010011000000010011001001010100100001010001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100100101010010000101000110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010010101001000010100011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001001010100100001010001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100100101010010000101000110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010010101001000010100011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001001010100100001010001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100100101010010000101000110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010010101001000010100011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001001010100100001010001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100100101010010000101000110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010110001001000010100011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001011000100100001010001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100101100010010000101000110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010110001001000010100011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001011000100100001010001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100101100010010000101000110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010110001001000010100011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001011000100100001010001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100101100010010000101000110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010110001001000010100011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001011000100100001010001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100101100010010000101000110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010110001001000010100011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001011000100100001010001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100101100010010000101000110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000001000000110100110001000011000110001011000100100100110000000100110010110001001000010100011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000000100000011010011000100001100011000101100010010010011000000010011001011000100100001010001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100000010000001101001100010000110001100010110001001001001100000001001100101100010010000101000110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000101000000110100110001000011000110001011000100100100110000000100110010110001001000010100011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000010100000011010011000100001100011000101100010010010011000000010011001011000100100001110001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000001010000001101001100110000110001100010110001001001001100000001001100101100010010000111000110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000101000000110100110011000011000110001011000100100100110000000100110010110001001000011100011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000010100000011010011001100001100011000101000000010010011000000010011001011000100100001110001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000001010000001101001100110000110001100010100000001001001100000001001100101100010010000111000110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000101000000110100110011000011000110001010000000100100110000000100110010110001001000011100011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000010100000011010011001100001100011000101000000010010011000000010011001011000100100001110001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000001010000001101001100110000110001100010100000001001001100000001001100101100010010000111000110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000101000000110100110011000011000110001010000000100100110000000100110010110001001000011100011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000010100000011010011001100001100011000101000000010010011000000010011001011000100100001110001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000001010000001101001100110000110001100010100000001001001100000001001100101100010010000111000110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000101000000110100110011000011000110001010000000100100110000000100110010110001001000011100011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000010100000011010011001100001100011000101000000010010011000000010011001011000100100001110001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000001010000001101001100110000110001100010100000001001001100000010100000101100010010000111000110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000101000000110100110011000011000110001010000000100100110000001010000010110001001000011100011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000010100000011010011001100001100011000101000000010010011000000101000001011000100100001110001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000001010000001101001100110000110001100010100000001001001100000010100000101100010010000111000110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000101000000110100110011000011000110001010000000100100110000001010000010110001001000011100011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000010100000011010011001100001100011000101000000010010011000000101000001011000100100001110001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000001010000001101001100110000110001100010100000001001001100000010100000101100010010000111000110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000101000000110100110011000011000110001010000000100100110000001010000010110001001000011100011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000010100000011010011001100001100011000101000000010010011000000101000001011000100100001110001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000001010000001101001100110000110001100010100000001001001100000010100000101100010010000111000110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000101000000110100110011000011000110001010000000100100110000001010000010110001001000011100011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000010100000011010011001100001100011000101000000010010011000000101000001011000100100001110001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000001010000001101001100110000110001100010100000001001001100000010100000101100010010000111000110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000101000000110100110011000011000110001010000000100100110000001010000010110001001000011100011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000010100000011010011001100001100011000101000000010010011000000101000001011000100100001110001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000001010000001101001100110000110001100010100000001001001100000010100000101100010010000111000110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000101000000110100110011000011000110001010000000100100110000001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000010100000011010011001100001100011000101000000010010011000000101000001011000100100001001001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000001010000001101001100110000110001100010100000001001001100000010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000101000000110100110011000011000110001010000000100100110000001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000010100000011010011000100001010011000101000000010010011000000101000001011000100100001001001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000001010000001101001100010000101001100010100000001001001100000010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000101000000110100110001000010100110000000010000100100110000001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000010100000011010011000100001010011000000001000010010011000000101000001011000100100001001001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000001010000001101001100010000101001100000000100001001001100000010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000101000000110100110001000010100110000000010000100100110000001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000010100000011010011000100001010011000000001000010010011000000101000001011000100100001001001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000001010000001101001100010000101001100000000100001001001100000010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000101000000110100110001000010100110000000010000100100110000001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000010100000011010011000100001010011000000001000010010011000000101000001011000100100001001001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000001010000001101001100010000101001100000000100001001001100000010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000101000000110100110001000010100110000000010000100100110000001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000010100000011010011000100001010011000000001000010010000000100101000001011000100100001001001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000001010000001101001100010000101001100000000100001001000000010010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000101000000110100110001000010100110000000010000100100000001001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000010100000011010011000100001010011000000001000010010000000100101000001011000100100001001001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000001010000001101001100010000101001100000000100001001000000010010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000101000000110100110001000010100110000000010000100100000001001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000010100000011010011000100001010011000000001000010010000000100101000001011000100100001001001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000001010000001101001100010000101001100000000100001001000000010010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000101000000110100110001000010100110000000010000100100000001001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000010100000011010011000100001010011000000001000010010000000100101000001011000100100001001001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000001010000001101001100010000101001100000000100001001000000010010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000101000000110100110001000010100110000000010000100100000001001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000010100000011010011000100001010011000000001000010010000000100101000001011000100100001001001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000001010000001101001100010000101001100000000100001001000000010010100000101100010010000100100110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000101000000110100110001000010100110000000010000100100000001001010000010110001001000010010011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000010100000011010011000100001010011000000001000010010000000100101000001011000100100001001001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000001010000001101001100010000101001100000000100001001000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000101000000110100110001000010100110000000010000100100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000010100000011010011000100001010011000000001000010010000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100001010000001101001100010000101001100000000100001001000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000101000000110100110010001000100000000000010001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000010101000011010011001000100010000000000001000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000101010000010100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000010101000001010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100001010100000101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000101010000010100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100001010100001101001100100010001000000001001100010011000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000101010000110100110010001000100000000100110001001100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000010101000011010011001000100010000000010011000100110000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100001010100001101001100110000101001100001001100001001000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000101000000110100110011000010100110001111111100100100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000010100000011010011001100001010011000111111110010010000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000101100010010000110100110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010110001001000011010011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001011000100100001101001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000100101010010000110100110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010010101001000011010011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001001010100100001101001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000100101010010000110100110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010010101001000011010011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001001010100100001101001100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000100101010010000110100110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010010101001000011010011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001001010100100001101001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000100101010010000110100110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010010101001000011010011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001001010100100001101001100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000100101010010000110100110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010010101001000011010011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001001010100100001101001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100001010000001101001100110000101001100010010101001001000000010010100000100101010010000110100110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000101000000110100110011000010100110001001010100100100000001001010000010010101001000011010011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000010100000011010011001100001010011000100101010010010000000100101000001001010100100001101001100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000000110000001101001100110000101001100010010101001001000000010010100000100101010010000110100110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000011000000110100110011000010100110001001010100100100000001001010000010010101001000010110011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000001100000011010011000100001110011000100101010010010000000100101000001001010100100001011001100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000000110000001101001100010000111001100010010101001001000000010010100000100101010010000101100110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000011000000110100110001000011100110000001001100100100000001001010000010010101001000010110011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000001100000011010011000100001110011000000100110010010000000100101000001001010100100001011001100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000000110000001101001100010000111001100000010011001001000000010010100000100101010010000101100110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000011000000110100110001000011100110000001001100100100000001001010000010010101001000010110011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000001100000011010011000100001110011000000100110010010000000100101000001001010100100001011001100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000000110000001101001100010000111001100000010011001001000000010010100000100101010010000101100110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000011000000110100110001000011100110000001001100100100000001001010000010010101001000010110011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000001100000011010011000100001110011000000100110010010000000100101000001001010100100001011001100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000000110000001101001100010000111001100000010011001001000000010010100000100101010010000101100110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000011000000110100110001000011100110000001001100100100000001001010000010010101001000010110011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000001100000011000100110100001110011000000100110010010000000100000100111001010100100001011001100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000000110000001100010011010000111001100000010011001001000000010000010011100101010010000101100110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000011000000110001001101000011100110000001001100100100000001000001001110010101001000010110011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000001100000011000100110100001110011000000100110010010000000100000100111001010100100001011001100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000000110000001100010011010000111001100000010011001001000000010000010011100101010010000101100110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000011000000110001001101000011100110000001001100100100000001000001001110010101001000010110011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000001100000011000100110100001110011000000100110010010000000100000100111001010100100001011001100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000000110000001100010011010000111001100000010011001001000000010000010011100101010010000101100110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000011000000110001001101000011100110000001001100100100000001000001001110010101001000010110011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000001100000011000100110100001110011000000100110010010000000100000100111001010100100001011001100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000000110000001100010011010000111001100000010011001001000000010000010011100101010010000101100110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000011000000110001001101000011100110000001001100100100000001000001001110010101001000010110011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000001100000011000100110100001110011000000100110010010000000100000100111001010100100001011001100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000000110000001100010011010000111001100000010011001001000000010000010011100101010010000101100110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000011000000110001001101000011100110000001001100100100000001000001001110010101001000010110011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000001100000011000100110100001110011000000100110010010000000100000100111001010100100001011001100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000000110000001100010011010000111001100000010011001001000000010000010011100101010010000111100110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000011000000110001001101000011100110000001001100100100000001000001001110010101001000011110011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000001100000011000100110100001110011000000100110010010000000100000100111001010100100001111001100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000000110000001100010011010000111001100000010011001001000000010000010011100101010010000111100110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000011000000110001001111000011100110000001001100100101000001000001001110010101001000011110011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000001100000011000100111100001110011000000100110010010100000100000100111001010100100001111001100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011100101010010000111100110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110010101001000011110011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111001010100100001111001100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011100101010010000111100110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110010101001000011110011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111001010100100001111001100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011100101010010000111100110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110010101001000011110011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111001010100100001111001100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011100101010010000111100110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110110001001000011110011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111011000100100001111001100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011101100010010000111100110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110110001001000011110011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111011000100100001111001100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011101100010010000111100110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110110001001000011110011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111011000100100001111001100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011101100010010000111100110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110110001001000011110011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111011000100100001111001100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011101100010010000111100110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110110001001000011110011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111011000100100001111001100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011101100010010000111100110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000011000000110001001111000011100110001011000100100101000001000001001110110001001000011110011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000001100000011000100111100001110011000101100010010010100000100000100111011000100100001111001100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000000110000001100010011110000111001100010110001001001010000010000010011101100010010000111100110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000011000000110001001111000011100110001011000100100101000001000001001110110001001000011110011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000001100000011000100111100001110011000101100010010010100000100000100111011000100100001000101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100000110000001100010011010000100101100010110001001001010000010000010011101100010010000100010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000011000000110001001101000010010110001011000100100101000001000001001110110001001000010001011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000001100000011000100110100001001011000101000000010010100000100000100111011000100100001000101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100000110000001100010011010000100101100010100000001001010000010000010011101100010010000100010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000011000000110001001101000010010110001010000000100101000001000001001110110001001000010001011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000001100000011000100110100001001011000101000000010010100000100000100111011000100100001000101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100000110000001100010011010000100101100010100000001001010000010000010011101100010010000100010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000011000000110001001101000010010110001010000000100101000001000001001110110001001000010001011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000001100000011000100110100001001011000101000000010010100000100000100111011000100100001000101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100000110000001100010011010000100101100010100000001001010000010000010011101100010010000100010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000011000000110001001101000010010110001010000000100101000001000001001110110001001000010001011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000001100000011000100110100001001011000101000000010010100000100000100111011000100100001000101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100000110000001100010011010000100101100010100000001001010000010010100000101100010010000100010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000011000000110001001101000010010110001010000000100101000001001010000010110001001000010001011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000001100000011000100110100001001011000101000000010010100000100101000001011000100100001000101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100000110000001100010011010000100101100010100000001001010000010010100000101100010010000100010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000011000000110001001101000010010110001010000000100101000001001010000010110001001000010001011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000001100000011000100110100001001011000101000000010010100000100101000001011000100100001000101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100000110000001100010011010000100101100010100000001001010000010010100000101100010010000100010110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000011000000110001001101000010010110001010000000100101000001001010000010110001001000010001011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000001100000011000100110100001001011000101000000010010100000100101000001011000100100001000101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100000110000001100010011010000100101100010100000001001010000010010100000101100010010000100010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000011000000110001001101000010010110001010000000100101000001001010000010110001001000010001011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000001100000011000100110100001001011000101000000010010100000100101000001011000100100001000101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100000110000001100010011010000100101100010100000001001010000010010100000101100010010000100010110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000011000000110001001101000010010110001010000000100101000001001010000010110001001000010001011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000001100000011000100110100001001011000101000000010010100000100101000001011000100100001000101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100000110000001100010011010000100101100010100000001001010000010010100000101100010010000100010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000011000000110001001101000010010110001010000000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000001100000011000100110100001001011000101000000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100000110000001100010011010000100101100010100000001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000011000000110001001101000010010110001010000000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000001100000011000100111100001001011000101000000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100000110000001100010011110000100101100010100000001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000110010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000011001011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000001100000011000100111100001001011000000001000010010100000100101000001011000100100001100101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100000110000001100010011110000100101100000000100001001010000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000011000000110001001111000010010110000000010000100101000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000011100000011000100111100001001011000000001000010010100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000001110000001100010011110000100101100000000100001001010000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000111000000110001001110001000100000000000010000010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000011101000011000100111000100010000000000000000001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000111010000010001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000011101000001000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000001110100000100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000111010000010001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000001110100001100010011100010001000000000010011000100110000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000111010000110001001110001000100000000001001100010011000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000011101000011000100111000100010000000000100110001001100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000001110100001100010011010000110101100000010011001001010000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000111000000110001001101000011010110001111111100100101000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000011100000011000100110100001101011000111111110010010100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000101100010010000101010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000010110001001000010101011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000001011000100100001010101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000000001100010000101010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000000000110001000010101011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000000000011000100001010101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000000001100010000101010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000000000110001000010101011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000000000011000100001010101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000000001100010000101010110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000000000110001000010101011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000000000011000100001010101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000000001100010000101010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000000000110001000010101011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000000000011000100001010101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000000001100010000101010110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000000000110001000010101011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000000000011000100001010101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000001110000001100010011010000110101100000000110001001010000010010100000000001100010000101010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000111000000110001001101000011010110000000011000100101000001001010000000000110001000010101011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000011100000011000100110100001101011000000001100010010100000100101000000000011000100001010101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100001110000001100010011010000110101100000000110001001010000010010100000000001100010000101010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000111000000110001001101000011010110000000011000100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000011100000011000100111100001101011000000001100010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100001110000001100010011110000110101100000000110001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000111010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000011101011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001110101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000111000000110001001111000011010110001011010100100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000011100000011000100111100001101011000101101010010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100001110000001100010011110000110101100010110101001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000111000000110001001100000001011111101011010100100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000011100000011000100110000000101111110101101010010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100001110000001100010011000000010111111010010101001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100001110000001100010011000000010111111000000000001001010000010010100000000001100010000100110110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000111000000110001001100000001011111100000000000100101000001001010000000000110001000010011011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000011100000011000100110000000101111110000000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100001110000001100010011100000010111111000000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000000100000110001001110000001011111100000000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000010011011011011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001001101101101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000100110110110111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000000010000011000100111000000101111110110000000010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000000001000001100010011100000010111111011000000001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000000100000110001001110000001011111101100000000100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000000010000011000100110000000011111110110000000010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000000001000001100010011000000001111111011000000001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000000100000110001001100000000111111100010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000000010000011000100110000000011111110001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100000001000001100010011000000001111111000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100000001000001100010011110000110000000000000000001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001110000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000111000000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000011100000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001001000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100000001000001100010011110000110000000000100001001001010000010010100000000001100010000100100000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000000100000110001001111000011000000000010000100100101000001001010000000000110001000010010000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000000010000011000100111100001100000000001000010010010100000100101000000000011000100001001000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100000001000001100010011010000101000000000100001001001010000010010100000000001100010000100100000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000000100000110001001101000010100000000010000100100101000001001010000000000110001000010010000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000011000100001001000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001100010000100100000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000110001000010010000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000011000100001001000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001100010000100100000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000110001000010010000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000011000100001001000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001100010000100100000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000110001000010010000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000011000100001001000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001000010000100100000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000100001000010010000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000010000100001001000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001000010000100100000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000100001000010010000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000010000100001001000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001000010000100100000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000100001000010010000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000010000100001001000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001000010000100100000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000100001000010010000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000010000100001001000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001000010000100100000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000100001000010010000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000010000100001001000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100000001000001100010011010000101000000000000100001001010000010010100000000001000010000100100000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000000100000110001001101000010100000000000010000100101000001001010000000000100001000010010000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000000010000011000100110100001010000000000001000010010100000100101000000000010000100001001000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000001001000001100010011010000101000000000000100001001010000010010100000000001000010000100100000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000100100000110001001101000010100000000000010000100101000001001010000000000100001000011010000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000010010000011000100111100001010000000000001000010010100000100101000000000010000100001101000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000001001000001100010011110000101000000000000100001001010000010010100000000001000010000110100000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000100100000110001001111000010100000001101011000100101000001001010000000000100001000011010000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000010010000011000100111100001010000000110101100010010100000100101000000000010000100001101000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000001001000001100010011110000101000000011010110001001010000010010100000000001000010000110100000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000100100000110001001111000010100000001101011000100101000001001010000000000100001000011010000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000010010000011000100111100001010000000110101100010010100000100101000000000010000100001101000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000001001000001100010011110000101000000011010110001001010000010010100000000001000010000110100000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000100100000110001001111000010100000001101011000100101000001001010000000000100001000011010000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000010010000011000100111100001010000000110101100010010100000100101000000000010000100001101000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000001001000001100010011110000101000000011010110001001010000010010100000000001000010000110100000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000100100000110001001111000010100000001101011000100101000001001010000000000100001000011010000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000010010000011000100111100001010000000110101100010010100000100110101100000010000100001101000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000001001000001100010011110000101000000011010110001001010000010011010110000001000010000110100000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000100100000110001001111000010100000001101011000100101000001001101011000000100001000011010000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000010010000011000100111100001010000000110101100010010100000100110101100000010000100001101000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000001001000001100010011110000101000000011010110001001010000010011010110000001000010000110100000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000100100000110001001111000010100000001101011000100101000001001101011000000100001000011010000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000010010000011000100111100001010000000110101100010010100000100110101100000010000100001101000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000001001000001100010011110000101000000011010110001001010000010011010110000001000010000110100000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000100100000110001001111000010100000001101011000100101000001001101011000000100001000011010000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000010010000011000100111100001010000000110101100010010100000100110101100000010000100001101000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000001001000001100010011110000101000000011010110001001010000010011010110000001000010000110100000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000100100000110001001111000010100000001101011000100101000001001101011000000100001000011010000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000010010000011000100111100001010000000110101100010010100000100110101100000010000100001101000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000001001000001100010011110000101000000011010110001001010000010011010110000001000010000110100000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000100100000110001001111000010100000001101011000100101000001001101011000000100001000011010000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000010010000011000100111100001010000000110101100010010100000100110101100000010000100001101000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000001001000001100010011110000101000000011010110001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000100100000110001001111000010100000001101011000100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000010010000011000100111100001010000000110101100010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000001001000001100010011110000101000000011010110001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000100100000110001001100000000111111101101011000100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000010010000011000100110000000011111110110101100010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000001001000001100010011000000001111111000011100001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000001001000001100010011000000001111111000100001001001010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000100100000110001001100000000111111100010000100100101000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000010010000011000100110000000011111110001000010010010100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100001001000001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100001001100001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100001001100001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100001001100001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100001001100001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100001001100000100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000100110000010001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000010011000001000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100001001100000100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100001001100001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100001001100001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000000111111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000010011000011000100110000000011111110001000010010000100000100110101100000010000100001011000000011111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100001001100001100010011000000001111111000100001001000010000010011010110000001000010000101100000001111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000100110000110001001100000000111111100010000100100001000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000010011000011000100111000000101111110001000010010000100000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100001001100001100010011100000010111111000100001001000010000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000100110000110001001110000001011111100010000100100001000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000010011000011000100111000000101111110001000010110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000010011000011000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000010011000011000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000010011000011000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000010011000011000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000010011000001000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100001001100000100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000100110000010001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000010011000001000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000010011000011000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000010011000011000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000110111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000100110000110001001110000001011111100110000001100000000001001101011000000100001000010110000011011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000010011000011000100111000000101111110011000000110000000000100110101100000010000100001011000001101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100001001100001100010011100000010111111001100000011000000000010011010110000001000010000101100000010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000100110000110001001100000001011111100110000001100000000001001101011000000100001000010110000001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000001011000011000100110000000101111110011000000110000000000100110101100000010000100001011000000101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000000101100001100010011000000010111111001100000011000000000010011010110000001000010000101100000010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000010110000110001001101000011100000000110000000100101000001001101011000000100001000010110000001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000001010000011000100110100001110000000111111110010010100000100110101100000010000100001011000000101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000000101000001100010011010000111000000000000000001001010000010011010110000001000010000101100000010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000010100000110001001101000011100000000010000100100101000001001101011000000100001000010110000001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000001010000011000100110100001110000000001000010010010100000100110101100000010000100001011000000101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000000101000001100010011010000111000000000100001001001010000010011010110000001000010000101100000010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000010100000110001001101000011100000000010000100100101000001001101011000000100001000010110000001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000001010000011000100110100001110000000001000010010010100000100110101100000010000100001011000000101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000000101000001100010011010000111000000000100001001001010000010011010110000001000010000101100000010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000010100000110001001101000011100000000010000100100101000001001101011000000100001000010110000001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000001010000011000100110100001110000000001000010010010100000100110101100000010000100001011000000101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000000101000001100010011010000111000000000100001001001010000010011010110000001000010000101100000010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000010100000110001001101000011100000000010000100100101001000011101011000000100001000010110000001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000001010000011000100110100001110000000001000010010010100100001110101100000010000100001011000000101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000000101000001100010011010000111000000000100001001001010010000111010110000001000010000101100000010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000010100000110001001101000011100000000010000100100101001000011101011000000100001000010110000001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000001010000011000100110100001110000000001000010010010100100001110101100000010000100001011000000101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000000101000001100010011010000111000000000100001001001010010000111010110000001000010000101100000010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000010100000110001001101000011100000000010000100100101001000011101011000000100001000010110000001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000001010000011000100110100001110000000001000010010010100100001110101100000010000100001011000000101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000000101000001100010011010000111000000000100001001001010010000111010110000001000010000101100000010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000010100000110001001101000011100000000010000100100101001000011101011000000100001000010110000001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000001010000011000100110100001110000000001000010010010100100001110101100000010000100001011000000101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000000101000001100010011010000111000000000100001001001010010000111010110000001000010000101100000010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000010100000110001001101000011100000000010000100100101001000011101011000000100001000010110000001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000001010000011000100110100001110000000001000010010010100100001110101100000010000100001011000000101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000000101000001100010011010000111000000000100001001001010010000111010110000001000010000101100000010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000010100000110001001101000011100000000010000100100101001000011101011000000100001000010110000001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000001010000011000100110100001110000000001000010010010100100001110101100000010000100001110101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000000101000001100010011010000111000000000100001001001010010000111010110000001000010000111010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000010100000110001001101000011100000000010000100100101001000011101011000000100001000011101011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000001010000011000100110100001110000000001000010010010100100001110101100000010000100001110101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000000101000001100010011110000110101100000100001001001010010000111010110000001000010000111010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000010100000110001001111000011010110000010000100100101001000011101011000000100001000011101011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101100000010000100001110101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110000001000010000111010110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011000000100001000011101011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101100000010000100001110101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110000001000010000111010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011000000100001000011101011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101100000010000100001110101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110000001000010000111010110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011000000100001000011101011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101100000010000100001110101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110101101010010000111010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011010110101001000011101011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101101011010100100001110101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110101101010010000111010110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011010110101001000011101011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101101011010100100001110101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110101101010010000111010110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011010110101001000011101011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101101011010100100001110101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110101101010010000111010110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011010110101001000011101011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101101011010100100001110101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110101101010010000111010110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011010110101001000011101011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101101011010100100001110101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000000101000001100010011110000110101100010110101001001010010000111010110101101010010000111010110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000010100000110001001111000011010110001011010100100101001000011101011010110101001000011101011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000001010000011000100111100001101011000101101010010010100100001110101101011010100100001110101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100000101000001100010011110000110101100010110101001001010010000111010110101101010010000111010110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000010100000110001001111000011010110001011010100100101001000011101011010110101001000010011011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000001010000011000100110100001011011000101101010010010100100001110101101011010100100001001101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100000101000001100010011010000101101100010110101001001010010000111010110101101010010000100110110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000010100000110001001101000010110110000100000000100101001000011101011010110101001000010011011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000001010000011000100110100001011011000010000000010010100100001110101101011010100100001001101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100000101000001100010011010000101101100001000000001001010010000111010110101101010010000100110110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000010100000110001001101000010110110000100000000100101001000011101011010110101001000010011011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000001010000011000100110100001011011000010000000010010100100001110101101011010100100001001101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100000101000001100010011010000101101100001000000001001010010000111010110101101010010000100110110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000010100000110001001101000010110110000100000000100101001000011101011010110101001000010011011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000001010000011000100110100001011011000010000000010010100100001110101101011010100100001001101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100000101000001100010011010000101101100001000000001001010010000111010110101101010010000100110110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000010100000110001001101000010110110000100000000100101001000011101011010110101001000010011011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000001010000011000100110100001011011000010000000010010100100001010000001011010100100001001101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100000101000001100010011010000101101100001000000001001010010000101000000101101010010000100110110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000010100000110001001101000010110110000100000000100101001000010100000010110101001000010011011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000001010000011000100110100001011011000010000000010010100100001010000001011010100100001001101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100000101000001100010011010000101101100001000000001001010010000101000000101101010010000100110110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000010100000110001001101000010110110000100000000100101001000010100000010110101001000010011011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000001010000011000100110100001011011000010000000010010100100001010000001011010100100001001101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100000101000001100010011010000101101100001000000001001010010000101000000101101010010000100110110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000010100000110001001101000010110110000100000000100101001000010100000010110101001000010011011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000001010000011000100110100001011011000010000000010010100100001010000001011010100100001001101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100000101000001100010011010000101101100001000000001001010010000101000000101101010010000100110110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000010100000110001001101000010110110000100000000100101001000010100000010110101001000010011011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000001010000011000100110100001011011000010000000010010100100001010000001011010100100001001101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100000101000001100010011010000101101100001000000001001010010000101000000101101010010000100110110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000010100000110001001101000010110110000100000000100101001000010100000010110101001000010011011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000001010000011000100110100001011011000010000000010010100100001010000001011010100100001001101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100000101000001100010011010000101101100001000000001001010010000101000000101101010010000110110110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000010100000110001001101000010110110000100000000100101001000010100000010110101001000011011011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000001010000011000100110100001011011000010000000010010100100001010000001011010100100001101101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100000101000001100010011010000101101100001000000001001010010000101000000101101010010000110110110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000010100000110001001111000010110110000100000000100101001000010100000010110101001000011011011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000001010000011000100111100001011011000010000000010010100100001010000001011010100100001101101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100000101000001100010011110000101101100011000000001001010010000101000000101101010010000110110110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000010100000110001001111000010110110001100000000100101001000010100000010110101001000011011011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000001010000011000100111100001011011000110000000010010100100001010000001011010100100001101101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100000101000001100010011110000101101100011000000001001010010000101000000101101010010000110110110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000010100000110001001111000010110110001100000000100101001000010100000010110101001000011011011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000001010000011000100111100001011011000110000000010010100100001010000001011010100100001101101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100000101000001100010011110000101101100011000000001001010010000101000000101101010010000110110110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000010100000110001001111000010110110001100000000100101001000010100000010110101001000011011011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000001010000011000100111100001011011000110000000010010100100001010000001011010100100001101101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100000101000001100010011110000101101100011000000001001010010000101000000101101010010000110110110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000010100000110001001111000010110110001100000000100101110000000100000010110101001000011011011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000001010000011000100111100001011011000110000000010010111000000010000001011010100100001101101100101111100100101100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100000101000001100010011110000101101100011000000001001011100000001000000101101010010000110110110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000010100000110001001111000010110110001100000000100101110000000100000010110101001000011011011001011111001001011001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000001010000011000100111100001011011000110000000010010111000000010000001011010100100001101101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100000101000001100010011110000101101100011000000001001011100000001000000101101010010000110110110010111110010010110011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000010100000110001001111000010110110001100000000100101110000000100000010110101001000011011011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000001010000011000100111100001011011000110000000010010111000000010000001011010100100001101101100101111100100101100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100000101000001100010011110000101101100011000000001001011100000001000000101101010010000110110110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000010100000110001001111000010110110001100000000100101110000000100000010110101001000011011011001011111001001011001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000001010000011000100111100001011011000110000000010010111000000010000001011010100100001101101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100000101000001100010011110000101101100011000000001001011100000001000000101101010010000110110110010111110010010110011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000010100000110001001111000010110110001100000000100101110000000100000010110101001000011011011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000001010000011000100111100001011011000110000000010010111000000010000001011010100100001101101100101111100100101100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100000101000001100010011110000101101100011000000001001011100000001000000101101010010000110110110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000010100000110001001111000010110110001100000000100101110000000100000010110101001000011011011001011111001001011001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000001010000011000100111100001011011000110000000010010111000000010000001011010100100001011101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100000101000001100010011110000101101100011000000001001011100000001000000101101010010000101110110010111110010010110011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000110100000110001001111000010110110001100000000100101110000000100000010110101001000010111011001011111001001011001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000011010000011000100111100001011011000110000000010010111000000010000001011010100100001011101100101111100100101100111001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000001101000001100010011000000010000001111000000001001011100000001000000101101010010000101110110010111110010010110011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000110100000110001001100000001000000111100000000100101110000000100000010110101001000010111011001011111001001011001110011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000011010000011000100110000000100000011110000000010010111000000010000001011010100100001011101100101111100100101100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000001101000001100010011000000010000001100100000001001011100000001000000101101010010000101110110010111110010010110011100111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000110100000110001001100000001000000110010000000100101110000000100000010110101001000010111011001011111001001011001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000011010000011000100110000000100000011001000000010010111000000010000001011010100100001011101100101111100100101100111001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000001101000001100010011000000010000001100100000001001011100000001000000101101010010000101110110010111110010010110011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000110100000110001001100000001000000110010000000100101110000000100000010110101001000010111011001011111001001011001110011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000011010000011000100110000000100000011001000000010010111000000010000001011010100100001011101100101111100100101100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000001101000001100010011000000010000001100100000001001011100000001000000101101010010000101110110010111110010010110011100111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000110100000110001001100000001000000110010000000100101110000000100000010110101001000010111011001011111001001011001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000011010000011000100110000000100000011001000000010010111000000010000001011010100100001011101100101111100100101100111001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000001101000001100100000000000010000001100100000001001011100000001000000101101010010000101110110010111110010010110011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000110100000110010000000000001000000110010000000100101110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000011010000011001000000000000100000011001000000010010111000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000001101000001100100000000000010000001100100000001001011100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000110100000110010000000000001000000110010000000100101110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000011010000011001000000000000100000011001000000010010111000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000001101000001100100000000000010000001100100000001001011100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000110100000110010000000000001000000110010000000100101110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000011010000011001000000000000100000011001000000010010111000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000001101000001100100000000000010000001100100000001001011100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000110100000110010000000000001000000110010000000100101110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000011010000011001000000000000100000011001000000010010111000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000001101000001100100000000000010000001100100000001001011100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000110100000110010000000000001000000110010000000100101110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000011010000011001000000000000100000011001000000010010111000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000001101000001100100000000000010000001100100000001001011100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000110100000110010000000000001000000110010000000100101110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000011010000011001000000000000100000011001000000010010111000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000001101000001100100000000000010000001100100000001001011100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000110100000110010000000000001000000110010000000100101110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000011010000011001000000100001111011000001000000010010011000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000001101000001100100000010000111101100000100000001001001100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000110100000110010000001000011110110000000000000100100110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000001011010100100001011101100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000101101010010000101110110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000010110101001000010111011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000000111011100100001011101100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000011101110010000101110110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000001110111001000010111011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000000111011100100001011101100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000011101110010000101110110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000001110111001000010111011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000000111011100100001011101100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000011101110010000101110110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000001110111001000010111011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000000111011100100001011101100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000011101110010000101110110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000001110111001000010111011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000000111011100100001011101100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000011101110010000101110110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000001110111001000010111011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000011010000011001000000100001111011000011101110010010011000000010000000111011100100001011101100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000001101000001100100000010000111101100001110111001001001100000001000000011101110010000101110110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000110100000110010000001000011110110000111011100100100110000000100000001110111001000010111011001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000011010000011001000000100001111011000011101110010010011000000010000000111011100100001011101100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100001101000001100100000010000111101100001110111001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000110100000110010000011000011110110000111011100100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000011010000011001000001100001111011000011101110010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001111101100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000111110110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000011111011001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100001101000001100100000110000111101100001000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000110100000110010000011000011110110000100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000011010000011001000001100001111011000010000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100001101000001100100000010000100011100001000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000110100000110010000001000010001110000100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000010000111001011111001001001001110011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001000011100101111100100100100111001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100001101000001100100000010000100011100011000000001001001100000001000000011101110010000100001110010111110010010010011100111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000110100000110010000001000010001110001100000000100100110000000100000001110111001000011000111001011111001001001001110011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000011010000011001000000100001000111000110000000010010011000000010000000111011100100001100011100101111100100100100111001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000000011000001100100000010000100011100011000000001001001100000001000000011101110010000110001110010111110010010010011100111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000001100000110010000001000010001110001100000000100100110000000100000001110111001000011000111001011111001001001001110011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000000110000011001000000000000100000011110000000010010011000000010000000111011100100001100011100101111100100100110000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000000011000001100100000000000010000001111000000001001001100000001000000011101110010000110001110010111110010010011000000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000001100000110010000000000001000000111100000000100100110000000100000001110111001000011000111001011111001001001100000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100110000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000001100000110010000000000001000000110010000000100100110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000000110000011001000000000000100000011001000000010010011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000000011000001100100000000000010000001100100000001001001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000001100000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000001110000010010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000000111000001001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000000011100000100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000001110000010010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001000010000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000000111000011001000000000000100000011001000000010000011000000010000000111011100100001100011100101111100100100001000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100000011100001100100000000000010000001100100000001000001100000001000000011101110010000110001110010111110010010000100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000001110000110010000000000001000000110010000000100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000000111000011001000000000000100000011001000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100000011100001100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000000111000011001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100000011100001100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000000111000011001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100000011100001100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000000111000011001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100000011100000100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000001110000010010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000000111000001001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100000011100000100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000000111000011001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100000011100001100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000000111000011001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100000011100001100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000000111000011001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100000011100001100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000000111000011001000000000000100000011101000001010000011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100000011100001100100000000000010000001110100000101000001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000001110000110010000000000001000000111010000010100000110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000000111000011001000001100001000111000101000000010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100000011000001100100000110000100011100011111111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000001100000110010000011000010001110000000000000100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000000110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100000011000001100100000110000100011100001110111001001001100000001000000011101110010000110001110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000001100000110010000011000010001110000111011100100100110000000100000001110111001000011000111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000010110000011001000001100001000111000011101110010010011000000010000000111011100100001100011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000001011000001100100000110000100011100001110111001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000101100000110010000001000011001110000111011100100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000010110000011001000000100001100111000011101110010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001010011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000101001110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000010100111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000001011000001100100000010000110011100001000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000101100000110010000001000011001110000100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000010110000011001000000100001100111000010000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000001011000001100100000110000110011100001000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000101100000110010000011000011001110000100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000011100111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001110011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000001011000001100100000110000110011100011000000001001001100000001000000011101110010000111001110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000101100000110010000011000011001110001100000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000010110000011001000001100001100111000110000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100001011000001100100000110000110011100011000000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000101100000110010000011000011001110001100000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000010110000011001000000000000100000011110000000010010011000000010000000111011100100001001011100101111100100100110000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100001011000001100100000000000010000001111000000001001001100000001000000011101110010000100101110010111110010010011000000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000101100000110010000000000001000000111100000000100100110000000100000001110111001000010010111001011111001001001100000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100110000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000101100000110010000000000001000000111010000000100100110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000010110000011001000000000000100000011101000000010010011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100001011000001100100000000000010000001110100000001001001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000101100000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000101110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000101110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000101110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000101110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000101110000010010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000010111000001001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100001011100000100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000101110000010010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000101110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000101110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000101110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000010111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100001011100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000011110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000000000001111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000000111100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000000000011110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001001010000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000001111000011001000000000000100000011101000001010000011000000010000000111011100100001001011100101111100100100101000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000000000111100001100100000000000010000001110100000101000001100000001000000011101110010000100101110010111110010010010100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000011110000110010000000000001000000111010000010100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000000000001111000011001000000000000100000011101000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000000111100001100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000001111000011001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000000000111100001100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000000000001111000011001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000000111100001100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000001111000011001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000000000111100000100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000011110000010010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000000000001111000001001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000000111100000100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000001111000011001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000000000111100001100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000000000001111000011001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000000111100001100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000001111000011001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000000000111100001100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000000000001111000011001000000000000100000011011000000110000011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000000111100001100100000000000010000001101100000011000001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000000000011110000110010000000000001000000110110000001100000110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000001111000011001000000100001010111000011000000010010011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000000000111000001100100000010000101011100011111111001001001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000011100000110010000001000010101110000000000000100100110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000111011100100001001011100101111100100100011000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000011101110010000100101110010111110010010001100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000001110111001000010010111001011111001001000110000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000001100000100001001011100101111100100100011000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000000110000010000100101110010111110010010001100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000000011000001000010010111001011111001001000110000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000001100000100001001011100101111100100100011000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000000110000010000100101110010111110010010001100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000000011000001000010010111001011111001001000110000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000001100000100001001011100101111100100100011000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000000110000010000100101110010111110010010001100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000000011000001000010010111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000001100000100001001011100101111100100100011000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000000110000010000100101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000000011000001000010010111001011111001001000110000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000001100000100001001011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000000110000010000100101110010111110010010001100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000000011000001000010010111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000000000001110000011001000000100001010111000000110000010010011000000010000000001100000100001001011100101111100100100011000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000000111000001100100000010000101011100000011000001001001100000001000000000110000010000100101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000000000011100000110010000001000010101110000001100000100100110000000100000000011000001000010010111001011111001001000110000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001001011100101111100100100011000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000100000111000001100100000010000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000011100000110010000001000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000001000001110000011001000000100001010111000000110000010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100000111000001100100000110000101011100000011000001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000010000011100000110010000011000010101110000001100000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000000110000010000110101110010111110010010001100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000000011000001000011010111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000000001100000100001101011100101111100100100011000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000101001100010000110101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000010100110001000011010111001011111001001000110000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000001010011000100001101011100101111100100100011000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000101001100010000110101110010111110010010001100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000010100110001000011010111001011111001001000110000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000001010011000100001101011100101111100100100011000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000101001100010000110101110010111110010010001100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000010100110001000011010111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000001010011000100001101011100101111100100100011000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000101001100010000110101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000010100110001000011010111001011111001001000110000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000001010011000100001101011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000101001100010000110101110010111110010010001100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000010100110001000011010111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000001010011000100001101011100101111100100100011000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000100000111000001100100000110000101011100010100110001001001100000001000000101001100010000110101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000011100000110010000011000010101110001010011000100100110000000100000010100110001000011010111001011111001001000110000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000001000001110000011001000001100001010111000101001100010010011000000010000001010011000100001101011100101111100100100011000001111000100000010010000000000000010101101010001000000000000000000000000000000000000000000000001111000001100100000110000101011100010100110001001001100000001000000101001100010000110101110010111110010010001100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000000000111100000110010000011000010101110001010011000100100110000000100000010100110001000010110111001011111001001000110000011110001000000100100000000000000111011010100010000000000000000000000000000000000000000000000011110000011001000000100001110111000101001100010010011000000010000001010011000100001011011100101111100100100011000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000000001111000001100100000010000111011100010100110001001001100000001000000101001100010000101101110010111110010010001100000111100010000001001000000000000001010110101001100000000000000000000000000000000000000000000000111100000110010000001000011101110001000000000100100110000000100000010100110001000010110111001011111001001000110000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000000000011110000011001000000100001110111000100000000010010011000000010000001010011000100001011011100101111100100100011000001111000100000010010000000000000011101101010011000000000000000000000000000000000000000000000001111000001100100000010000111011100010000000001001001100000001000000101001100010000101101110010111110010010001100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000000000111100000110010000001000011101110001000000000100100110000000100000010100110001000010110111001011111001001000110000011110001000000100100000000000000101011010100010000000001000000000000000000000000000000000000011110000011001000000100001110111000100000000010010011000000010000001010011000100001011011100101111100100100011000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000000001111000001100100000010000111011100010000000001001001100000001000000101001100010000101101110010111110010010001100000111100010000001001000000000000001110110101000100000000010000000000000000000000000000000000000111100000110010000001000011101110001000000000100100110000000100000010100110001000010110111001011111001001000110000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000000000011110000011001000000100001110111000100000000010010011000000010000001010011000100001011011100101111100100100011000001111000100000010010000000000000010101101010011000000000100000000000000000000000000000000000001111000001100100000010000111011100010000000001001001100000001000000101001100010000101101110010111110010010001100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000000000111100000110010000001000011101110001000000000100100110000000100000010100110001000010110111001011111001001000110000011110001000000100100000000000000111011010100110000000001000000000000000000000000000000000000011110000011001000000100001110111000100000000010010011000000100000001010011000100001011011100101111100100100011000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000000001111000001100100000010000111011100010000000001001001100000010000000101001100010000101101110010111110010010001100000111100010000001001000000000000001010110101000100000000000000000000100000000000000000000000000111100000110010000001000011101110001000000000100100110000001000000010100110001000010110111001011111001001000110000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000000000011110000011001000000100001110111000100000000010010011000000100000001010011000100001011011100101111100100100011000001111000100000010010000000000000011101101010001000000000000000000001000000000000000000000000001111000001100100000010000111011100010000000001001001100000010000000101001100010000101101110010111110010010001100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000000000111100000110010000001000011101110001000000000100100110000001000000010100110001000010110111001011111001001000110000011110001000000100100000000000000101011010100110000000000000000000010000000000000000000000000011110000011001000000100001110111000100000000010010011000000100000001010011000100001011011100101111100100100011000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000000001111000001100100000010000111011100010000000001001001100000010000000101001100010000101101110010111110010010001100000111100010000001001000000000000001110110101001100000000000000000000100000000000000000000000000111100000110010000001000011101110001000000000100100110000001000000010100110001000010110111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000000000011110000011001000000100001110111000100000000010010011000000100000001010011000100001011011100101111100100100011000001111000100000010010000000000000010101101010001000000000100000000001000000000000000000000000001111000001100100000010000111011100010000000001001001100000010000000101001100010000101101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000000000111100000110010000001000011101110001000000000100100110000001000000010100110001000010110111001011111001001000110000011110001000000100100000000000000111011010100010000000001000000000010000000000000000000000000011110000011001000000100001110111000100000000010010011000000100000001010011000100001011011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000000001111000001100100000010000111011100010000000001001001100000010000000101001100010000101101110010111110010010001100000111100010000001001000000000000001010110101001100000000010000000000100000000000000000000000000111100000110010000001000011101110001000000000100100110000001000000010100110001000010110111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000000000011110000011001000000100001110111000100000000010010011000000100000001010011000100001011011100101111100100100011000001111000100000010010000000000000011101101010011000000000100000000001000000000000000000000000001111000001100100000010000111011100010000000001001001100000010000000101001100010000111101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000000000111100000110010000001000011101110001000000000100100110000001000000010100110001000011110111001011111001001000110000011110001000000100100000000000000101011010100010000000000000000000000000000000100000000000000011110000011001000000100001110111000100000000010010011000000100000001010011000100001111011100101111100100100011000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000000001111000001100100000010000111011100010000000001001001100000010000000101001100010000111101110010111110010010001100000111100010000001001000000000000001110110101000100000000000000000000000000000001000000000000000111100000110010000010000000000000001000000000100100110000001000000010100110001000011110111001011111001001000110000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000000000011110000011001000001000000000000000100000000010010011000000100000001010011000100001111011100101111100100100011000001111000100000010010000000000000010101101010011000000000000000000000000000000010000000000000001111000001100100000100000000000000001000101001001001100000010000000101001100010000111101110010111110010010001100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000000000111100000110010000010000000000000001111000100100100110000001000000010100110001000011110111001011111001001000110000011110001000000100100000000000000111011010100110000000000000000000000000000000100000000000000011110000011001000001000000000000000111100010010010011000000100000001010011000100001111011100101111100100100011000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000000001111000001100100000100000000000000011110001001001001100000010000000101001100010000111101110010111110010010001100000111100010000001001000000000000001010110101000100000000010000000000000000000001000000000000000111100000110010000010000000000000001111000100100100110000001000000010100110001000011110111001011111001001000110000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000000000011110000011001000001000000000000000111100010010010011000000100000001010011000100001111011100101111100100100011000001111000100000010010000000000000011101101010001000000000100000000000000000000010000000000000001111000001100100000100000000000000011110001001001001100000010000000101001100010000111101110010111110010010001100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000000000111100000110010000010000000000000001111000100100100110000001000000010100110001000011110111001011111001001000110000011110001000000100100000000000000101011010100110000000001000000000000000000000100000000000000011110000011001000001000000000000000111100010010010011000000100000001010011000100001111011100101111100100100011000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000000001111000001100100000100000000000000011110001001001001100000010000000101001100010000111101110010111110010010001100000111100010000001001000000000000001110110101001100000000010000000000000000000001000000000000000111100000111100100110000000000000001111000100100100110000001000000010100110001000011110111001011111001001000110000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000000000011110000011110010011000000000000000111100010010010011000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000010101101010001000000000000000000001000000000010000000000000001111000001111001001100000000000000011110001001001001100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000000000111100000111100100110000000000000001111000100100100110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000111011010100010000000000000000000010000000000100000000000000011110000011110010011000000000000000111100010010010011000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000000001111000001111001001100000000000000011110001001001001100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001010110101001100000000000000000000100000000001000000000000000111100000111100100110000000000000001111000100100100110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000000000011110000011110010011000000000000000111100010010010011000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000011101101010011000000000000000000001000000000010000000000000001111000001111001001100000000000000011110001001001001100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000000000111100000111100100110000000000000001111000100100100110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000101011010100010000000001000000000010000000000100000000000000011110000011110010011000000000000000111100010010010011000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000000001111000001111001001100000000000000011110001001001001100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001110110101000100000000010000000000100000000001000000000000000111100000111100100110000000000000001111000100100100110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000000000011110000011110010011000000000000000111100010010010011000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000010101101010011000000000100000000001000000000010000000000000001111000001111001001100000000000000011110001001001001100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000000000111100000111100100110000000000000001111000100100100110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000111011010100110000000001000000000010000000000100000000000000011110000011110010011000000000000000111100010010010011000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000000001111000001111001001100000000000000011110001001001001100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001010110101000100000000000000000000000000000000000000000010000111100000111100100110000000000000001111000100100100110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000101011010100010000000000000000000000000000000000000000001000011110000011110010011000000000000000111100010010010011000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000011101101010001000000000000000000000000000000000000000000100001111000001111001001110000111011100011110001001001011100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001110110101000100000000000000000000000000000000000000000010000111100000111100100111000011101110001111000100100101110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000101011010100110000000000000000000000000000000000000000001000011110000011110010011100001110111000000000000010010111000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000010101101010011000000000000000000000000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001110110101001100000000000000000000000000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000111011010100110000000000000000000000000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000010101101010001000000000100000000000000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001010110101000100000000010000000000000000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000111011010100010000000001000000000000000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000011101101010001000000000100000000000000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101001100010000111101110010111110010010101100000111100010000001001000000000000001010110101001100000000010000000000000000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010100110001000011110111001011111001001010110000011110001000000100100000000000000101011010100110000000001000000000000000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001010011000100001111011100101111100100101011000001111000100000010010000000000000011101101010011000000000100000000000000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101100010010000111101110010111110010010101100000111100010000001001000000000000001110110101001100000000010000000000000000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010110001001000011110111001011111001001010110000011110001000000100100000000000000101011010100010000000000000000000010000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001011000100100001111011100101111100100101011000001111000100000010010000000000000010101101010001000000000000000000001000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101100010010000111101110010111110010010101100000111100010000001001000000000000001110110101000100000000000000000000100000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010110001001000011110111001011111001001010110000011110001000000100100000000000000111011010100010000000000000000000010000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001011000100100001111011100101111100100101011000001111000100000010010000000000000010101101010011000000000000000000001000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101100010010000111101110010111110010010101100000111100010000001001000000000000001010110101001100000000000000000000100000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010110001001000011110111001011111001001010110000011110001000000100100000000000000111011010100110000000000000000000010000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001011000100100001111011100101111100100101011000001111000100000010010000000000000011101101010011000000000000000000001000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101100010010000111101110010111110010010101100000111100010000001001000000000000001010110101000100000000010000000000100000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010110001001000011110111001011111001001010110000011110001000000100100000000000000101011010100010000000001000000000010000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001011000100100001111011100101111100100101011000001111000100000010010000000000000011101101010001000000000100000000001000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101100010010000111101110010111110010010101100000111100010000001001000000000000001110110101000100000000010000000000100000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010110001001000011110111001011111001001010110000011110001000000100100000000000000101011010100110000000001000000000010000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001011000100100001111011100101111100100101011000001111000100000010010000000000000010101101010011000000000100000000001000000000000000000000100001111000001111001001110000111011100010110001001001011100000010000000101100010010000111101110010111110010010101100000111100010000001001000000000000001110110101001100000000010000000000100000000000000000000010000111100000111100100111000011101110001011000100100101110000001000000010110001001000011110111001011111001001010110000011110001000000100100000000000000111011010100110000000001000000000010000000000000000000001000011110000011110010011100001110111000101100010010010111000000100000001011000100100001111011100101111100100101011000001111000100000010010000000000000010101101010001000000000000000000000000000000010000000000100001111000001111001001110000111011100010110001001001011100000010000000101100010010000111101110010111110010010101100000111100010000001001000000000000001010110101000100000000000000000000000000000001000000000010000111100000111100100111000011101110001011000100100101110000001000000010110001001000010001111001011111001001010110000011110001000000100100000000000000111011010100010000000000000000000000000000000100000000001000011110000011110010010100001001111000101100010010010111000000100000001011000100100001000111100101111100100101011000001111000100000010010000000000000011101101010001000000000000000000000000000000010000000000100001111000001111001001010000100111100010110001001001011100000010000000101100010010000100011110010111110010010101100000111100010000001001000000000000001010110101001100000000000000000000000000000001000000000010000111100000111100100101000010011110001010000000100101110000001000000010110001001000010001111001011111001001010110000011110001000000100100000000000000101011010100110000000000000000000000000000000100000000001000011110000011110010010100001001111000101000000010010111000000100000001011000100100001000111100101111100100101011000001111000100000010010000000000000011101101010011000000000000000000000000000000010000000000100001111000001111001001010000100111100010100000001001011100000010000000101100010010000100011110010111110010010101100000111100010000001001000000000000001110110101001100000000000000000000000000000001000000000010000111100000111100100101000010011110001010000000100101110000001000000010110001001000010001111001011111001001010110000011110001000000100100000000000000101011010100010000000001000000000000000000000100000000001000011110000011110010010100001001111000101000000010010111000000100000001011000100100001000111100101111100100101011000001111000100000010010000000000000010101101010001000000000100000000000000000000010000000000100001111000001111001001010000100111100010100000001001011100000010000000101100010010000100011110010111110010010101100000111100010000001001000000000000001110110101000100000000010000000000000000000001000000000010000111100000111100100101000010011110001010000000100101110000001000000010110001001000010001111001011111001001010110000011110001000000100100000000000000111011010100010000000001000000000000000000000100000000001000011110000011110010010100001001111000101000000010010111000000100000001011000100100001000111100101111100100101011000001111000100000010010000000000000010101101010011000000000100000000000000000000010000000000100001111000001111001001010000100111100010100000001001011100000010000000101100010010000100011110010111110010010101100000111100010000001001000000000000001010110101001100000000010000000000000000000001000000000010000111100000111100100101000010011110001010000000100101110000001000000010110001001000010001111001011111001001010110000011110001000000100100000000000000111011010100110000000001000000000000000000000100000000001000011110000011110010010100001001111000101000000010010111000000101000001011000100100001000111100101111100100101011000001111000100000010010000000000000011101101010011000000000100000000000000000000010000000000100001111000001111001001010000100111100010100000001001011100000010100000101100010010000100011110010111110010010101100000111100010000001001000000000000001010110101000100000000000000000000100000000001000000000010000111100000111100100101000010011110001010000000100101110000001010000010110001001000010001111001011111001001010110000011110001000000100100000000000000101011010100010000000000000000000010000000000100000000001000011110000011110010010100001001111000101000000010010111000000101000001011000100100001000111100101111100100101011000001111000100000010010000000000000011101101010001000000000000000000001000000000010000000000100001111000001111001001010000100111100010100000001001011100000010100000101100010010000100011110010111110010010101100000111100010000001001000000000000001110110101000100000000000000000000100000000001000000000010000111100000111100100101000010011110001010000000100101110000001010000010110001001000010001111001011111001001010110000011110001000000100100000000000000101011010100110000000000000000000010000000000100000000001000011110000011110010010100001001111000101000000010010111000000101000001011000100100001000111100101111100100101011000001111000100000010010000000000000010101101010011000000000000000000001000000000010000000000100001111000001111001001010000100111100010100000001001011100000010100000101100010010000100011110010111110010010101100000111100010000001001000000000000001110110101001100000000000000000000100000000001000000000010000111100000111100100101000010011110001010000000100101110000001010000010110001001000010001111001011111001001010110000011110001000000100100000000000000111011010100110000000000000000000010000000000100000000001000011110000011110010010100001001111000101000000010010111000000101000001011000100100001000111100101111100100101011000001111000100000010010000000000000010101101010001000000000100000000001000000000010000000000100001111000001111001001010000100111100010100000001001011100000010100000101100010010000100011110010111110010010101100000111100010000001001000000000000001010110101000100000000010000000000100000000001000000000010000111100000111100100101000010011110001010000000100101110000001010000010110001001000010001111001011111001001010110000011110001000000100100000000000000111011010100010000000001000000000010000000000100000000001000011110000011110010010100001001111000101000000010010111000000101000001011000100100001000111100101111100100101011000001111000100000010010000000000000011101101010001000000000100000000001000000000010000000000100001111000001111001001010000100111100010100000001001011100000010100000101100010010000100011110010111110010010101100000111100010000001001000000000000001010110101001100000000010000000000100000000001000000000010000111100000111100100101000010011110001010000000100101110000001010000010110001001000010001111001011111001001010110000011110001000000100100000000000000101011010100110000000001000000000010000000000100000000001000011110000011110010010100001001111000101000000010010111000000101000001011000100100001000111100101111100100101011000001111000100000010010000000000000011101101010011000000000100000000001000000000010000000000100001111000001111001001010000100111100010100000001001011100000010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001110110101001100000000010000000000100000000001000000000010000111100000111100100101000010011110001010000000100101110000001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000100111010100010000000000000000000000000000000000000000000000000000000011110010010100001001111000101000000010010111000000101000001011000100100001100111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000000000000000000000000000000000000000001111001001010000100111100010100000001001011100000010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001101110101000100000000000000000000000000000000000000000000000000000000111100100111000010011110001010000000100101110000001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000000000000000000000000000000000000000011110010011100001001111000101000000010010111000000101000001011000100100001100111100101111100100101011000001111000100000010010000000000000010011101010011000000000000000000000000000000000000000000000000000000001111001001110000100111100000000100001001011100000010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000000000000000000000000000000000000000111100100111000010011110000000010000100101110000001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000110111010100110000000000000000000000000000000000000000000000000000000011110010011100001001111000000001000010010111000000101000001011000100100001100111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000000000000000000000000000000000000000001111001001110000100111100000000100001001011100000010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001001110101000100000000010000000000000000000000000000000000000000000000111100100111000010011110000000010000100101110000001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000000000000000000000000000000000000000011110010011100001001111000000001000010010111000000101000001011000100100001100111100101111100100101011000001111000100000010010000000000000011011101010001000000000100000000000000000000000000000000000000000000001111001001110000100111100000000100001001011100000010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000000000000000000000000000000000000000111100100111000010011110000000010000100101110000001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000100111010100110000000001000000000000000000000000000000000000000000000011110010011100001001111000000001000010010111000000101000001011000100100001100111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000000000000000000000000000000000000000001111001001110000100111100000000100001001011100000010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001101110101001100000000010000000000000000000000000000000000000000000000111100100111000010011110000000010000100101000001001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000000000000000000000000000000000000000011110010011100001001111000000001000010010100000100101000001011000100100001100111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000001000000000000000000000000000000000001111001001110000100111100000000100001001010000010010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001001110101000100000000000000000000100000000000000000000000000000000000111100100111000010011110000000010000100101000001001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000010000000000000000000000000000000000011110010011100001001111000000001000010010100000100101000001011000100100001100111100101111100100101011000001111000100000010010000000000000011011101010001000000000000000000001000000000000000000000000000000000001111001001110000100111100000000100001001010000010010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000100000000000000000000000000000000000111100100111000010011110000000010000100101000001001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000100111010100110000000000000000000010000000000000000000000000000000000011110010011100001001111000000001000010010100000100101000001011000100100001100111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000001000000000000000000000000000000000001111001001110000100111100000000100001001010000010010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001101110101001100000000000000000000100000000000000000000000000000000000111100100111000010011110000000010000100101000001001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000010000000000000000000000000000000000011110010011100001001111000000001000010010100000100101000001011000100100001100111100101111100100101011000001111000100000010010000000000000010011101010001000000000100000000001000000000000000000000000000000000001111001001110000100111100000000100001001010000010010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000100000000000000000000000000000000000111100100111000010011110000000010000100101000001001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000110111010100010000000001000000000010000000000000000000000000000000000011110010011100001001111000000001000010010100000100101000001011000100100001100111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000001000000000000000000000000000000000001111001001110000100111100000000100001001010000010010100000101100010010000110011110010111110010010101100000111100010000001001000000000000001001110101001100000000010000000000100000000000000000000000000000000000111100100111000010011110000000010000100101000001001010000010110001001000011001111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000010000000000000000000000000000000000011110010011100001001111000000001000010010100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010011000000000100000000001000000000000000000000000000000000001111001001110000100111100000000100001001010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101000100000000000000000000000000000001000000000000000000000000111100100111000010011110000000010000100101000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100010000000000000000000000000000000100000000000000000000000011110010011100001001111000000001000010010100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010001000000000000000000000000000000010000000000000000000000001111001001100010001000000000000100110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101000100000000000000000000000000000001000000000000000000010000111100100110001000100000000000000011001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100110000000000000000000000000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010011000000000000000000000000000000010000000000000000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101001100000000000000000000000000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100110000000000000000000000000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010001000000000100000000000000000000010000000000000000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101000100000000010000000000000000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100010000000001000000000000000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010001000000000100000000000000000000010000000000000000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101001100000000010000000000000000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100110000000001000000000000000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010011000000000100000000000000000000010000000000000000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101001100000000010000000000000000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100010000000000000000000010000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000001000000000010000000000000000000100000111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101000100000000000000000000100000000001000000000000000000010000011100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000010000000000100000000000000000001000001110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010011000000000000000000001000000000010000000000000000000100000111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000100000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100110000000000000000000010000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000001000000000010000000000000000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101000100000000010000000000100000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000010000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010001000000000100000000001000000000010000000000000000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000100000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100110000000001000000000010000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000001000000000010000000000000000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101001100000000010000000000100000000001000000000000000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000010000000000100000000000000000001000011110010011000100010000000110010011100100100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000000000000000000000000000100000000100001111001001100010001000000011001001110010010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101000100000000000000000000000000000000000000000010000000010000111100100110001000100000001100100111001001000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000000000000000000000000001000000001000011110010010100001101111000110010010010010100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010001000000000000000000000000000000000000000000100000000000001111001001010000110111100011111111001001010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000000000000000000000000010000000000000111100100101000011011110001111111100100101000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100110000000000000000000000000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000000000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101001100000000000000000000000000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000000000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010001000000000100000000000000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000000000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100010000000001000000000000000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000001011000100100001010111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000000000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000101100010010000101011110010111110010010101100000111100010000001001000000000000001001110101001100000000010000000000000000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000010110001001000010101111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000000000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000000111010100100001010111100101111100100101011000001111000100000010010000000000000011011101010011000000000100000000000000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000011101010010000101011110010111110010010101100000111100010000001001000000000000001001110101000100000000000000000000100000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000001110101001000010101111001011111001001010110000011110001000000100100000000000000100111010100010000000000000000000010000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000000111010100100001010111100101111100100101011000001111000100000010010000000000000011011101010001000000000000000000001000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000011101010010000101011110010111110010010101100000111100010000001001000000000000001101110101000100000000000000000000100000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000001110101001000010101111001011111001001010110000011110001000000100100000000000000100111010100110000000000000000000010000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000000111010100100001010111100101111100100101011000001111000100000010010000000000000010011101010011000000000000000000001000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000011101010010000101011110010111110010010101100000111100010000001001000000000000001101110101001100000000000000000000100000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000001110101001000010101111001011111001001010110000011110001000000100100000000000000110111010100110000000000000000000010000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000000111010100100001010111100101111100100101011000001111000100000010010000000000000010011101010001000000000100000000001000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000011101010010000101011110010111110010010101100000111100010000001001000000000000001001110101000100000000010000000000100000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000001110101001000010101111001011111001001010110000011110001000000100100000000000000110111010100010000000001000000000010000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000000111010100100001010111100101111100100101011000001111000100000010010000000000000011011101010001000000000100000000001000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000011101010010000101011110010111110010010101100000111100010000001001000000000000001001110101001100000000010000000000100000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000001110101001000010101111001011111001001010110000011110001000000100100000000000000100111010100110000000001000000000010000000000000000000001000000000000011110010010100001101111000011101010010010100000100101000000111010100100001010111100101111100100101011000001111000100000010010000000000000011011101010011000000000100000000001000000000000000000000100000000000001111001001010000110111100001110101001001010000010010100000011101010010000101011110010111110010010101100000111100010000001001000000000000001101110101001100000000010000000000100000000000000000000010000000000000111100100101000011011110000111010100100101000001001010000001110101001000010101111001011111001001010110000011110001000000100100000000000000100111010100010000000000000000000000000000000100000000001000000000000011110010010100001101111000011101010010010100000100101000000111010100100001010111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000000000000000010000000000100000000000001111001001010000110111100001110101001001010000010010100000011101010010000111011110010111110010010101100000111100010000001001000000000000001101110101000100000000000000000000000000000001000000000010000000000000111100100111000011011110000111010100100101000001001010000001110101001000011101111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000000000000000100000000001000000000000011110010011100001101111000011101010010010100000100101000000111010100100001110111100101111100100101011000001111000100000010010000000000000010011101010011000000000000000000000000000000010000000000100000000000001111001001110000110111100011000000001001010000010010100000011101010010000111011110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000000000000001000000000010000000000000111100100111000011011110001100000000100101000001001010000001110101001000011101111001011111001001010110000011110001000000100100000000000000110111010100110000000000000000000000000000000100000000001000000000000011110010011100001101111000110000000010010100000100101000000111010100100001110111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000000000000000010000000000100000000000001111001001110000110111100011000000001001010000010010100000011101010010000111011110010111110010010101100000111100010000001001000000000000001001110101000100000000010000000000000000000001000000000010000000000000111100100111000011011110001100000000100101000001001010000001110101001000011101111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000000000000000100000000001000000000000011110010011100001101111000110000000010010100000100101000000111010100100001110111100101111100100101011000001111000100000010010000000000000011011101010001000000000100000000000000000000010000000000100000000000001111001001110000110111100011000000001001010000010010100000011101010010000111011110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000000000000001000000000010000000000000111100100111000011011110001100000000100101000001001010000001110101001000011101111001011111001001010110000011110001000000100100000000000000100111010100110000000001000000000000000000000100000000001000000000000011110010011100001101111000110000000010010100000100101000000111010100100001110111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000000000000000010000000000100000000000001111001001110000110111100011000000001001010000010010100000011101010010000111011110010111110010010101100000111100010000001001000000000000001101110101001100000000010000000000000000000001000000000010000000000000111100100111000011011110001100000000100101000001001100000001110101001000011101111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000000000000000100000000001000000000000011110010011100001101111000110000000010010100000100110000000111010100100001110111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000001000000000010000000000100000000000001111001001110000110111100011000000001001010000010011000000011101010010000111011110010111110010010101100000111100010000001001000000000000001001110101000100000000000000000000100000000001000000000010000000000000111100100111000011011110001100000000100101000001001100000001110101001000011101111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000010000000000100000000001000000000000011110010011100001101111000110000000010010100000100110000000111010100100001110111100101111100100101011000001111000100000010010000000000000011011101010001000000000000000000001000000000010000000000100000000000001111001001110000110111100011000000001001010000010011000000011101010010000111011110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000100000000001000000000010000000000000111100100111000011011110001100000000100101000001001100000001110101001000011101111001011111001001010110000011110001000000100100000000000000100111010100110000000000000000000010000000000100000000001000000000000011110010011100001101111000110000000010010100000100110000000111010100100001110111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000001000000000010000000000100000000000001111001001110000110111100011000000001001010000010011000000011101010010000111011110010111110010010101100000111100010000001001000000000000001101110101001100000000000000000000100000000001000000000010000000000000111100100111000011011110001100000000100101000001001100000001110101001000011101111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000010000000000100000000001000000000000011110010011100001101111000110000000010010100000100110000000111010100100001110111100101111100100101011000001111000100000010010000000000000010011101010001000000000100000000001000000000010000000000100000000000001111001001110000110111100011000000001001010000010011000000011101010010000111011110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000100000000001000000000010000000000000111100100111000011011110001100000000100101000001001100000001110101001000011101111001011111001001010110000011110001000000100100000000000000110111010100010000000001000000000010000000000100000000001000000000000011110010011100001101111000110000000010010100000100110000000111010100100001110111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000001000000000010000000000100000000000001111001001110000110111100011000000001001010000010011000000011101010010000111011110010111110010010101100000111100010000001001000000000000001001110101001100000000010000000000100000000001000000000010000000000000111100100111000011011110001100000000100101000001001100000001110101001000011101111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000010000000000100000000001000000000000011110010011100001101111000110000000010010100000100110000000111010100100001001111100101111100100101011000001111000100000010010000000000000011011101010011000000000100000000001000000000010000000000100000000000001111001001110000110111100011000000001001010000010011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001001110101000100000000000000000000000000000000000000000000000100000000111100100111000011011110001100000000100101000001001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000100111010100010000000000000000000000000000000000000000000000010000000011110010011100001101111000110000000010010100000100110000000111010100100001001111100101111100100101011000001111000100000010010000000000000011011101010001000000000000000000000000000000000000000000000001000000001111001001010000101111100011000000001001010000010011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001101110101000100000000000000000000000000000000000000000000000100000000111100100101000010111110001100000000100101000001001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000100111010100110000000000000000000000000000000000000000000000010000000011110010010100001011111000110000000010010100000100110000000111010100100001001111100101111100100101011000001111000100000010010000000000000010011101010011000000000000000000000000000000000000000000000001000000001111001001010000101111100011000000001001010000010011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001101110101001100000000000000000000000000000000000000000000000100000000111100100101000010111110001100000000100101000001001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000110111010100110000000000000000000000000000000000000000000000010000000011110010010100001011111000110000000010010100000100110000000111010100100001001111100101111100100101011000001111000100000010010000000000000010011101010001000000000100000000000000000000000000000000000001000000001111001001010000101111100011000000001001010000010011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001001110101000100000000010000000000000000000000000000000000000100000000111100100101000010111110001100000000100101000001001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000110111010100010000000001000000000000000000000000000000000000010000000011110010010100001011111000110000000010010100000100110000000111010100100001001111100101111100100101011000001111000100000010010000000000000011011101010001000000000100000000000000000000000000000000000001000000001111001001010000101111100011000000001001010000010011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001001110101001100000000010000000000000000000000000000000000000100000000111100100101000010111110001100000000100101000001001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000100111010100110000000001000000000000000000000000000000000000010000000011110010010100001011111000110000000010010100000100110000000111010100100001001111100101111100100101011000001111000100000010010000000000000011011101010011000000000100000000000000000000000000000000000001000000001111001001010000101111100011000000001001011100000011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001101110101001100000000010000000000000000000000000000000000000100000000111100100101000010111110001100000000100101110000001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000100111010100010000000000000000000010000000000000000000000000010000000011110010010100001011111000110000000010010111000000110000000111010100100001001111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000001000000000000000000000000001000000001111001001010000101111100011000000001001011100000011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001101110101000100000000000000000000100000000000000000000000000100000000111100100101000010111110001100000000100101110000001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000010000000000000000000000000010000000011110010010100001011111000110000000010010111000000110000000111010100100001001111100101111100100101011000001111000100000010010000000000000010011101010011000000000000000000001000000000000000000000000001000000001111001001010000101111100011000000001001011100000011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000100000000000000000000000000100000000111100100101000010111110001100000000100101110000001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000110111010100110000000000000000000010000000000000000000000000010000000011110010010100001011111000110000000010010111000000110000000111010100100001001111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000001000000000000000000000000001000000001111001001010000101111100011000000001001011100000011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001001110101000100000000010000000000100000000000000000000000000100000000111100100101000010111110001100000000100101110000001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000010000000000000000000000000010000000011110010010100001011111000110000000010010111000000110000000111010100100001001111100101111100100101011000001111000100000010010000000000000011011101010001000000000100000000001000000000000000000000000001000000001111001001010000101111100011000000001001011100000011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000100000000000000000000000000100000000111100100101000010111110001100000000100101110000001100000001110101001000010011111001011111001001010110000011110001000000100100000000000000100111010100110000000001000000000010000000000000000000000000010000000011110010010100001011111000110000000010010111000000110000000111010100100001001111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000001000000000000000000000000001000000001111001001010000101111100011000000001001011100000011000000011101010010000100111110010111110010010101100000111100010000001001000000000000001101110101001100000000010000000000100000000000000000000000000100000000111100100101000010111110001100000000100101110000001100000001110101001000011011111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000010000000000000000000000000010000000011110010010100001011111000110000000010010111000000110000000111010100100001101111100101111100100101011000001111000100000010010000000000000010011101010001000000000000000000000000000000010000000000000001000000001111001001010000101111100011000000001001011100000011000000011101010010000110111110010111110010010101100000111100010000001001000000000000001001110101000100000000000000000000000000000001000000000000000100000000111100100101000010111110001100000000100101110000001100000001110101001000011011111001011111001001010110000011110001000000100100000000000000110111010100010000000000000000000000000000000100000000000000010000000011110010011000000100000011110000000010010111000000110000000111010100100001101111100101111100100101011000001111000100000010010000000000000011011101010001000000000000000000000000000000010000000000000001000000001111001001100000010000001111000000001001011100000011000000011101010010000110111110010111110010010101100000111100010000001001000000000000001001110101001100000000000000000000000000000001000000000000000100000000111100100110000001000000110000010000100101110000001100000001110101001000011011111001011111001001010110000011110001000000100100000000000000100111010100110000000000000000000000000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100101011000001111000100000010010000000000000011011101010011000000000000000000000000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010101100000111100010000001001000000000000001101110101001100000000000000000000000000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001010110000011110001000000100100000000000000100111010100010000000001000000000000000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100101011000001111000100000010010000000000000010011101010001000000000100000000000000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010101100000111100010000001001000000000000001101110101000100000000010000000000000000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001010110000011110001000000100100000000000000110111010100010000000001000000000000000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100101011000001111000100000010010000000000000010011101010011000000000100000000000000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010101100000111100010000001001000000000000001001110101001100000000010000000000000000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001010110000011110001000000100100000000000000110111010100110000000001000000000000000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100101011000001111000100000010010000000000000011011101010011000000000100000000000000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001001110101000100000000000000000000100000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000100111010100010000000000000000000010000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000011011101010001000000000000000000001000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001101110101000100000000000000000000100000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000100111010100110000000000000000000010000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000010011101010011000000000000000000001000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001101110101001100000000000000000000100000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000110111010100110000000000000000000010000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000010011101010001000000000100000000001000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001001110101000100000000010000000000100000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000110111010100010000000001000000000010000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000011011101010001000000000100000000001000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001001110101001100000000010000000000100000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000100111010100110000000001000000000010000000000100000000000000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000011011101010011000000000100000000001000000000010000000000000001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001101110101001100000000010000000000100000000001000000000000000100000000111100100110000001000000110100000000100101110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000100111010100010000000000000000000000000000000000000000001000010000000011110010011000000100000011010000000010010111000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000010011101010001000000000000000000000000000000000000000000100001000000001111001001100000010000001101000000001001011100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001101110101000100000000000000000000000000000000000000000010000100000000111100100111000010111110000100000000100100110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000110111010100010000000000000000000000000000000000000000001000010000000011110010011100001011111000010000000010010011000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000010011101010011000000000000000000000000000000000000000000100001000000001111001001110000101111100000000000001001001100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001001110101001100000000000000000000000000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000110111010100110000000000000000000000000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000011011101010011000000000000000000000000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001001110101000100000000010000000000000000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000100111010100010000000001000000000000000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000011011101010001000000000100000000000000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001101110101000100000000010000000000000000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000001110101001000011011111001011111001001000110000011110001000000100100000000000000100111010100110000000001000000000000000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000111010100100001101111100101111100100100011000001111000100000010010000000000000010011101010011000000000100000000000000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000011101010010000110111110010111110010010001100000111100010000001001000000000000001101110101001100000000010000000000000000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000011011111001011111001001000110000011110001000000100100000000000000110111010100110000000001000000000000000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001101111100101111100100100011000001111000100000010010000000000000010011101010001000000000000000000001000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000110111110010111110010010001100000111100010000001001000000000000001001110101000100000000000000000000100000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000011011111001011111001001000110000011110001000000100100000000000000110111010100010000000000000000000010000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001101111100101111100100100011000001111000100000010010000000000000011011101010001000000000000000000001000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000110111110010111110010010001100000111100010000001001000000000000001001110101001100000000000000000000100000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000011011111001011111001001000110000011110001000000100100000000000000100111010100110000000000000000000010000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001101111100101111100100100011000001111000100000010010000000000000011011101010011000000000000000000001000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000110111110010111110010010001100000111100010000001001000000000000001101110101001100000000000000000000100000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000011011111001011111001001000110000011110001000000100100000000000000100111010100010000000001000000000010000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001101111100101111100100100011000001111000100000010010000000000000010011101010001000000000100000000001000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000110111110010111110010010001100000111100010000001001000000000000001101110101000100000000010000000000100000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000011011111001011111001001000110000011110001000000100100000000000000110111010100010000000001000000000010000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001101111100101111100100100011000001111000100000010010000000000000010011101010011000000000100000000001000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000110111110010111110010010001100000111100010000001001000000000000001001110101001100000000010000000000100000000000000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000011011111001011111001001000110000011110001000000100100000000000000110111010100110000000001000000000010000000000000000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001101111100101111100100100011000001111000100000010010000000000000011011101010011000000000100000000001000000000000000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000110111110010111110010010001100000111100010000001001000000000000001001110101000100000000000000000000000000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000011011111001011111001001000110000011110001000000100100000000000000100111010100010000000000000000000000000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010010000000000000011011101010001000000000000000000000000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001001000000000000001101110101000100000000000000000000000000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000100100000000000000100111010100110000000000000000000000000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010010000000000000010011101010011000000000000000000000000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001001000000000000001101110101001100000000000000000000000000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000100100000000000000110111010100110000000000000000000000000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010010000000000000010011101010001000000000100000000000000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001001000000000000001001110101000100000000010000000000000000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000100100000000000000110111010100010000000001000000000000000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010010000000000000011011101010001000000000100000000000000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001001000000000000001001110101001100000000010000000000000000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000100100000000000000100111010100110000000001000000000000000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010010000000000000011011101010011000000000100000000000000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000100001000000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000010000100000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000001000010000000011110010011100001011111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000000000100000001111001001110000101111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000000000010000000111100100111000010111110000001011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000000000001000000011110010010100001111111000000101110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000000000100000001111001001010000111111100000010111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000001011100100001011111100101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000101110010000101111110010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000010111001000010111111001011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000000011100100001011111100101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000001110010000101111110010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000000111001000010111111001011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000000011100100001011111100101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000001110010000101111110010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000000111001000010111111001011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000000011100100001011111100101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000001110010000101111110010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000000111001000010111111001011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000000011100100001011111100101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000001110010000101111110010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000000111001000010111111001011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000000011100100001011111100101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000001110010000101111110010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000000111001000010111111001011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000000000001000000011110010010100001111111000000001110010010011000000110000000000011100100001011111100101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000000000100000001111001001010000111111100000000111001001001100000011000000000001110010000101111110010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000000000010000000111100100101000011111110000000011100100100110000001100000000000111001000010111111001011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000000000001000000011110010010100001111111000000001110010010011000000110000000000011100100001011111100101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000000000100000001111001001010000111111100000000111001001001100000011000000000001110010000111111110010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000000000010000000111100100111000011111110000000011100100100110000001100000000000111001000011111111001011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000000000001000000011110010011100001111111000000001110010010011000000110000000000011100100001111111100101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000000000100000001111001001110000111111100000001111001001001100000011000000000001110010000111111110010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000000000010000000111100100111000011111110000000111100100100110000001100000000000111001000011111111001011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000000000001000000011110010011100001111111000000011110010010011000000110000000000011100100001111111100101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000000000100000001111001001110000111111100000001111001001001100000011000000000001110010000111111110010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000000000010000000111100100111000011111110000000111100100100110000001100000000000111001000011111111001011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000000000001000000011110010011100001111111000000011110010010011000000110000000000011100100001111111100101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000000000100000001111001001110000111111100000001111001001001100000011000000000001110010000111111110010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000000000010000000111100100111000011111110000000111100100100110000001100000000000111001000011111111001011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000000000001000000011110010011100001111111000000011110010010011000000110000000000011100100001111111100101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000000000100000001111001001110000111111100000001111001001001100000011000000000001110010000111111110010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000000000010000000111100100111000011111110000000111100100100110000000000111100000111001000011111111001011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000000000001000000011110010011100001111111000000011110010010011000000000011110000011100100001111111100101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000000000100000001111001001110000111111100000001111001001001100000000001111000001110010000111111110010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000000000010000000111100100111000011111110000000111100100100110000000000111100000111001000011111111001011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000000000001000000011110010011100001111111000000011110010010011000000000011110000011100100001111111100101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000000000100000001111001001110000111111100000001111001001001100000000001111000001110010000111111110010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000000000010000000111100100111000011111110000000111100100100110000000000111100000111001000011111111001011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000000000001000000011110010011100001111111000000011110010010011000000000011110000011100100001111111100101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000000000100000001111001001110000111111100000001111001001001100000000001111000001110010000111111110010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000000000010000000111100100111000011111110000000111100100100110000000000111100000111001000011111111001011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000000000001000000011110010011100001111111000000011110010010011000000000011110000011100100001111111100101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000000000100000001111001001110000111111100000001111001001001100000000001111000001110010000111111110010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000000000010000000111100100111000011111110000000111100100100110000000000111100000111001000011111111001011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000000000001000000011110010011100001111111000000011110010010011000000000011110000011100100001111111100101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000000000100000001111001001110000111111100000001111001001001100000000001111000001110010000111111110010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000000000010000000111100100111000011111110000000111100100100110000000000111100000111001000011111111001011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000000000001000000011110010011100001111111000000011110010010011000000000011110000011100100001000000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000000000100000001111001001110000111111100000001111001001001100000000001111000001110010000100000001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000010000010000000111100100111000011111110000000111100100100110000000000111100000111001000010000000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000001000001000000011110010011100001111111000000011110010010011000000000011110000011100100001000000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000100000100000001111001001010000100000010000001111001001001100000000001111000001110010000100000001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000010000010000000111100100101000010000001000000111100100100110000000000111100000111001000010000000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000011100100001000000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000001110010000100000001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100000111001000010000000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000011100100001000000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000001110010000100000001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100000111001000010000000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000011100100001000000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000001110010000100000001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100000111001000010000000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000011100100001000000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000010110010000100000001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100001011001000010000000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000101100100001000000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000010110010000100000001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100001011001000010000000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000101100100001000000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000010110010000100000001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100001011001000010000000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000101100100001000000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000010110010000100000001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100001011001000010000000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000101100100001000000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000010110010000100000001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100001011001000010000000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000101100100001000000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000100000100000001111001001010000100000010000001011001001001100000000001111000010110010000100000001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000010000010000000111100100101000010000001000000101100100100110000000000111100001011001000010000000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000001000001000000011110010010100001000000100000010110010010011000000000011110000101100100001000000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000100000100000001111001001010000100000010000001011001001001100000000001111000010110010000100000001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000010000010000000111100100101000010000001000000101100100100110000000000111100001011001000011000000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000001000001000000011110010011100001000000100000010110010010011000000000011110000101100100001100000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000100000100000001111001001110000100000010000001011001001001100000000001111000010110010000110000001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000010000010000000111100100111000010000001000100000000100100110000000000111100001011001000011000000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000001000001000000011110010011100001000000100010000000010010011000000000011110000101100100001100000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000100000100000001111001001110000100000010001000000001001001100000000001111000010110010000110000001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000010000010000000111100100111000010000001000100000000100100110000000000111100001011001000011000000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000001000001000000011110010011100001000000100010000000010010011000000000011110000101100100001100000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000100000100000001111001001110000100000010001000000001001001100000000001111000010110010000110000001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000010000010000000111100100111000010000001000100000000100100110000000000111100001011001000011000000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000001000001000000011110010011100001000000100010000000010010011000000000011110000101100100001100000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000100000100000001111001001110000100000010001000000001001001100000000001111000010110010000110000001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000010000010000000111100100111000010000001000100000000100100110000000000111100001011001000011000000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000001000001000000011110010011100001000000100010000000010010011000000010000000000101100100001100000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000100000100001001111001001110000100000010001000000001001001100000001000000000010110010000110000001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000010000010000100111100100111000010000001000100000000100100110000000100000000001011001000011000000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000001000001000010011110010011100001000000100010000000010010011000000010000000000101100100001100000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000100000100001001111001001110000100000010001000000001001001100000001000000000010110010000110000001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000010000010000100111100100111000010000001000100000000100100110000000100000000001011001000011000000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000001000001000010011110010011100001000000100010000000010010011000000010000000000101100100001100000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000100000100001001111001001110000100000010001000000001001001100000001000000000010110010000110000001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000010000010000100111100100111000010000001000100000000100100110000000100000000001011001000011000000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000001000001000010011110010011100001000000100010000000010010011000000010000000000101100100001100000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000100000100001001111001001110000100000010001000000001001001100000001000000000010110010000110000001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000010000010000100111100100111000010000001000100000000100100110000000100000000001011001000011000000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000001000001000010011110010011100001000000100010000000010010011000000010000000000101100100001100000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000100000100001001111001001110000100000010001000000001001001100000001000000000010110010000110000001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000010000010000100111100100111000010000001000100000000100100110000000100000000001011001000011000000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000001000001000010011110010011100001000000100010000000010010011000000010000000000101100100001100000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000100000100001001111001001110000100000010001000000001001001100000001000000000010110010000101000001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000010000010000100111100100111000010000001000100000000100100110000000100000000001011001000010100000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000000000011000010011110010011100001000000100010000000010010011000000010000000000101100100001010000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000000001100001001111001001110000100000010001000000001001001100000001000000000010110010000101000001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000000000110000100111100100111000010000001000100000000100100110000000100000000001011001000010100000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000000000011000010011110010011100001000000100010000000010010011000000010000000000101100100001010000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000000001100001001111001001110000100000010001000000001001001100000001000000000010110010000101000001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000000000110000100111100100111000010000001000100000000100100110000000100000000001011001000010100000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000000000011000010011110010010100001010000100010000000010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000000001100001001111001001010000101000010001000000001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000000000110000100111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000000000011000010011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000000001100001001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000000010110010000100100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000000001011001000010010000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000000101100100001001000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000011100010010000100100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000001110001001000010010000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000111000100100001001000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000011100010010000100100001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000001110001001000010010000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000111000100100001001000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000011100010010000100100001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000001110001001000010010000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000111000100100001001000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000011100010010000100100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000001110001001000010010000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000111000100100001001000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000011100010010000100100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000001110001001000010010000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000111000100100001001000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000000001100000001111001001010000101000010001110001001001001100000001000000011100010010000100100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000000000110000000111100100101000010100001000111000100100100110000000100000001110001001000010010000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000000000011000000011110010010100001010000100011100010010010011000000010000000111000100100001001000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000100001100000001111001001010000101000010001110001001001001100000001000000011100010010000100100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000010000110000000111100100101000010100001000111000100100100110000000100000001110001001000011010000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000001000011000000011110010011100001010000100011100010010010011000000010000000111000100100001101000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000100001100000001111001001110000101000010001110001001001001100000001000000011100010010000110100001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000010000110000000111100100111000010100001001010000000100100110000000100000001110001001000011010000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000001000011000000011110010011100001010000100101000000010010011000000010000000111000100100001101000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000100001100000001111001001110000101000010010100000001001001100000001000000011100010010000110100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000010000110000000111100100111000010100001001010000000100100110000000100000001110001001000011010000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000001000011000000011110010011100001010000100101000000010010011000000010000000111000100100001101000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000100001100000001111001001110000101000010010100000001001001100000001000000011100010010000110100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000010000110000000111100100111000010100001001010000000100100110000000100000001110001001000011010000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000001000011000000011110010011100001010000100101000000010010011000000010000000111000100100001101000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000100001100000001111001001110000101000010010100000001001001100000001000000011100010010000110100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000010000110000000111100100111000010100001001010000000100100110000000100000001110001001000011010000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000001000011000000011110010011100001010000100101000000010010011000000101000000111000100100001101000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000100001100000001111001001110000101000010010100000001001001100000010100000011100010010000110100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000010000110000000111100100111000010100001001010000000100100110000001010000001110001001000011010000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000001000011000000011110010011100001010000100101000000010010011000000101000000111000100100001101000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000100001100000001111001001110000101000010010100000001001001100000010100000011100010010000110100001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000010000110000000111100100111000010100001001010000000100100110000001010000001110001001000011010000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000001000011000000011110010011100001010000100101000000010010011000000101000000111000100100001101000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000100001100000001111001001110000101000010010100000001001001100000010100000011100010010000110100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000010000110000000111100100111000010100001001010000000100100110000001010000001110001001000011010000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000001000011000000011110010011100001010000100101000000010010011000000101000000111000100100001101000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000100001100000001111001001110000101000010010100000001001001100000010100000011100010010000110100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000010000110000000111100100111000010100001001010000000100100110000001010000001110001001000011010000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000001000011000000011110010011100001010000100101000000010010011000000101000000111000100100001101000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000100001100000001111001001110000101000010010100000001001001100000010100000011100010010000110100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000010000110000000111100100111000010100001001010000000100100110000001010000001110001001000011010000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000001000011000000011110010011100001010000100101000000010010011000000101000000111000100100001101000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000100001100000001111001001110000101000010010100000001001001100000010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000010000110000000111100100111000010100001001010000000100100110000001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000001000011000000011110010011100001010000100101000000010010011000000101000000111000100100001011000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000100001100000001111001001110000101000010010100000001001001100000010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000010000110000000111100100101000011100001001010000000100100110000001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000001000011000000011110010010100001110000100101000000010010011000000101000000111000100100001011000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000100001100000001111001001010000111000010000000100001001001100000010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000010000110000000111100100101000011100001000000010000100100110000001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000001000011000000011110010010100001110000100000001000010010011000000101000000111000100100001011000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000100001100000001111001001010000111000010000000100001001001100000010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000010000110000000111100100101000011100001000000010000100100110000001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000001000011000000011110010010100001110000100000001000010010011000000101000000111000100100001011000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000100001100000001111001001010000111000010000000100001001001100000010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000010000110000000111100100101000011100001000000010000100100110000001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000001000011000000011110010010100001110000100000001000010010011000000101000000111000100100001011000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000100001100000001111001001010000111000010000000100001001001100000010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000010000110000000111100100101000011100001000000010000100100000001001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000001000011000000011110010010100001110000100000001000010010000000100101000000111000100100001011000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000100001100000001111001001010000111000010000000100001001000000010010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000010000110000000111100100101000011100001000000010000100100000001001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000001000011000000011110010010100001110000100000001000010010000000100101000000111000100100001011000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000100001100000001111001001010000111000010000000100001001000000010010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000010000110000000111100100101000011100001000000010000100100000001001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000001000011000000011110010010100001110000100000001000010010000000100101000000111000100100001011000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000100001100000001111001001010000111000010000000100001001000000010010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000010000110000000111100100101000011100001000000010000100100000001001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000001000011000000011110010010100001110000100000001000010010000000100101000000111000100100001011000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000100001100000001111001001010000111000010000000100001001000000010010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000010000110000000111100100101000011100001000000010000100100000001001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000001000011000000011110010010100001110000100000001000010010000000100101000000111000100100001011000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000100001100000001111001001010000111000010000000100001001000000010010100000011100010010000101100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000010000110000000111100100101000011100001000000010000100100000001001010000001110001001000010110000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000001000011000000011110010010100001110000100000001000010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000100001100000001111001001010000111000010000000100001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000000000001000000111100100101000011100001000000010000100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000000000000100000011110010010100001110000100000001000010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000000000010000001111001001100010001000000000000100110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000000000001010000111100100110001000100000000000000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000000000010100000111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000000000001010000011100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000000000000101000001110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000000000010100000111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000000000000101000011110010011000100010000000110000001100000000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000000000010100001111001001100010001000000011000000110000000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000000000001010000111100100110001000100000001100000011000000000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000000000000101000011110010011100001110000100110000000010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000000000010000001111001001110000111000010011111111001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000000000001000000111100100111000011100001001111111100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000000000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000000000010000001111001001110000111000010001110001001001000000010010100000011100010010000111100001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000000000001000000111100100111000011100001000111000100100100000001001010000001110001001000011110000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000001000000100000011110010011100001110000100011100010010010000000100101000000111000100100001111000010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000100000010000001111001001110000111000010001110001001001000000010010100000011100010010000100010001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000010000001000000111100100101000010010001000111000100100100000001001010000001110001001000010001000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000001000000100000011110010010100001001000100011100010010010000000100101000000111000100100001000100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000100000010000001111001001010000100100010011000000001001000000010010100000011100010010000100010001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000010000001000000111100100101000010010001001100000000100100000001001010000001110001001000010001000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000001000000100000011110010010100001001000100110000000010010000000100101000000111000100100001000100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000100000010000001111001001010000100100010011000000001001000000010010100000011100010010000100010001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000010000001000000111100100101000010010001001100000000100100000001001010000001110001001000010001000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000001000000100000011110010010100001001000100110000000010010000000100101000000111000100100001000100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000100000010000001111001001010000100100010011000000001001000000010010100000011100010010000100010001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000010000001000000111100100101000010010001001100000000100100000001001010000001110001001000010001000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000001000000100000011110010010100001001000100110000000010010000000100101000000111000100100001000100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000100000010000001111001001010000100100010011000000001001000000010010100000011100010010000100010001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000010000001000000111100100101000010010001001100000000100100000001001100000001110001001000010001000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000001000000100000011110010010100001001000100110000000010010000000100110000000111000100100001000100010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000100000010000001111001001010000100100010011000000001001000000010011000000011100010010000100010001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000010000001000000111100100101000010010001001100000000100100000001001100000001110001001000010001000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000001000000100000011110010010100001001000100110000000010010000000100110000000111000100100001000100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000100000010000001111001001010000100100010011000000001001000000010011000000011100010010000100010001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000010000001000000111100100101000010010001001100000000100100000001001100000001110001001000010001000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000001000000100000011110010010100001001000100110000000010010000000100110000000111000100100001000100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000100000010000001111001001010000100100010011000000001001000000010011000000011100010010000100010001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000010000001000000111100100101000010010001001100000000100100000001001100000001110001001000010001000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000001000000100000011110010010100001001000100110000000010010000000100110000000111000100100001000100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000100000010000001111001001010000100100010011000000001001000000010011000000011100010010000100010001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000010000001000000111100100101000010010001001100000000100100000001001100000001110001001000010001000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000001000000100000011110010010100001001000100110000000010010000000100110000000111000100100001000100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000100000010000001111001001010000100100010011000000001001000000010011000000011100010010000100010001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000010000001000000111100100101000010010001001100000000100100000001001100000001110001001000010001000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000001000000100000011110010010100001001000100110000000010010000000100110000000111000100100001100100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000100000010000001111001001010000100100010011000000001001000000010011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000010000001000000111100100101000010010001001100000000100100000001001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000001000000100000011110010010100001001000100110000000010010000000100110000000111000100100001100100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000100000010000001111001001110000100100010011000000001001000000010011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000010000001000000111100100111000010010001001100000000100100000001001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000001000000100000011110010011100001001000100110000000010010000000100110000000111000100100001100100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000100000010000001111001001110000100100010011000000001001000000010011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000010000001000000111100100111000010010001001100000000100100000001001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000001000000100000011110010011100001001000100110000000010010000000100110000000111000100100001100100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000100000010000001111001001110000100100010011000000001001000000010011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000010000001000000111100100111000010010001001100000000100100000001001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000001000000100000011110010011100001001000100110000000010010000000100110000000111000100100001100100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000100000010000001111001001110000100100010011000000001001000000010011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000010000001000000111100100111000010010001001100000000100100000001001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000001000000100000011110010011100001001000100110000000010010000000100110000000111000100100001100100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000100000010000001111001001110000100100010011000000001001001100000011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000010000001000000111100100111000010010001001100000000100100110000001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000001000000100000011110010011100001001000100110000000010010011000000110000000111000100100001100100010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000100000010000001111001001110000100100010011000000001001001100000011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000010000001000000111100100111000010010001001100000000100100110000001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000001000000100000011110010011100001001000100110000000010010011000000110000000111000100100001100100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000100000010000001111001001110000100100010011000000001001001100000011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000010000001000000111100100111000010010001001100000000100100110000001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000001000000100000011110010011100001001000100110000000010010011000000110000000111000100100001100100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000100000010000001111001001110000100100010011000000001001001100000011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000010000001000000111100100111000010010001001100000000100100110000001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000001000000100000011110010011100001001000100110000000010010011000000110000000111000100100001100100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000100000010000001111001001110000100100010011000000001001001100000011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000010000001000000111100100111000010010001001100000000100100110000001100000001110001001000011001000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000001000000100000011110010011100001001000100110000000010010011000000110000000111000100100001100100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000100000010000001111001001110000100100010011000000001001001100000011000000011100010010000110010001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000010000001000000111100100111000010010001001100000000100100110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000001000000100000011110010011100001001000100110000000010010011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000000001010000001111001001110000100100010011000000001001001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000000000101000000111100100111000010010001001100000000100100110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000000000010100000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000000000010101000001110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000000001010100000111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000000000101010000011100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000000000010101000001110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000000000101010000111100100110000001000000111100000011000000110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000000000010101000011110010011000000100000011110000001100000011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000000001010100001111001001100000010000001111000000110000001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000000000101010000111100100101000011010001001100000000100100110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000000000010100000011110010010100001101000100111111110010010011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000000001010000001111001001010000110100010000000000001001001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000001110001001000010101000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000111000100100001010100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000011100010010000101010001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000000000110001000010101000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000000011000100001010100010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000000001100010000101010001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000000000110001000010101000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000000011000100001010100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000000001100010000101010001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000000000110001000010101000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000000011000100001010100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000000001100010000101010001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000000000110001000010101000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000000011000100001010100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000000001100010000101010001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000000000110001000010101000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000000011000100001010100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000000001100010000101010001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000000000101000000111100100101000011010001000000011000100100110000001100000000000110001000010101000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000000000010100000011110010010100001101000100000001100010010011000000110000000000011000100001010100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000000001010000001111001001010000110100010000000110001001001100000011000000000001100010000101010001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000010000101000000111100100101000011010001000000011000100100110000001100000000000110001000010101000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000001000010100000011110010010100001101000100000001100010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000100001010000001111001001110000110100010000000110001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000010000101000000111100100111000011010001000000011000100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000011101000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001110100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000111010001010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000001000010100000011110010011100001101000100101101010010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000100001010000001111001001110000110100010010110101001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000010000101000000111100100111000011010001001011010100100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000001000010100000011110010010000000101111110101101010010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000100001010000001111001001000000010111111010110101001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000010000101000000111100100100000001011111101001010100100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000010000101000000111100100100000001011111100000000000100100110000001100000000000110001000010011000101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000001000010100000011110010010000000101111110000000000010010011000000110000000000011000100001001100010101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000100001010000001111001001000000010111111000000000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000010000101000000111100100110000001011111100000000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000000000001100000011110010011000000101111110000000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001001100011101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000100110001110111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010011000111011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000000000110000001111001001100000010111111001100000001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000000000011000000111100100110000001011111100110000000100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000000000001100000011110010011000000101111110011000000010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000000000110000001111001001000000001111111001100000001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000000000011000000111100100100000000111111100110000000100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000000000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000000000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000000000001100000011110010010000000011111110001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000100000110000001111001001000000001111111000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000010000011000000111100100100000000111111100010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000010000011000000111100100101000011100000000000000000100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000101100000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000010110000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001011000000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000111100000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000010000011000000111100100101000011100000000010000100100100110000001100000000000110001000011110000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000001000001100000011110010010100001110000000001000010010010011000000110000000000011000100001111000000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000100000110000001111001001010000111000000000100001001001001100000011000000000001100010000111100000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000010000011000000111100100111000011100000000010000100100100110000001100000000000110001000011110000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000001000001100000011110010011100001110000000001000010010010011000000110000000000011000100001111000000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001100010000111100000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000110001000011110000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000011000100001111000000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001100010000111100000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000110001000011110000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000011000100001111000000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001100010000111100000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000110001000011110000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000011000100001111000000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001100010000111100000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000100001000011110000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000010000100001111000000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001000010000111100000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000100001000011110000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000010000100001111000000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001000010000111100000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000100001000011110000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000010000100001111000000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001000010000111100000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000100001000011110000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000010000100001111000000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001000010000111100000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000100001000011110000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000010000100001111000000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001000010000111100000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000010000011000000111100100111000011100000000000010000100100110000001100000000000100001000011110000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000001000001100000011110010011100001110000000000001000010010011000000110000000000010000100001111000000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000100000110000001111001001110000111000000000000100001001001100000011000000000001000010000111100000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000000000111000000111100100111000011100000000000010000100100110000001100000000000100001000011110000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000000000011100000011110010011100001110000000000001000010010011000000110000000000010000100001000100000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000000001110000001111001001010000100100000000000100001001001100000011000000000001000010000100010000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000000000111000000111100100101000010010000000000010000100100110000001100000000000100001000010001000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000000000011100000011110010010100001001000000001001110010010011000000110000000000010000100001000100000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000000001110000001111001001010000100100000000100111001001001100000011000000000001000010000100010000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000000000111000000111100100101000010010000000010011100100100110000001100000000000100001000010001000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000000000011100000011110010010100001001000000001001110010010011000000110000000000010000100001000100000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000000001110000001111001001010000100100000000100111001001001100000011000000000001000010000100010000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000000000111000000111100100101000010010000000010011100100100110000001100000000000100001000010001000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000000000011100000011110010010100001001000000001001110010010011000000110000000000010000100001000100000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000000001110000001111001001010000100100000000100111001001001100000011000000000001000010000100010000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000000000111000000111100100101000010010000000010011100100100110000001100000000000100001000010001000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000000000011100000011110010010100001001000000001001110010010011000000110000000000010000100001000100000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000000001110000001111001001010000100100000000100111001001001100000000100111000001000010000100010000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000000000111000000111100100101000010010000000010011100100100110000000010011100000100001000010001000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000000000011100000011110010010100001001000000001001110010010011000000001001110000010000100001000100000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000000001110000001111001001010000100100000000100111001001001100000000100111000001000010000100010000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000000000111000000111100100101000010010000000010011100100100110000000010011100000100001000010001000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000000000011100000011110010010100001001000000001001110010010011000000001001110000010000100001000100000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000000001110000001111001001010000100100000000100111001001001100000000100111000001000010000100010000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000000000111000000111100100101000010010000000010011100100100110000000010011100000100001000010001000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000000000011100000011110010010100001001000000001001110010010011000000001001110000010000100001000100000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000000001110000001111001001010000100100000000100111001001001100000000100111000001000010000100010000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000000000111000000111100100101000010010000000010011100100100110000000010011100000100001000010001000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000000000011100000011110010010100001001000000001001110010010011000000001001110000010000100001000100000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000000001110000001111001001010000100100000000100111001001001100000000100111000001000010000100010000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000000000111000000111100100101000010010000000010011100100100110000000010011100000100001000010001000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000000000011100000011110010010100001001000000001001110010010011000000001001110000010000100001000100000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000000001110000001111001001010000100100000000100111001001001100000000100111000001000010000100010000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000000000111000000111100100101000010010000000010011100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000000000011100000011110010010100001001000000001001110010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000000001110000001111001001010000100100000000100111001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000000000111000000111100100101000010010000000010011100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000000000011100000011110010010000000011111110001001110010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000000001110000001111001001000000001111111000100111001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000000000111000000111100100100000000111111100001110000100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000000000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000000001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000000000111000000111100100100000000111111100010000100100100110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000001000011100000011110010010000000011111110001000010010010011000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000100001110000001111001001000000001111111000100001001001001100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000010000111000000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000010000111010000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000010000111010000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000010000111010000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000010000111010000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000010000111010000011100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000001000011101000001110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000100001110100000111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000010000111010000011100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000010000111010000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000010000111010000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100000011111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000100001110100001111001001000000001111111000100001001000011100000000100111000001000010000110010000001111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000010000111010000111100100100000000111111100010000100100001110000000010011100000100001000011001000000111111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000001000011101000011110010010000000011111110001000010010000111000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000100001110100001111001001100000010111111000100001001000011100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000010000111010000111100100110000001011111100010000100100001110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000001000011101000011110010011000000101111110001000010010000111000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000100001110100001111001001100000010111111000100001100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000100001110100001111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000100001110100001111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000100001110100001111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000100001110100001111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000100001110100000111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000010000111010000011100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000001000011101000001110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000100001110100000111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000100001110100001111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000100001110100001111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000011011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000001000011101000011110010011000000101111110100100001001000011000000001001110000010000100001100100001101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000100001110100001111001001100000010111111010010000100100001100000000100111000001000010000110010000110111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000010000111010000111100100110000001011111101001000010010000110000000010011100000100001000011001000001011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000001000011101000011110010010000000101111110100100001001000011000000001001110000010000100001100100000101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000000000001100001111001001000000010111111010010000100100001100000000100111000001000010000110010000010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000000000000110000111100100100000001011111101001000010010000110000000010011100000100001000011001000001011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000000000000011000011110010011100001001000000100100000010010011000000001001110000010000100001100100000101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000000000001000001111001001110000100100000011111111001001001100000000100111000001000010000110010000010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000000000000100000111100100111000010010000000000000000100100110000000010011100000100001000011001000001011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000000000000010000011110010011100001001000000110000010010010011000000001001110000010000100001100100000101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000000000001000001111001001110000100100000011000001001001001100000000100111000001000010000110010000010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000000000000100000111100100111000010010000001100000100100100110000000010011100000100001000011001000001011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000000000000010000011110010011100001001000000110000010010010011000000001001110000010000100001100100000101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000000000001000001111001001110000100100000011000001001001001100000000100111000001000010000110010000010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000000000000100000111100100111000010010000001100000100100100110000000010011100000100001000011001000001011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000000000000010000011110010011100001001000000110000010010010011000000001001110000010000100001100100000101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000000000001000001111001001110000100100000011000001001001001100000000100111000001000010000110010000010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000000000000100000111100100111000010010000001100000100100100110000000010011100000100001000011001000001011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000000000000010000011110010011100001001000000110000010010010011000001001001110000010000100001100100000101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000000000001000001111001001110000100100000011000001001001001100000100100111000001000010000110010000010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000000000000100000111100100111000010010000001100000100100100110000010010011100000100001000011001000001011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000000000000010000011110010011100001001000000110000010010010011000001001001110000010000100001100100000101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000000000001000001111001001110000100100000011000001001001001100000100100111000001000010000110010000010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000000000000100000111100100111000010010000001100000100100100110000010010011100000100001000011001000001011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000000000000010000011110010011100001001000000110000010010010011000001001001110000010000100001100100000101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000000000001000001111001001110000100100000011000001001001001100000100100111000001000010000110010000010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000000000000100000111100100111000010010000001100000100100100110000010010011100000100001000011001000001011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000000000000010000011110010011100001001000000110000010010010011000001001001110000010000100001100100000101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000000000001000001111001001110000100100000011000001001001001100000100100111000001000010000110010000010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000000000000100000111100100111000010010000001100000100100100110000010010011100000100001000011001000001011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000000000000010000011110010011100001001000000110000010010010011000001001001110000010000100001100100000101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000000000001000001111001001110000100100000011000001001001001100000100100111000001000010000110010000010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000000000000100000111100100111000010010000001100000100100100110000010010011100000100001000011001000001011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000000000000010000011110010011100001001000000110000010010010011000001001001110000010000100001100100000101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000000000001000001111001001110000100100000011000001001001001100000100100111000001001100000100100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000000000000100000111100100111000010010000001100000100100100110000010010011100000100110000010010011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000000000000010000011110010011100001001000000110000010010010011000001001001110000010011000001001001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000000000001000001111001001110000100100000011000001001001001100000100100111000001001100000100100111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000000000000100000111100100100000010100111111100000100100100110000010010011100000100110000010010011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000000000000010000011110010010000001010011111110000010010010011000001001001110000010011000001001001110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111000001001100000100100111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011100000100110000010010011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001110000010011000001001001110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111000001001100000100100111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011100000100110000010010011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001110000010011000001001001110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111000001001100000100100111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011100000100110000010010011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001110000010011000001001001110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111000001001100000100100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011110110101110000010010011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001111011010111000001001001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111101101011100000100100111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011110110101110000010010011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001111011010111000001001001110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111101101011100000100100111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011110110101110000010010011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001111011010111000001001001110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111101101011100000100100111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011110110101110000010010011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001111011010111000001001001110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111101101011100000100100111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011110110101110000010010011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001111011010111000001001001110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111101101011100000100100111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000000000000100000111100100100000010100111111011010100100100110000010010011110110101110000010010011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000000000000010000011110010010000001010011111101101010010010011000001001001111011010111000001001001110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000000000001000001111001001000000101001111110110101001001001100000100100111101101011100000100100111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000010000000100000111100100100000010100111111011010100100100110000010010011110110101110000010010011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000001000000010000011110010010000001010011111101101010010010011000001001001111011010111000001101001110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000100000001000001111001001100000101001111110110101001001001100000100100111101101011100000110100111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000010000000100000111100100110000010100111111011010100100100110000010010011110110101110000011010011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000001000000010000011110010011000001010011111011111000010010011000001001001111011010111000001101001110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000100000001000001111001001100000101001111101111100001001001100000100100111101101011100000110100111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000010000000100000111100100110000010100111110111110000100100110000010010011110110101110000011010011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000001000000010000011110010011000001010011111011111000010010011000001001001111011010111000001101001110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000100000001000001111001001100000101001111101111100001001001100000100100111101101011100000110100111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000010000000100000111100100110000010100111110111110000100100110000010010011110110101110000011010011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000001000000010000011110010011000001010011111011111000010010011000001001001111011010111000001101001110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000100000001000001111001001100000101001111101111100001001001100000100100111101101011100000110100111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000010000000100000111100100110000010100111110111110000100100110000010010011110110101110000011010011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000001000000010000011110010011000001010011111011111000010010011000001001001111011010111000001101001110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000100000001000001111001001100000101001111101111100001001001100000101111100101101011100000110100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000010000000100000111100100110000010100111110111110000100100110000010111110010110101110000011010011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000001000000010000011110010011000001010011111011111000010010011000001011111001011010111000001101001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000100000001000001111001001100000101001111101111100001001001100000101111100101101011100000110100111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000010000000100000111100100110000010100111110111110000100100110000010111110010110101110000011010011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000001000000010000011110010011000001010011111011111000010010011000001011111001011010111000001101001110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000100000001000001111001001100000101001111101111100001001001100000101111100101101011100000110100111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000010000000100000111100100110000010100111110111110000100100110000010111110010110101110000011010011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000001000000010000011110010011000001010011111011111000010010011000001011111001011010111000001101001110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000100000001000001111001001100000101001111101111100001001001100000101111100101101011100000110100111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000010000000100000111100100110000010100111110111110000100100110000010111110010110101110000011010011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000001000000010000011110010011000001010011111011111000010010011000001011111001011010111000001101001110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000100000001000001111001001100000101001111101111100001001001100000101111100101101011100000110100111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000010000000100000111100100110000010100111110111110000100100110000010111110010110101110000011010011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000001000000010000011110010011000001010011111011111000010010011000001011111001011010111000001101001110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000100000001000001111001001100000101001111101111100001001001100000101111100101101011100000110100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000010000000100000111100100110000010100111110111110000100100110000010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000001000000010000011110010011000001010011111011111000010010011000001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000100000001000001111001001100000101001111101111100001001001100000101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000010000000100000111100100110000010100111110111110000100100110000010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000001000000010000011110010010000001110011111011111000010010011000001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000100000001000001111001001000000111001111101111100001001001100000101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000010000000100000111100100100000011100111111111100100100100110000010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000001000000010000011110010010000001110011111111110010010010011000001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000100000001000001111001001000000111001111111111001001001001100000101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000010000000100000111100100100000011100111111111100100100100110000010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000001000000010000011110010010000001110011111111110010010010011000001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000100000001000001111001001000000111001111111111001001001001100000101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000010000000100000111100100100000011100111111111100100100100110000010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000001000000010000011110010010000001110011111111110010010010011000001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000100000001000001111001001000000111001111111111001001001001100000101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000010000000100000111100100100000011100111111111100100100100110000010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000001000000010000011110010010000001110011111111110010010010011111001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000100000001000001111001001000000111001111111111001001001001111100101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000010000000100000111100100100000011100111111111100100100100111110010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000001000000010000011110010010000001110011111111110010010010011111001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000100000001000001111001001000000111001111111111001001001001111100101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000010000000100000111100100100000011100111111111100100100100111110010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000001000000010000011110010010000001110011111111110010010010011111001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000100000001000001111001001000000111001111111111001001001001111100101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000010000000100000111100100100000011100111111111100100100100111110010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000001000000010000011110010010000001110011111111110010010010011111001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000100000001000001111001001000000111001111111111001001001001111100101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000010000000100000111100100100000011100111111111100100100100111110010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000001000000010000011110010010000001110011111111110010010010011111001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000100000001000001111001001000000111001111111111001001001001111100101111100101101011100000101100111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000010000000100000111100100100000011100111111111100100100100111110010111110010110101110000010110011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000001000000010000011110010010000001110011111111110010010010011111001011111001011010111000001011001110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000100000001000001111001001000000111001111111111001001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000010000000100000111100100100000011100111111111100100100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000000000010010000011110010010000001110011111111110010010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000000001001000001111001001000000111001111111111001001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000000000000000000000100100000111100100101110011111100111111100100100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000000000010010000011110010010111001111110011111110010010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000000000000000000001001000001111001001011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000000000100100000111100100101110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000000000000000000010010000011110010010111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000000001001000001111001001011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000000000000000000000100100000111100100101110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000000000010010000011110010010111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000000000000000000001001000001111001001011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000000000100100000111100100101110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000000000000000000010010000011110010010111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000000001001000001111001001011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000000000000000000000100100000111000000001110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000000000010010000011100000000111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000000000000000000001001000001110000000011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000000000100100000111000000001110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000000000000000000010010000011100000000111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000000001001000001110000000011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000000000000000000000100100000111000000001110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000000000010010000011100000000111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000000000000000000001001000001110000000011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000000000100100000111000000001110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000000000000000000010010000011100000000111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000000001001000001110000000011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000000000000000000000100100000111000000001110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000000000010010000011100000000111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000000000000000000001001000001110000000011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000000000100100000111000000001110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000000000000000000010010000011100000000111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000000001001000001110000000011100111111001110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000001000000000000000100100000111000000001110011111100111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000000000010010000011100000000111001111110011100000000010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000010000000000000001001000001110000000100000111001111110000000001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000000000100100000111000000010000011100111111000000000100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100101101011100000111100111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110010110101110000011110011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111001011010111000001111001110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100000010111100000111100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110000001011110000011110011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111000000101111000001111001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100000010111100000111100111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110000001011110000011110011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111000000101111000001111001110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100000010111100000111100111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110000001011110000011110011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111000000101111000001111001110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100000010111100000111100111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110000001011110000011110011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111000000101111000001111001110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100000010111100000111100111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110000001011110000011110011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111000000101111000001111001110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000000001001000001110000000100000111001111100001011001001001111100101111100000010111100000111100111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000001000000000000000100100000111000000010000011100111110000101100100100111110010111110000001011110000011110011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000000000010010000011100000001000001110011111000010110010010011111001011111000000101111000001111001110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000000000000000100001001000001110000000100000111001111100001011001001001111100101111100000010111100000111100111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000010000100100000111000000010000011100111110000101100100100111110010111110000001011110000010001011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000000000000001000010010000011100000000000001001011111000010110010010011111001011111000000101111000001000101110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000000000000000000000000000100001001000001110000000000000100101111100001011001001001111100101111100000010111100000100010111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000000000000000010000100100000111000000000000010010111111000000000100100111110010111110000001011110000010001011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000000000000000000000000001000010010000011100000000000001001011111100000000010010011111001011111000000101111000001000101110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000000000000000100001001000001110000000000000100101111110000000001001001111100101111100000010111100000100010111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000000000000000000000000010000100100000111000000000000010010111111000000000100100111110010111110000001011110000010001011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000000000000001000010010000011100000000000001001011111100000000010010011111001011111000000101111000001000101110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000000000000000000000000000100001001000001110000000000000100101111110000000001001001111100101111100000010111100000100010111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000000000000000010000100100000111000000000000010010111111000000000100100111110010111110000001011110000010001011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000000000000000000000000001000010010000011100000000000001001011111100000000010010011111001011111000000101111000001000101110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000000000000000100001001000001110000000000000100101111110000000001001001111100101111100000010111100000100010111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000000000000000000000000010000100100000111000000000000010010111111000000000100100111110010111110000001011110000010001011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000000000000001000010010000011100000000000001001011111100000000010010011111001100000000000101111000001000101110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000000000000000000000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000100010111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000000000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000010001011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000010000000000000000000001000010010010011100000000000001001011111100000000010010011111001100000000000101111000001000101110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000000000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000100010111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000100000000000000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000010001011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000000000000001000010010010011100000000000001001011111100000000010010011111001100000000000101111000001000101110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000001000000000000000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000100010111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000000000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000010001011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000010000000000000000000001000010010010011100000000000001001011111100000000010010011111001100000000000101111000001000101110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000000000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000100010111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000100000000000000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000010001011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000000000000001000010010010011100000000000001001011111100000000010010011111001100000000000101111000001000101110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000001000000000000000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000100010111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000000000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000010001011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000010000000000000000000001000010010010011100000000000001001011111100000000010010011111001100000000000101111000001000101110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000000000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000110010111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000100000000000000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000011001011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000100000000001000010010010011100000000000001001011111100000000010010011111001100000000000101111000001100101110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000000000000000010000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000110010111010111110010010001100000111100010000001011000000000000001101110101000100000000000000000000000000000001000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000011001011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000000000000000100000000001000010010010011100000000000001001011111100000000010010011111001100000000000101111000001100101110101111100100100011000001111000100000010110000000000000010011101010011000000000000000000000000000000010000000000100001001001001110000000000000100101111110000000001001001111100110000000000010111100000110010111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000000000000001000000000010000100100100111000000000000010010111111000000000100100111110011000000000001011110000011001011101011111001001000110000011110001000000101100000000000000110111010100110000000000000000000000000000000100000000001000010010010011100000000000001101011111100000000010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000000000000000010000000000100001001001001110000000000000110101111110000000001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001001110101000100000000010000000000000000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000000000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000011011101010001000000000100000000000000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000000000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000100111010100110000000001000000000000000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000000000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001101110101001100000000010000000000000000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000000000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000010011101010001000000000000000000001000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000100000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000110111010100010000000000000000000010000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000011011101010001000000000000000000001000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001001110101001100000000000000000000100000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000100111010100110000000000000000000010000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000011011101010011000000000000000000001000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001101110101001100000000000000000000100000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000100111010100010000000001000000000010000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000010011101010001000000000100000000001000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001101110101000100000000010000000000100000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000110111010100010000000001000000000010000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000010011101010011000000000100000000001000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001001110101001100000000010000000000100000000001000000000010000100100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000110111010100110000000001000000000010000000000100000000001000010010010011100000000000001101011111000001010010010011111001100000000000101111000001010101110101111100100100011000001111000100000010110000000000000011011101010011000000000100000000001000000000010000000000100001001001001110000000000000110101111100000101001001001111100110000000000010111100000101010111010111110010010001100000111100010000001011000000000000001001110101000100000000000000000000000000000000000000000000000010100100111000000000000011010111110000010100100100111110011000000000001011110000010101011101011111001001000110000011110001000000101100000000000000100111010100010000000000000000000000000000000000000000000000001010010011</data>\r
1419           <extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
1420         </log>\r
1421       </trigger>\r
1422     </signal_set>\r
1423     <position_info>\r
1424       <single attribute="active tab" value="0"/>\r
1425       <single attribute="data horizontal scroll position" value="0"/>\r
1426       <single attribute="data vertical scroll position" value="0"/>\r
1427       <single attribute="setup horizontal scroll position" value="0"/>\r
1428       <single attribute="setup vertical scroll position" value="0"/>\r
1429       <single attribute="zoom level denominator" value="1"/>\r
1430       <single attribute="zoom level numerator" value="1"/>\r
1431       <single attribute="zoom offset denominator" value="1"/>\r
1432       <single attribute="zoom offset numerator" value="126976"/>\r
1433       <multi attribute="timebars" size="2" value="582,641"/>\r
1434     </position_info>\r
1435   </instance>\r
1436   <mnemonics/>\r
1437   <static_plugin_mnemonics/>\r
1438   <global_info>\r
1439     <single attribute="active instance" value="0"/>\r
1440     <single attribute="config widget visible" value="1"/>\r
1441     <single attribute="data log widget visible" value="0"/>\r
1442     <single attribute="hierarchy widget visible" value="0"/>\r
1443     <single attribute="instance widget visible" value="1"/>\r
1444     <single attribute="jtag widget visible" value="1"/>\r
1445     <multi attribute="frame size" size="2" value="1920,1017"/>\r
1446     <multi attribute="jtag widget size" size="2" value="398,120"/>\r
1447   </global_info>\r
1448 </session>\r